JP2007221150A - 半導体ウェハー表面からフォトレジストをクリーニングし、ストリッピングする方法 - Google Patents
半導体ウェハー表面からフォトレジストをクリーニングし、ストリッピングする方法 Download PDFInfo
- Publication number
- JP2007221150A JP2007221150A JP2007049786A JP2007049786A JP2007221150A JP 2007221150 A JP2007221150 A JP 2007221150A JP 2007049786 A JP2007049786 A JP 2007049786A JP 2007049786 A JP2007049786 A JP 2007049786A JP 2007221150 A JP2007221150 A JP 2007221150A
- Authority
- JP
- Japan
- Prior art keywords
- resist layer
- semiconductor device
- manufacturing
- layer
- removed according
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
- H01L21/02071—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
Abstract
【解決手段】特にビアホール内のレジスト層を除去する方法は、有機化合物を除去するためのプラズマを有し、脱イオン水でデバイスを洗浄し、そして有機化合物を除去するためにアルゴンスパッタリングすることを有している。洗浄とスパッタリングの順序は逆にできる。この方法は、酸及び工業用溶剤の使用を避けられる。
【選択図】なし
Description
(1) 約5〜45秒の持続時間
(2) 50〜500sccmのガス流量の純粋CF4或いはCF4とN2H2との混合ガス;CF4の5〜100%の容積率
(3) 50〜500ワットの高周波出力レベル
(4) 加熱板上で0〜10mmのピンポジション
(5) 280℃付近の温度
(6) 0.1Torr〜0.5Torrの圧力
このRIE処理では、CF4をNF3或いはCl2と取りかえることができ、また、N2H2をN2窒素或いはアルゴンのような他のガスで代用することができる。N2H2では、H2を0.1〜10%にできる。
(1) 1000sccmの酸素
(2) 5〜50%のCF4
(3) CF4/N2H2の比が約10:1から約1:20となるように、5〜50%の、N2H2と形成ガスとの混合
アルゴンスパッタリングの好ましい条件は以下のようになっている。
(1) 20〜500sccmのアルゴンガス流量
(2) 350〜650ワットの高周波出力
(3) 10〜500mTorrの圧力
(4) 280℃付近の加熱板温度
(5) NF3,CF4,CHF3,Cl2、HCl、CHCl3或いはC2ClF6及びまたは酸素のような、Cl2或いはFへの添加ガス
従って、アルゴンをベースとするプラズマは、主に不溶性或いは不揮発性残留物を除去するための機械的手段により残留物をスパッタで取り去る(sputter off)。Cl2、フッ素或いは酸素を含有するガスは、スパッタされる材料をより揮発性の塩化物或いはフッ化物と交換することによりスパッタレートを化学的に高めるためにアルゴンを付加することが好ましい。アルゴン、酸素及びフッ素でスパッタリングする工程を行い、引き続きアルゴン及び塩素でスパッタリングする工程を行うことで、特によくクリーニングされることが判った。アルゴン或いは塩素でスパッタリングするような、幾つかのスパッタリング工程のために、所定のウェハにとって温度が十分に高いことは重要である。
(1) バルク状のフォトレジストを除去するための加熱NF3ハロゲン処理工程(図4(b))
(2) 超音波攪拌された脱イオン水洗浄(図4(c))、及び
(3) クリーンなサンプルを作成するためのアルゴンスパッタリング(図4(d))
本発明の上述の実施例により、添付した請求の範囲記載の発明の範囲から逸脱することなしに改良できるのは明らかである。ある種のガスが引用されているが、レジストを除去するために他のガスも使用できる。
Claims (25)
- (a)有機化合物を形成するため、半導体デバイスのレジスト層をアッシングする工程と、
(b)有機化合物を洗い流すため、水でデバイスを洗浄する工程と、
(c)レジスト層の無機残留物をスパッタで取り去るため、エッチング及びストリッピングチャンバ内でデバイスをスパッタリングする工程とを
有する、除去されるべきレジスト層を有する半導体デバイスを製造する方法。 - 工程(a)がダウンストリーム型プラズマエッチング処理である、請求の範囲第1項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(a)が、酸素、フッ素含有ガス及び形成ガスを混合して行われる、請求の範囲第2項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(a)が反応性イオンエッチング処理を含む、請求の範囲第1項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(a)が、CF4、NF3、CF4とN2H2との混合物、及びNF3とN2H2との混合物を有するグループから選択されたガスにより行われる、請求の範囲第4項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(a)が、同時に行われる反応性イオンエッチング処理とダウンストリーム型プラズマエッチング処理とを含む、請求の範囲第1項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(a)が、連続的に行われる反応性イオンエッチング処理とダウンストリーム型プラズマエッチングとを含む、請求の範囲第1項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(b)が、脱イオン水により洗浄することを含む、請求の範囲第1項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(c)が、アルゴン含有ガスによりスパッタリングすることを含む、請求の範囲第1項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(c)が、アルゴンと、酸素、塩素及びフッ素のいずれか1つを含有するガスとによりスパッタリングすることを含む、請求の範囲第9項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(c)が、アルゴンと、酸素、NF3、CF4、CHF3、Cl2、HCl、CHCl3及びC2ClF6を有するグループから選択されたガスによりスパッタリングすることを含む、請求の範囲第9項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(c)が、アルゴン及び酸素によりスパッタリングする第1工程と、引続きアルゴン及び塩素でスパッタリングする第2工程とを含む、請求の範囲1項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(a)がダウンストリーム型プラズマエッチング処理及び反応性イオンエッチング処理のいずれか1つを含み、工程(b)が脱イオン水で洗浄することを含み、工程(c)がアルゴンガスでスパッタリングすることを含む、請求の範囲第1項に記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(a)の前に、
導電体層を形成する工程と、
導電体層上に誘電体層を形成する工程と、
誘電体層上のパターンに、露光領域を作るためにパターン化されたレジスト層を形成する工程と、
少なくとも誘電体層を通って延びるビアホールを作るために露光領域をエッチングする工程とを、
さらに備えている、請求の範囲第1項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。 - 導電体層がアルミニウムを含み、露光領域のエッチング工程が、無機残留物としてのAlF3を作るように導電体層をフッ素によりエッチングすることを含む、請求の範囲第14項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 導電体層上に反射防止膜を形成し、誘電体層を反射防止膜上に形成する工程をさらに備えている、請求の範囲第14項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(a)と工程(c)とが同じチャンバ内で行われる、請求の範囲第1項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(a)と工程(c)とが異なったチャンバで行われる、請求の範囲第1項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(a)〜工程(c)が列挙された順序で行われる、請求の範囲第1項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- (a)半導体デバイスのレジスト層をダウンストリーム型マイクロ波処理でアッシングする工程と、
(b)レジスト層を反応性イオンエッチング処理でアッシングする工程と、
(c)アッシングしたデバイスを水で洗浄する工程とを
有する、除去されるべきレジスト層を有する半導体デバイスを製造する方法。 - 工程(a)の前に、
導電体層を形成する工程と、
導電体層上に誘電体層を形成する工程と、
露光領域を作るために誘電体層上のパターンに、パターン化されたレジスト層を形成する工程と、
少なくとも誘電体層を通って延びるビアホールを作るために露光領域をエッチングする工程とを、
さらに備えている、請求の範囲第20項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。 - 導電体層上に反射防止膜を形成し、誘電体層を反射防止膜上に形成し、そしてエッチング工程が反射防止膜を貫通せずにエッチングすることを含む、請求の範囲第21項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(a)が酸素、CF3及び形成ガスで行われる、請求の範囲第20項記載の除去されるべきレジスト層を有する半導体デバイスを製造する方法。
- 工程(b)が、CF4、NF3、CF4とN2H2との混合物、及びNF3とN2H2との混合物を有するグループから選択されたガスにより行われる、請求の範囲第20項記載の除去され得るレジスト層を有する半導体デバイスを製造する方法。
- 工程(c)が、脱イオン水により洗浄することを含む、請求の範囲第20項記載の除去され得るレジスト層を有する半導体デバイスを製造する方法。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/638,279 US5882489A (en) | 1996-04-26 | 1996-04-26 | Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP1997538994A Division JP3995215B6 (ja) | 1996-04-26 | 1997-04-23 | 半導体ウェハー表面からフォトレジストをクリーニングし、ストリッピングする方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2007221150A true JP2007221150A (ja) | 2007-08-30 |
JP4435797B2 JP4435797B2 (ja) | 2010-03-24 |
Family
ID=24559375
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007049786A Expired - Fee Related JP4435797B2 (ja) | 1996-04-26 | 2007-02-28 | 半導体ウェハー表面からフォトレジストをクリーニングし、ストリッピングする方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US5882489A (ja) |
JP (1) | JP4435797B2 (ja) |
WO (1) | WO1997041488A2 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2018201031A (ja) * | 2011-10-27 | 2018-12-20 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低k及びその他の誘電体膜をエッチングするための処理チャンバ |
Families Citing this family (95)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5795831A (en) * | 1996-10-16 | 1998-08-18 | Ulvac Technologies, Inc. | Cold processes for cleaning and stripping photoresist from surfaces of semiconductor wafers |
US6026762A (en) * | 1997-04-23 | 2000-02-22 | Applied Materials, Inc. | Apparatus for improved remote microwave plasma source for use with substrate processing systems |
US5849639A (en) * | 1997-11-26 | 1998-12-15 | Lucent Technologies Inc. | Method for removing etching residues and contaminants |
US6296780B1 (en) * | 1997-12-08 | 2001-10-02 | Applied Materials Inc. | System and method for etching organic anti-reflective coating from a substrate |
US6080680A (en) * | 1997-12-19 | 2000-06-27 | Lam Research Corporation | Method and composition for dry etching in semiconductor fabrication |
US5970376A (en) * | 1997-12-29 | 1999-10-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer |
US6105588A (en) | 1998-05-27 | 2000-08-22 | Micron Technology, Inc. | Method of resist stripping during semiconductor device fabrication |
US6221752B1 (en) * | 1998-08-20 | 2001-04-24 | United Microelectronics Corp. | Method of mending erosion of bonding pad |
JP3781175B2 (ja) * | 1998-12-28 | 2006-05-31 | 旭化成マイクロシステム株式会社 | コンタクトホールの形成方法 |
US6303047B1 (en) | 1999-03-22 | 2001-10-16 | Lsi Logic Corporation | Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same |
US6524974B1 (en) | 1999-03-22 | 2003-02-25 | Lsi Logic Corporation | Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants |
US6204192B1 (en) | 1999-03-29 | 2001-03-20 | Lsi Logic Corporation | Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures |
US6030901A (en) * | 1999-06-24 | 2000-02-29 | Advanced Micro Devices, Inc. | Photoresist stripping without degrading low dielectric constant materials |
US6232658B1 (en) | 1999-06-30 | 2001-05-15 | Lsi Logic Corporation | Process to prevent stress cracking of dielectric films on semiconductor wafers |
US6114259A (en) * | 1999-07-27 | 2000-09-05 | Lsi Logic Corporation | Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage |
US6281135B1 (en) | 1999-08-05 | 2001-08-28 | Axcelis Technologies, Inc. | Oxygen free plasma stripping process |
US6492186B1 (en) | 1999-08-05 | 2002-12-10 | Eaton Corporation | Method for detecting an endpoint for an oxygen free plasma process |
JP2001077086A (ja) * | 1999-08-31 | 2001-03-23 | Oki Electric Ind Co Ltd | 半導体装置のドライエッチング方法 |
US6296716B1 (en) | 1999-10-01 | 2001-10-02 | Saint-Gobain Ceramics And Plastics, Inc. | Process for cleaning ceramic articles |
US20050022839A1 (en) * | 1999-10-20 | 2005-02-03 | Savas Stephen E. | Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing |
US6756674B1 (en) | 1999-10-22 | 2004-06-29 | Lsi Logic Corporation | Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same |
US6423628B1 (en) | 1999-10-22 | 2002-07-23 | Lsi Logic Corporation | Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines |
US6391795B1 (en) * | 1999-10-22 | 2002-05-21 | Lsi Logic Corporation | Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning |
US6316354B1 (en) | 1999-10-26 | 2001-11-13 | Lsi Logic Corporation | Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer |
US6147012A (en) * | 1999-11-12 | 2000-11-14 | Lsi Logic Corporation | Process for forming low k silicon oxide dielectric material while suppressing pressure spiking and inhibiting increase in dielectric constant |
US6399513B1 (en) * | 1999-11-12 | 2002-06-04 | Texas Instruments Incorporated | Ozonated DI water process for organic residue and metal removal processes |
US6547458B1 (en) | 1999-11-24 | 2003-04-15 | Axcelis Technologies, Inc. | Optimized optical system design for endpoint detection |
US6451158B1 (en) | 1999-12-21 | 2002-09-17 | Lam Research Corporation | Apparatus for detecting the endpoint of a photoresist stripping process |
US6852636B1 (en) | 1999-12-27 | 2005-02-08 | Lam Research Corporation | Insitu post etch process to remove remaining photoresist and residual sidewall passivation |
US6461973B1 (en) | 2000-02-11 | 2002-10-08 | Advanced Micro Devices, Inc. | Method for forming high quality multiple thickness oxide layers by reducing descum induced defects |
US6432618B1 (en) | 2000-02-11 | 2002-08-13 | Advanced Micro Devices, Inc. | Method for forming high quality multiple thickness oxide layers by reducing descum induced defects |
TW523557B (en) * | 2000-02-21 | 2003-03-11 | Nanya Technology Corp | Exhausting method in a dry etching apparatus |
US6479411B1 (en) | 2000-03-21 | 2002-11-12 | Angela T. Hui | Method for forming high quality multiple thickness oxide using high temperature descum |
US6412498B1 (en) * | 2000-03-24 | 2002-07-02 | Advanced Micro Devices, Inc. | Low temperature plasma strip process |
US6667244B1 (en) * | 2000-03-24 | 2003-12-23 | Gerald M. Cox | Method for etching sidewall polymer and other residues from the surface of semiconductor devices |
JP2001313280A (ja) * | 2000-04-02 | 2001-11-09 | Axcelis Technologies Inc | ポスト・エッチ・フォトレジストおよび残留物の除去法 |
US6245666B1 (en) | 2000-04-03 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company | Method for forming a delamination resistant multi-layer dielectric layer for passivating a conductor layer |
US6346490B1 (en) * | 2000-04-05 | 2002-02-12 | Lsi Logic Corporation | Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps |
US6426286B1 (en) | 2000-05-19 | 2002-07-30 | Lsi Logic Corporation | Interconnection system with lateral barrier layer |
US6506678B1 (en) | 2000-05-19 | 2003-01-14 | Lsi Logic Corporation | Integrated circuit structures having low k porous aluminum oxide dielectric material separating aluminum lines, and method of making same |
US6526996B1 (en) | 2000-06-12 | 2003-03-04 | Promos Technologies, Inc. | Dry clean method instead of traditional wet clean after metal etch |
US6346488B1 (en) | 2000-06-27 | 2002-02-12 | Lsi Logic Corporation | Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions |
US6492731B1 (en) | 2000-06-27 | 2002-12-10 | Lsi Logic Corporation | Composite low dielectric constant film for integrated circuit structure |
US6350700B1 (en) | 2000-06-28 | 2002-02-26 | Lsi Logic Corporation | Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure |
US6368979B1 (en) | 2000-06-28 | 2002-04-09 | Lsi Logic Corporation | Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure |
US6489242B1 (en) | 2000-09-13 | 2002-12-03 | Lsi Logic Corporation | Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures |
US6345399B1 (en) * | 2000-09-27 | 2002-02-12 | International Business Machines Corporation | Hard mask process to prevent surface roughness for selective dielectric etching |
DE10050047B4 (de) * | 2000-10-10 | 2006-07-13 | Promos Technologies, Inc. | Trockenreinigungsverfahren statt der herkömmlichen Nassreinigung nach der Ätzung von Metallen |
US6475298B1 (en) | 2000-10-13 | 2002-11-05 | Lam Research Corporation | Post-metal etch treatment to prevent corrosion |
GB2367788A (en) * | 2000-10-16 | 2002-04-17 | Seiko Epson Corp | Etching using an ink jet print head |
US6391768B1 (en) | 2000-10-30 | 2002-05-21 | Lsi Logic Corporation | Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure |
TW455942B (en) * | 2000-10-31 | 2001-09-21 | Promos Technologies Inc | Via etch post-clean process |
US6423630B1 (en) | 2000-10-31 | 2002-07-23 | Lsi Logic Corporation | Process for forming low K dielectric material between metal lines |
US6537923B1 (en) | 2000-10-31 | 2003-03-25 | Lsi Logic Corporation | Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines |
US6420277B1 (en) | 2000-11-01 | 2002-07-16 | Lsi Logic Corporation | Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure |
TW464968B (en) * | 2000-12-21 | 2001-11-21 | Promos Technologies Inc | Via etch post cleaning process |
US6858195B2 (en) | 2001-02-23 | 2005-02-22 | Lsi Logic Corporation | Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material |
US6572925B2 (en) | 2001-02-23 | 2003-06-03 | Lsi Logic Corporation | Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material |
US6649219B2 (en) | 2001-02-23 | 2003-11-18 | Lsi Logic Corporation | Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation |
US6503840B2 (en) | 2001-05-02 | 2003-01-07 | Lsi Logic Corporation | Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning |
US20030005943A1 (en) * | 2001-05-04 | 2003-01-09 | Lam Research Corporation | High pressure wafer-less auto clean for etch applications |
US6559048B1 (en) | 2001-05-30 | 2003-05-06 | Lsi Logic Corporation | Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning |
US6583026B1 (en) | 2001-05-31 | 2003-06-24 | Lsi Logic Corporation | Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure |
US6562700B1 (en) | 2001-05-31 | 2003-05-13 | Lsi Logic Corporation | Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal |
US6875702B2 (en) * | 2001-06-11 | 2005-04-05 | Lsi Logic Corporation | Plasma treatment system |
US6566171B1 (en) | 2001-06-12 | 2003-05-20 | Lsi Logic Corporation | Fuse construction for integrated circuit structure having low dielectric constant dielectric material |
US6930056B1 (en) * | 2001-06-19 | 2005-08-16 | Lsi Logic Corporation | Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure |
US6559033B1 (en) | 2001-06-27 | 2003-05-06 | Lsi Logic Corporation | Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines |
US6673721B1 (en) | 2001-07-02 | 2004-01-06 | Lsi Logic Corporation | Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask |
US6660642B2 (en) * | 2001-07-25 | 2003-12-09 | Chartered Semiconductor Manufacturing Ltd. | Toxic residual gas removal by non-reactive ion sputtering |
US6723653B1 (en) | 2001-08-17 | 2004-04-20 | Lsi Logic Corporation | Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material |
US6881664B2 (en) * | 2001-08-28 | 2005-04-19 | Lsi Logic Corporation | Process for planarizing upper surface of damascene wiring structure for integrated circuit structures |
US20030062064A1 (en) * | 2001-09-28 | 2003-04-03 | Infineon Technologies North America Corp. | Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma |
US6528423B1 (en) | 2001-10-26 | 2003-03-04 | Lsi Logic Corporation | Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material |
US6613665B1 (en) | 2001-10-26 | 2003-09-02 | Lsi Logic Corporation | Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface |
US6797627B1 (en) | 2001-12-05 | 2004-09-28 | Taiwan Semiconductor Manufacturing Company Ltd. | Dry-wet-dry solvent-free process after stop layer etch in dual damascene process |
US20030200835A1 (en) * | 2002-04-02 | 2003-10-30 | Snecma Services | Diffusion-brazing filler powder for parts made of an alloy based on nickel, cobalt or iron |
US7066789B2 (en) * | 2002-07-29 | 2006-06-27 | Manoclean Technologies, Inc. | Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants |
US7297286B2 (en) * | 2002-07-29 | 2007-11-20 | Nanoclean Technologies, Inc. | Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants |
US7134941B2 (en) * | 2002-07-29 | 2006-11-14 | Nanoclean Technologies, Inc. | Methods for residue removal and corrosion prevention in a post-metal etch process |
US7101260B2 (en) * | 2002-07-29 | 2006-09-05 | Nanoclean Technologies, Inc. | Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants |
US6764385B2 (en) * | 2002-07-29 | 2004-07-20 | Nanoclean Technologies, Inc. | Methods for resist stripping and cleaning surfaces substantially free of contaminants |
US20070051471A1 (en) * | 2002-10-04 | 2007-03-08 | Applied Materials, Inc. | Methods and apparatus for stripping |
US6683008B1 (en) | 2002-11-19 | 2004-01-27 | International Business Machines Corporation | Process of removing ion-implanted photoresist from a workpiece |
KR100454849B1 (ko) * | 2002-12-20 | 2004-11-03 | 아남반도체 주식회사 | 반도체 소자의 제조방법 |
TWI245079B (en) * | 2002-12-30 | 2005-12-11 | Ind Tech Res Inst | Method for growing highly-ordered nanofibers |
US7821655B2 (en) * | 2004-02-09 | 2010-10-26 | Axcelis Technologies, Inc. | In-situ absolute measurement process and apparatus for film thickness, film removal rate, and removal endpoint prediction |
US20050279453A1 (en) * | 2004-06-17 | 2005-12-22 | Uvtech Systems, Inc. | System and methods for surface cleaning |
US20070186953A1 (en) * | 2004-07-12 | 2007-08-16 | Savas Stephen E | Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing |
US7135396B1 (en) * | 2004-09-13 | 2006-11-14 | Spansion Llc | Method of making a semiconductor structure |
US7195716B2 (en) * | 2004-10-08 | 2007-03-27 | United Microelectronics Corp. | Etching process and patterning process |
US7144673B2 (en) * | 2004-10-21 | 2006-12-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Effective photoresist stripping process for high dosage and high energy ion implantation |
US7413924B2 (en) * | 2005-10-31 | 2008-08-19 | Motorola, Inc. | Plasma etch process for defining catalyst pads on nanoemissive displays |
US8420947B2 (en) | 2010-12-30 | 2013-04-16 | Globalfoundries Singapore Pte. Ltd. | Integrated circuit system with ultra-low k dielectric and method of manufacture thereof |
WO2021092267A1 (en) * | 2019-11-05 | 2021-05-14 | Hzo, Inc. | Sensor apparatus and plasma ashing system |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4357203A (en) * | 1981-12-30 | 1982-11-02 | Rca Corporation | Plasma etching of polyimide |
US4673456A (en) * | 1985-09-17 | 1987-06-16 | Machine Technology, Inc. | Microwave apparatus for generating plasma afterglows |
US4804431A (en) * | 1987-11-03 | 1989-02-14 | Aaron Ribner | Microwave plasma etching machine and method of etching |
US5198634A (en) * | 1990-05-21 | 1993-03-30 | Mattson Brad S | Plasma contamination removal process |
US5380401A (en) * | 1993-01-14 | 1995-01-10 | Micron Technology, Inc. | Method to remove fluorine residues from bond pads |
US5661083A (en) * | 1996-01-30 | 1997-08-26 | Integrated Device Technology, Inc. | Method for via formation with reduced contact resistance |
-
1996
- 1996-04-26 US US08/638,279 patent/US5882489A/en not_active Expired - Lifetime
-
1997
- 1997-04-23 WO PCT/US1997/006692 patent/WO1997041488A2/en active Application Filing
-
2007
- 2007-02-28 JP JP2007049786A patent/JP4435797B2/ja not_active Expired - Fee Related
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2018201031A (ja) * | 2011-10-27 | 2018-12-20 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低k及びその他の誘電体膜をエッチングするための処理チャンバ |
Also Published As
Publication number | Publication date |
---|---|
WO1997041488A3 (en) | 1998-02-26 |
JP4435797B2 (ja) | 2010-03-24 |
JP3995215B2 (ja) | 2007-10-24 |
WO1997041488A2 (en) | 1997-11-06 |
JP2000509211A (ja) | 2000-07-18 |
US5882489A (en) | 1999-03-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4435797B2 (ja) | 半導体ウェハー表面からフォトレジストをクリーニングし、ストリッピングする方法 | |
JP4562813B2 (ja) | 半導体ウェハー表面のフォトレジストのクリーニング及びストリッピング | |
US5795831A (en) | Cold processes for cleaning and stripping photoresist from surfaces of semiconductor wafers | |
US5925577A (en) | Method for forming via contact hole in a semiconductor device | |
JP5414179B2 (ja) | フォトレジスト及びエッチング残余物の低圧除去 | |
US6692903B2 (en) | Substrate cleaning apparatus and method | |
KR100702290B1 (ko) | 포토레지스트와 에칭 잔여물의 에싱방법 및 처리방법 | |
US20030192856A1 (en) | Method for removing photoresist and etch residues | |
US5851302A (en) | Method for dry etching sidewall polymer | |
US6325861B1 (en) | Method for etching and cleaning a substrate | |
US20050066994A1 (en) | Methods for cleaning processing chambers | |
JP2002531932A (ja) | 半導体製造法における乾式法によるフォトレジストの剥離方法と組成 | |
JP2003332313A (ja) | 半導体装置の製造方法 | |
JP2724165B2 (ja) | 有機化合物膜の除去方法及び除去装置 | |
JPH08186099A (ja) | レジストのアッシング方法 | |
JP2009065125A (ja) | 反応チャンバの光子誘起洗浄 | |
JP2003023072A (ja) | 半導体装置の製造方法および半導体装置の製造装置 | |
JP2004517470A (ja) | バイア形成工程において発生するエッチング残渣を除去する方法 | |
JP3995215B6 (ja) | 半導体ウェハー表面からフォトレジストをクリーニングし、ストリッピングする方法 | |
JPH01200628A (ja) | ドライエッチング方法 | |
JP4548873B2 (ja) | TiN層を等方性エッチングなしにアッシングするドライアッシング方法 | |
JPS6191930A (ja) | 半導体基板の清浄方法 | |
KR100237020B1 (ko) | 반도체 소자의 금속층 형성 방법 | |
Gorowitz et al. | Methods of Metal Patterning and Etching | |
JP2000031117A (ja) | 金属エッチング後の乾式クリ―ニング方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20070518 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7422 Effective date: 20070518 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070615 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20080911 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080930 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20081024 |
|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A711 Effective date: 20081024 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090105 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20090714 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20091014 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20091026 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20091208 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20091224 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130108 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130108 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20160108 Year of fee payment: 6 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |