JP2007158330A - CMOS対応の浅いトレンチのeフューズ構造体及びその製造方法 - Google Patents

CMOS対応の浅いトレンチのeフューズ構造体及びその製造方法 Download PDF

Info

Publication number
JP2007158330A
JP2007158330A JP2006317786A JP2006317786A JP2007158330A JP 2007158330 A JP2007158330 A JP 2007158330A JP 2006317786 A JP2006317786 A JP 2006317786A JP 2006317786 A JP2006317786 A JP 2006317786A JP 2007158330 A JP2007158330 A JP 2007158330A
Authority
JP
Japan
Prior art keywords
fuse
semiconductor
opening
forming
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006317786A
Other languages
English (en)
Other versions
JP4856523B2 (ja
Inventor
Jack Allan Mandelman
ジャック・アラン・マンデルマン
Lu-Chen Hsu Louis
ルイス・ルーチェン・スウ
Chih-Chao Yang
チーチャオ・ヤン
William R Tonti
ウィリアム・ロバート・トンティ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007158330A publication Critical patent/JP2007158330A/ja
Application granted granted Critical
Publication of JP4856523B2 publication Critical patent/JP4856523B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

【課題】 少なくとも1つのeヒューズを含む半導体構造体と標準的な半導体技術との統合が容易であり、よって実施コストが最小になる製造方法を提供する。
【解決手段】 半導体基板(バルク又は半導体オン・インシュレータ)内に配置されたトレンチ内に埋め込まれた少なくとも1つのeヒューズを含む半導体構造体が提供される。本発明によると、eヒューズは、半導体基板内に配置されたドーパント領域と電気接触した状態にある。本発明はまた、埋め込まれたeヒューズが、トレンチ分離領域とほぼ同時に形成される半導体構造体を製造する方法も提供する。
【選択図】 図11

Description

本発明は、半導体構造体及びその製造方法に関する。より具体的には、本発明は、半導体基板内に配置されたヒューズ開口部(例えば、トレンチ)内に埋め込まれた少なくとも1つのeヒューズを含む半導体構造体を提供するものである。本発明によると、eヒューズは、同じく半導体基板内に存在するドーパント領域と電気接触した状態にある。本発明はまた、こうした半導体構造体を製造する方法も提供する。
現在の半導体及び他の類似したデバイスは、障害のあるセル又は部品の交換を遂行し、かつ、交直流(DAC)トリミングを行うために用いられる多数のヒューズ・エレメントを備えるものとして製造されることが多い。デバイスの試験中に、ヒューズ/デバイスの設計によって、選択されたヒューズが、通常、レーザ・ビーム又は電流によって飛ばされる。電気ヒューズの設計においては、ヒューズ・リンクを介して電流を通すことによって、電子的にプログラム可能なヒューズが飛ばされる。次に、電流により、ヒューズの抵抗が恒久的に変えられる。飛ばされるヒューズは、一般に当業者に周知の1つ又は複数のプログラミング方法によって選択される。
提供される回路及びシステム設計の柔軟性のために、近年、eヒューズとも呼ばれる1回限りの電気的にプログラム可能なヒューズが非常に普及した。eヒューズは、チップがパッケージ内に搭載され、システム内にインストールされたときでさえ、プログラムすることが可能である。例えば、顧客は、製品が現場でインストールされた後に、アプリケーションの特定の必要性に対して設計を調整することができる。eヒューズにより、設計を変更する又は製品の耐用年数の間に起こり得るいずれかの問題を解決する自由が可能になる。
1回限りの電気的にプログラム可能なヒューズは、溶断型ヒューズより遥かに小さく、結果として回路密度に関し利点がもたらされる。eヒューズは上述の利点をもたらすが、標準的な相補型金属酸化膜半導体(CMOS)処理との統合に、問題を有していた。さらに、通常の動作電圧から派生するブロー電圧を密に分布させることは、引き続き困難である。
上述した従来技術のeヒューズの状態に鑑みて、少なくとも1つのeヒューズを含む半導体構造体と、標準的な半導体技術との統合が容易であり、よって実施コストが最小になる製造方法とを提供する必要性がある。したがって、本発明は、例えばトレンチのようなヒューズ開口部内に埋め込まれ、トレンチ分離領域のものとほぼ同時に形成される、少なくとも1つのeヒューズを含む半導体構造体を提供するものである。より具体的には、本発明のeヒューズは、その端子が半導体構造体内に存在するドープ領域に接続されたヒューズ開口部(例えば、トレンチ)の内部に配置されている。
本発明によると、eヒューズは、CMOSのラインのフロント・エンド処理、バイポーラ処理、又はBiCMOS(バイポーラ及びCMOS)処理のような標準的な半導体技術と統合される。さらに、本発明のeヒューズは、これらの半導体デバイスを形成しながら、1つだけの余分なヒューズ・マスクを用いて自己整合式に形成される。「自己整合式」という用語は、eヒューズ材料が、隣接するトレンチ分離領域の形成中に、元の半導体基板内に形成される半導体アイランドによって定められるヒューズ開口部の側壁部分に整合されることを意味する。
概して言えば、本発明の構造体では、
埋め込まれたeヒューズを含む少なくとも1つのヒューズ開口部を有する半導体基板が備わり、埋め込まれたeヒューズは、少なくとも1つのヒューズ開口部の側壁部分及び底壁部分上に配置され、かつ、側壁部分において半導体基板内に配置された隣接するドープ領域と電気接触した状態にある。
上述の半導体構造体に加えて、本発明は、こうした半導体構造体を製造する方法も提供する。概して言えば、本発明の方法は、
少なくとも1つのヒューズ開口部の側壁部分及び底壁部分の内側を少なくともヒューズ材料が覆うように、少なくとも1つのヒューズ開口部を半導体基板内に提供することと、
隣接する浅いトレンチ分離領域を半導体基板内に形成することであって、隣接する浅いトレンチ分離領域の形成中に、少なくとも1つの開口部内のヒューズ材料の外縁部分がトリミングされて、残りの半導体アイランドによって定められる少なくとも1つのヒューズ開口部の側壁部分に位置合わせされた埋め込まれたeヒューズが形成されるようにすることと、
埋め込まれたeヒューズと電気接触した状態のドープ領域を残りの半導体アイランド内に形成することと
を含む。
ここで、例えばトレンチなどのヒューズ開口部内に埋め込まれた少なくとも1つのeヒューズを含む半導体構造体と、その製造方法とを提供する本発明が、以下の説明及び本出願に伴った図面を参照することによって、より詳細に説明される。本出願の図面は、例示目的のために提供されるものであり、よって縮尺に合わせて描かれてはいないことが留意される。同様に、種々の示される実施形態において、同じ要素及び対応する要素は、同じ参照番号で呼ばれる。
最初に、本発明の一実施形態に用いられる基本的な処理ステップを示す図形的な図である図1〜図11について説明する。特定的には、図1〜図11に示される実施形態において、半導体オン・インシュレータ(Semiconductor−on−insulator、SOI)基板が用いられる。
本発明のSOI実施形態は、最初に、下部半導体層10A、埋込み絶縁層10B、及び上部半導体層10Cを含む半導体オン・インシュレータ(SOI)基板10を提供することで始まる。埋込み絶縁層10Bは、上部半導体層10Cを下部半導体層10Aから電気的に絶縁する。当業者には周知のように、SOI基板10の上部半導体層10Cは、FET及び/又はバイポーラ・デバイスのような半導体が製造される領域である。
上部半導体層10C及び下部半導体層10Aは、同じ半導体材料を含むことも、又は異なる半導体材料を含むこともできる。上部半導体層10C及び下部半導体層10Aは、同じ半導体材料からなることが好ましい。「半導体材料」という用語は、半導体特性を有する任意の材料を示す。本発明に用い得る半導体材料の説明に役立つ実例は、これらに限られるものではないが、Si、SiGe、SiC、SiGeC、Ge、GaAs、InAs、InP、及び他のII/V族又はIII/VI族化合物半導体を含む。本明細書では、例えば、Si/SiGeのような層状半導体材料も考えられる。一般的に、SOI基板の半導体層は、Si、SiGe、SiC、又はSiGeCのようなSi含有半導体からなるが、Siがさらに一般的である。
上部半導体層10C及び下部半導体層10Aは、同じ結晶配向を持つことができ、或いは異なる結晶配向を持つこともできる。幾つかの実施形態において、上部半導体層10C及び下部半導体層10Aは、ドープすることができ、ドープしないこともでき、或いは、内部にドープされていない領域とドープされた領域を含むことができる。上部半導体層10C及び下部半導体層10Aは、歪ませることがき、歪ませないこともでき、或いは、各々が内部に歪み領域と非歪み領域を含むこともできる。
埋込み絶縁層10Bは、結晶構造の又は結晶構造でない酸化物、窒化物、或いは酸窒化物からなる。好ましい実施形態においては、埋込み絶縁層10Bは、酸化物である。
SOI基板10は、例えば、層転写プロセス、積層などの当該技術分野に公知の技術を用いて、或いは、イオン注入及びアニール処理によって製造される。一般的に、埋込み絶縁層10Bを形成する際にイオン注入及びアニール処理を用いるとき、SIMOX(Separation by Ion Implantation of Oxygen)として知られるプロセスが用いられる。
SOI基板10の種々の層の厚さは、該SOI基板10を形成する際に用いられる技術によって変り得る。一般的には、上部半導体層10Cは、約5nmから約200nmまでの厚さを有し、約20nmから約100nmまでの厚さがさらに一般的である。埋込み絶縁層は、一般に、約5nmから約500nmまでの厚さを有し、約50nmから約200nmまでの厚さがさらに一般的である。下部半導体層10Aの厚さは、一般に、バルク半導体基板の範囲内である。
次に、図2に示されるように、誘電体キャップ12が、上部半導体層10Cの表面上に形成される。この誘電体キャップ12は、例えば、酸化物、窒化物、酸窒化物、有機ポリマー、フォトレジスト、又はこれらの多層を含む、任意の誘電体材料を含むことができる。一般的には、誘電体キャップ12は酸化物を含み、Siの酸化物が非常に好ましい。本発明のこの時点で形成される誘電体キャップの厚さは、用いられる誘電体キャップの材料のタイプ、誘電体キャップ12内の層の数、及びその製造の際に用いられる技術によって変り得る。一般的には、誘電体キャップ12が酸化物からなるとき、該誘電体キャップ12は、約50nmから約500nmまでの厚さを有する。
誘電体キャップ12は、これらに限られるものではないが、化学気相成長法(CVD)、プラズマ強化化学気相付着法(PECVD)、スピン・コーティング、蒸着、化学溶液付着法、原子層付着法、及び他の同様の付着プロセスを含む従来の付着プロセスを用いて形成することができる。代替的に、誘電体キャップ12は、例えば、酸化、窒化、又は酸窒化を含む熱技術によって形成することができる。本発明の幾つかの実施形態においては、本発明において上述の技術の組み合わせを用いることができる。
次に、少なくとも1つのヒューズ開口部(すなわち、トレンチ)14が、構造体内に形成される。少なくとも1つのヒューズ開口部14は、リソグラフィ及びエッチングによって形成される。具体的には、少なくとも1つのヒューズ開口部14は、最初にフォトレジスト層16を誘電体キャップ12の表面に提供することによって形成される。誘電体キャップ12の最上層がフォトレジトを含む場合、このステップを省略することができる。フォトレジト層は、例えば、CVD、PECVD、又はスピン・コーティングなどの従来の付着プロセスによって形成される。フォトレジト層を適用した後、フォトレジト層を放射線パターン(すなわち、トレンチ・パターン)に露光させ、次に、従来のレジスト現像液を用いて露光されたレジストを現像することによって、フォトレジト層がパターン形成される。次に、トレンチ・パターンは、下にある誘電体キャップ12を通して(誘電体キャップ12がフォトレジト以外の材料を含む場合)、SOI基板10の少なくとも上部半導体層10Cに転写される。転写は、エッチング・プロセスを用いて達成される。エッチング・プロセスは、乾式エッチング・プロセス(反応性イオン・エッチング、プラズマ・エッチング、イオン・ビーム・エッチング、又はレーザ・アブレーション)、化学エッチング液を用いる湿式化学エッチング・プロセス、或いはこれらのエッチング・プロセスの組み合わせを用いることができる。一般的には、反応性イオン・エッチングが用いられる。図3は、少なくとも1つのヒューズ開口部14を含む結果物としての構造体を示す。図3及び残りの図面は、誘電体キャップ12の少なくとも下部が、フォトレジト以外の絶縁材料からなると仮定することが留意される。
図3において、参照番号16は、構造体内に存在するパターン形成されたフォトレジストを示す。上述のように、パターン形成されたフォトレジト16は、誘電体キャップ12の最上層又は該誘電体キャップ12とは別個の層を表すことができる。
少なくとも1つのヒューズ開口部14の深さは、変り得る。例えば、少なくとも1つのヒューズ開口部14は、上部半導体層10Cを部分的に通ってのみ延びることができ、埋込み絶縁層10Bの表面に又は該埋込み絶縁層10B内に延びることができ、或いは下部半導体層10A内まで延びることもできる。図3においては、少なくとも1つのヒューズ開口部14は、埋込み絶縁層10Bの表面まで延びている。少なくとも1つのヒューズ開口部14の底壁部分に沿った次に形成されるヒューズ材料が、埋込み絶縁層10Bによって絶縁されるので、このエッチング深さは好ましいものである。少なくとも1つの開口部が絶縁材料と接触状態にない実施形態においては、少なくとも1つのヒューズ開口部内に絶縁材料を提供するために、更に別の処理ステップが必要とされる(図12〜図16を参照されたい)。
次に、当業者に公知の従来の剥離プロセスを用いて、パターン形成されたフォトレジト16が、構造体から剥離される。パターン形成されたフォトレジト16を剥離した後、次に、従来の付着プロセスを用いて、ヒューズ材料18が、少なくとも1つのヒューズ開口部14内などの構造体の露出された表面上に形成される。ヒューズ材料18を含む結果物としての構造体が、図4に示される。一般的に、ヒューズ材料18は、必ずしもとは限らないが、誘電体キャップ12の露出された水平面上に形成される。誘電体キャップ12が完全に除去された場合には、上部半導体層10Cの露出された表面の上にヒューズ材料18を形成することができる。
上述のように、従来の付着プロセスを用いて、ヒューズ材料18が形成される。例証として、CVD、PECVD、スパッタリング、めっき、化学溶液付着法、原子層付着法、又は有機金属付着法を用いることができる。ヒューズ材料18は、金属、金属合金、又はこれらの多層スタックを含む。本発明に用い得るヒューズ材料の説明に役立つ実例は、これらに限られるものではないが、Ti、Ta、TiN、TaN、W、WN、WSi、TiSi、及びこれらの混合物又は多層を含む。ヒューズ材料18の厚さは、用いられるヒューズ材料のタイプ、ヒューズ材料18を形成する層の数、及びこれを形成する際に用いられる付着プロセスによって変り得る。一般的には、ヒューズ材料18は、約20nmから約300nmまでの付着された厚さを有し、約50nmから約250nmまでの厚さがさらに一般的である。
次に、当該技術分野に公知のトレンチ分離技術を用いて、浅いトレンチ分離(STI)領域20が、SOI基板10内に形成される。STI領域20を形成する際に用いられるマスキング、エッチング、及び分離トレンチ充填ステップが、図5(A)〜図5(C)に示される。具体的には、STIマスク22を用いてSTI領域20を形成する。STIマスク22によって保護されない、少なくとも1つのヒューズ開口部14内のヒューズ材料を選択的に除去するために、このSTIマスク22は、少なくとも1つの領域において、ヒューズ材料18を含む少なくとも1つのヒューズ開口部14の2つの縁部と意図的に重複する。このことが、図5(A)及び図5(B)に示される図に例示されている。続いてSTIトレンチ領域のエッチング中に、少なくとも1つのヒューズ開口部14内にあるSTIマスク22によって保護されていないヒューズ材料が、これらの領域における更なるエッチングを防ぐエッチング停止層として働く。次に、STIマスク22を剥離する前に、露出されたヒューズ材料18が選択的にエッチングされる。このステップにより、少なくとも1つの開口部の外縁部分内にあるヒューズ材料18が、自動的にトリミングされる。図5(C)において、このことが明瞭に示されている。さらに、少なくとも1つのヒューズ開口部14内にある残りのヒューズ材料18が、その両側にある半導体アイランドに自己整合される。自己整合プロセスは、幾つかの点で、ゲートがソース/ドレイン領域に自己整合されるMOSデバイスの形成に類似している。
より詳細には、最初にSTIマスク22を、上述の構成において図4に示される構造体上に形成することによって、STI領域20が形成される。STIマスク22は、従来のフォトレジト材料からなる。特に示されないが、フォトレジト材料を形成する前に、窒化物のようなハードマスクを形成することができる。次に形成されるSTI酸化物の充填物の平坦化を容易にするために、任意のハードマスクが用いられる。次に、フォトレジト材料が、STIマスク内にパターン形成される(図5(A)を参照されたい)。STIマスク22は、露出された少なくとも1つの開口部14の外側縁にヒューズ材料を残しながら、該少なくとも1つの開口部14内のヒューズ材料18の少なくとも一部を保護する(図5(B)を参照されたい)。外側縁は、図5(A)に示される断面の内外に通っている。次に、露出されたヒューズ材料18を選択的に除去するエッチング・プロセスを用いて、STIマスク22によって保護されていない露出されたヒューズ材料18が除去される(図5(C)を参照されたい)。一般的には、露出されたヒューズ材料18を構造体から選択的に除去するために、化学エッチング・プロセスが用いられる。この目的のために用い得る化学エッチング剤の例は、CFプラズマにおける乾式エッチング又は反応性イオン・エッチングを含む。このエッチング・ステップは、下にある誘電体キャップ12を露出させるものである。次に、STIのためのトレンチを形成する、反応性イオン・エッチングのような同じエッチング・プロセス又は異なるエッチング・プロセスを介して、下にある露出された誘電体キャップ12及び下にある上部半導体層10Cの部分が除去される。ここで、当該技術分野に公知の従来のレジスト剥離プロセスを用いて、STIマスク22を除去することができる。STIトレンチが形成され、次に、これらのSTIトレンチは、例えば、TEOS(テトラエチルオルトシリケート)又は高密度のプラズマ酸化物のようなCVD酸化物などのトレンチ誘電体で充填され、例えば、化学機械研磨(CMP)及び/又は研削のようなプロセスを用いて平坦化される。STIトレンチの充填中、トレンチ誘電体23も、少なくとも1つのヒューズ開口部14内でヒューズ材料18の上に形成されることに留意されたい。STIトレンチのエッチング中、エッチングされていない残りの上部半導体層10Cが、STIトレンチで囲まれたアイランド領域を形成する。
次に、構造体から前にエッチングされなかった露出されたヒューズ材料18を除去する第2の平坦化プロセスが用いられる。第2の平坦化プロセスは、一般に、残りの誘電体キャップ12を露出させるものである。次に、上部半導体層10Cの表面を露出させる従来の剥離プロセスを用いて、残りの誘電体キャップ12が除去される。
本発明のこの時点において、当該技術分野に公知の技術を用いて、例えば、FET、バイポーラ・トランジスタ又はこれらの組み合わせを含む少なくとも1つの半導体デバイスを、露出された上部半導体層10C上に形成することができる。したがって、残りの説明は、eヒューズを含む構造体上へのFETの形成について具体的に説明するが、本発明は、そのように限定されるものではない。
FETが形成されるとき、従来の付着プロセス(例えば、CVD、PECVD、又は蒸着)、熱プロセス(例えば、酸化又は窒化)、或いはこれらのプロセスの組み合わせを用いて、ゲート誘電体24が、上部半導体層10Cの露出された表面上に形成される。ゲート誘電体24は、酸化物、窒化物、酸窒化物、又はこれらの多層を含むことができる。一般的に、ゲート誘電体24は、例えば、SiO、Al、HfO、ZrO、TiO、La、Y、及びこれらの混合物又は多層のような酸化物からなる。ゲート誘電体24の厚さは、ゲート誘電体の材料、層24内のゲート誘電体の数、及びこれを形成する際に用いられる技術によって変り得る。一般的には、ゲート誘電体24は、約0.5nmから約20nmまでの厚さを有し、約1nmから約10nmまでの厚さがさらに一般的である。
ゲート誘電体24を形成した後、ゲート導体26が、ゲート誘電体24上に形成される。ゲート導体26は、ドープされたポリSi、ドープされたSiGe、金属、金属合金、金属シリサイド、金属窒化物、又はこれらの組み合わせ及び多層を含む導電性材料からなる。CVD、PECVD、スパッタリング、めっき、化学溶液付着法、及び有機金属付着法を含む当該技術分野に公知の従来の付着プロセスを用いて、ゲート導体26を形成することができる。ポリSi又はSiGeが導電性材料として用いられるとき、その場ドーピング付着プロセス、又はイオン注入後の付着を用いて、これらの材料をドープすることができる。ゲート導体26の厚さは、変り得る。一般的に、ゲート導体26は、約20nmから約180nmまでの厚さを有し、約40nmから約150nmまでの厚さがさらに一般的である。
次に、付着及びリソグラフィによって、ゲート導体26の上にゲート導体マスク28が形成される。ゲート誘電体24、ゲート導体26、及びゲート導体マスク28を含む結果物としての構造体が、図6に示される。
図7は、ゲート導体マスク28によって保護されていないゲート導体26の少なくとも露出された部分をエッチングした後に形成される構造体を示す。このエッチング・ステップは、下にあるゲート誘電体24と比べてゲート導体26を除去する際に選択できる乾式エッチング・プロセス又は湿式化学エッチング・プロセスのいずれかを用いて行われる。ゲート導体26をエッチングした後、ゲート導体マスク28が剥離される。
図8は、図7に示される構造体上に第1の注入マスク30を形成した後に、上部半導体層10Cの露出された部分に第1の導電性のイオン32を注入している構造体を示す。第1の導電性のイオン32は、n型イオン又はp型イオンとすることができる。n型イオンは、元素周期表のVA族からの少なくとも1つの元素を含む。n型イオンの例は、P又はAsである。p型イオンは、元素周期表のIIIA族からの少なくとも1つの元素を含む。p型イオンの例は、B又はBFである。幾つかの実施形態においては、示されるように、第1の導電性のイオン32は、ヒューズ材料18に当接するSOI基板10の上部半導体層10C内に形成される。第1の導電性のイオン32を含む上部半導体層10Cのドープ領域が、参照番号33で表記される。
次に、第1の注入マスク30が剥離され、第2の注入マスク34が、前に第1の導電性のイオンが注入された構造体の領域の上に形成される。次に、第1の導電型のイオン32とは異なる導電型の第2の導電性のイオン36が、第2の注入マスクを含んでいない構造体の保護されていない部分に注入される。このステップは、上部半導体層10C内に第2の導電型のドープ領域37を形成する。この構造体は、例えば、図9に示される。
上述の注入についての条件は、従来のものであり、よって、当業者の知識内のものである。一般的には、注入領域の各々は、約5×1018原子/cm又はそれより大きいイオン濃度を有する。パターン形成されたゲート導体28の各々に隣接する注入された領域は、FETデバイスのソース/ドレイン領域に対応することに留意されたい。
図10は、パターン形成されたゲート導体26の側壁上に少なくとも1つのスペーサ38を形成し、保護されてないゲート誘電体24を剥離し、かつ、シリサイド領域40を注入領域の各々の中に形成した後の構造体を示す。少なくとも1つのスペーサ38は、酸化物、窒化物、酸窒化物、又はこれらの組み合わせからなることができる。少なくとも1つのスペーサ38は、付着及びエッチングによって形成される。底面に沿って測定された少なくとも1つのスペーサの幅は、約20nmから約100nmまでである。一般に、スペーサの幅は、ゲート導体の下にあるシリサイドの侵食を防ぐのに十分な幅である。
シリサイド領域40は、従来の自己整合シリサイド化プロセスを用いて形成される。上部半導体層10CがSi含有半導体材料からなるものでない場合、シリサイドを形成するために、そのSiを含有しない表面上にSi含有層を形成することができる。ゲート導体26がポリSi又はSiGeからなるとき、シリサイド化の前に保護されない限り、該ゲート導体26の上にもシリサイドが形成され得ることに留意されたい。
自己整合されたシリサイド化プロセスは、Si含有材料と反応することができる金属又は金属合金を、構造体の露出された表面上に形成することを含む。金属又は金属合金は、Ti、Pt、W、Ni、Ta、Co、PtNi、又はSi含有材料と反応したときシリサイドを形成する別の同様の材料を含むことができる。金属又は金属合金を形成する際に、任意の従来の付着プロセスを用いることができる。任意の酸素拡散キャップを金属又は金属合金の上に形成することもできる。任意の酸素拡散キャップは、TiN、TaN、WN、又は酸素が構造体内に拡散するのを防止する別の同様の材料を含む。任意の酸素拡散キャップを形成する際に、いずれかの従来の付着プロセスを用いることができる。
次に、最小の抵抗値の段階においてシリサイドを形成することも、しないこともある第1のアニールが、約300℃の温度で行われ、約350℃から約650℃までの温度がより一般的である。連続的な加熱レジーム又は種々のランプを用いて、第1のアニールを行うことができ、浸漬サイクルを用いることができる。一般に、第1のアニールは、例えば、He、Ar、N、又はフォーミングガス・アニールのようなガス雰囲気において行われる。アニール時間は、シリサイドを形成する際に用いられる金属又は金属合金によって変り得る。一般的に、アニール処理は、約5秒から約2時間までの時間だけ行われる。アニール・プロセスは、炉アニール、高速熱アニール、レーザ・アニール、スパイク・アニール、又はマイクロ波アニールとすることができる。
選択的湿式エッチング・プロセスを用いて、いずれかの反応していない金属又は金属合金、及び任意の酸素拡散障壁を構造体から除去することができる。
第2のアニール・ステップは、行われる場合には、一般に、約550℃又はそれより高い温度で行われ、約600℃から約800℃までの温度がより一般的である。第2のアニールは、第1のアニールと同じガス雰囲気又は異なるガス雰囲において行うことができる。
図11は、第1の相互接続レベル42を図10に示される構造体に形成した後の構造体を示す。第1の相互接続レベル42は、導電性材料46で充填された接触開口部を含む層間誘電体44を含む。第1の相互接続レベル42は、当該技術分野に公知の従来の相互接続技術を用いて形成される。例えば、層間誘電体44を付着させ、リソグラフィ及びエッチングによって層間誘電体44内に接触開口部を設け、該接触開口部を導電性材料46で充填する。接触開口部を充填した後に、平坦化プロセスが続く。層間誘電体44は、一般に相互接続構造体に用いられる有機誘電体及び/又は無機誘電体を含む。例えば、層間誘電体44は、SiO、炭素がドープされた酸化物、熱硬化性ポリアリーレン・エーテル、シルセスキオキサン、シロキサン、又はこれらの任意の組み合わせ及び多層を含むことができる。本発明において、多孔の誘電体及び/又は無孔の誘電体が考えられる。導電性材料46は、ドープされたポリSi、金属、金属合金、金属シリケート、又はこれらの組み合わせ及び多層を含むことができる。一般的に、導電性材料46は、Cu、Al、W、又はCuAl合金からなる。
図11において、参照番号100が本発明のeヒューズの位置を示し、参照番号102が第1の導電型のFETの位置を示し、参照番号104が第2の導電型のFETの位置を示す。本発明のeヒューズは、少なくとも1つのヒューズ開口部14内に残っているトリミングされた自己整合ヒューズ材料18を含む。eヒューズは、少なくとも1つのヒューズ開口部14の側壁及び底壁部分上に配置され、隣接するドープ領域と側壁部分において電気接触した状態にあることに留意されたい。eヒューズは、STI領域20によって横方向に絶縁され、かつ、絶縁材料によって垂直方向にも絶縁される。
ここで、本発明の第2の実施形態に用いられる基本的な処理ステップを示す図形的に示す図12〜図16について説明する。特定的には、図12〜図16に示される実施形態において、バルク半導体基板50が用いられる。バルク半導体基板50は、SOI基板10に関して上に述べられた半導体材料の少なくとも1つを含む。バルク半導体基板50は、単結晶配向を含むことができ、又は異なる結晶配向を有する表面領域を含むことができる。後者の基板は、当該技術分野に公知の技術を用いて形成することができるハイブリッド基板である。バルク半導体基板50は、ドープすることができ、ドープしないことができ、又はドープされた領域とドープされていない領域を含むことができる。同様に、バルク半導体基板50は、歪ませることができ、歪みませないこともでき、又は内部に歪み領域と非歪み領域の両方を含むこともできる。
図12は、バルク半導体基板50の上面に窒化物又は酸窒化物の誘電体キャップ12を含むバルク半導体基板50を示す。バルク半導体基板50はまた、酸化物52で内側が覆われた少なくとも1つのヒューズ開口部(トレンチ)14も含む。酸化物52は、例えばCVD又はPECVDのような任意の従来の共形の付着プロセスを用いて形成される。酸化物52は、約5nmから約100nmまでの厚さを有し、約10nmから約30nmまでの厚さがさらに一般的である。本発明はまた、酸化物52の代わりに他の電気絶縁材料及び熱絶縁材料も考える。
少なくとも1つの開口部14を少なくとも充填するように、ポリマー又はフォトレジト54が、図12に示される構造体に適用される。ポリマー又はフォトレジト54は、例えば、スピン、CVD、又はPECVDのような従来の付着プロセスによって形成され、ポリマー又はフォトレジト54を少なくとも1つの開口部14内に凹ませるために、エッチバック・プロセスが用いられる。エッチバック・プロセスは、ポリマー又はフォトレジト54を選択的に除去する、反応性イオン・エッチングのような時限式エッチング・プロセスを含む。ポリマーが用いられるとき、ポリマーは、ポリイミド、ポリブチルノルボルネン(PNB)、又は同様の別の有機ポリマーを含むことができる。任意の従来のフォトレジト材料を、層54のための材料として用いることもできる。凹ませられたポリマー又はフォトレジト54を含む結果物としての構造体が、例えば、図13に示される。
次に、等方性エッチング・プロセスを用いて、凹ませられたポリマー又はフォトレジト54で覆われていない露出された酸化物52を除去する。例えば、BHF溶液を用いて、構造体から、露出された酸化物52を除去することができる。等方性エッチング・プロセスが行われた後に形成される結果物としての構造体が、例えば、図14に示される。少なくとも1つの開口部14内のバルク半導体基板50の側壁部分が露出していることに留意されたい。次に、従来の剥離プロセスを用いて、残りのポリマー又はフォトレジト54が構造体から除去され、次いでヒューズ材料18が形成され、例えば、図15に示される構造体を提供する。
ここで、上記の第1の実施形態に述べられるような、ヒューズ材料18を少なくとも1つの開口部14内に形成する際に用いられる残りのプロセス・ステップを用いることができる。図16は、eヒューズを含むバルク半導体基板50の部分を示す。eヒューズの位置だけが図16に示され、この図も、ドープ領域の各々の上に形成されたシリサイド領域の存在を示すものではないことに留意されたい。後者の省略は、明確にするために行われた。
特に上述の第1の実施形態に関する本発明の処理は、少なくとも1つの開口部14内にeヒューズ材料18を提供し、少なくとも1つの開口部14の底部コーナー部にネック部110を与えるものであることが留意される。例えば、図17を参照されたい。プログラミングの際、これらのネック部により電流集中がもたらされる。電流パルスが十分なエネルギーを伝えるとき、電流パルスは、ネック領域の近くに非常に高い温度を瞬時に生成し、よってヒューズ材料18を溶かし、これを開けることができる。したがって、ヒューズを飛ばす物理的位置は、何度も繰り返すことが可能である。埋込み絶縁層10B(又は酸化物層52)及びSTI領域20は、ヒューズ材料18と隣接する半導体材料との間に弱い熱経路をもたらすように、少なくとも1つの開口部14内のヒューズ材料18を包み込む。絶縁材料の結果として、ヒューズ材料18は、通常必要とされるものより低い電流密度でプログラムすることができる。手短に言えば、高い再生可能特性を有するeヒューズを少なくとも1つの開口部14内に形成するために、相対的に簡単で、高度に生産可能なプロセスが提供される。
本発明は、特にその好ましい実施形態に関して示され、説明されたが、当業者であれば、本発明の趣旨及び範囲から逸脱することなく、形態及び細部における上記の変更をなし得ることを理解するであろう。したがって、本発明は、本明細書に説明され、示された正確な形態及び細部に限定されるものではなく、添付の特許請求の範囲に含まれることが意図される。
半導体オン・インシュレータ(SOI)基板を用いる、本発明の一実施形態に用いられる基本的な処理ステップを示す図形的な図である。 半導体オン・インシュレータ(SOI)基板を用いる、本発明の一実施形態に用いられる基本的な処理ステップを示す図形的な図である。 半導体オン・インシュレータ(SOI)基板を用いる、本発明の一実施形態に用いられる基本的な処理ステップを示す図形的な図である。 半導体オン・インシュレータ(SOI)基板を用いる、本発明の一実施形態に用いられる基本的な処理ステップを示す図形的な図である。 半導体オン・インシュレータ(SOI)基板を用いる、本発明の一実施形態に用いられる基本的な処理ステップを示す図形的な図である。 半導体オン・インシュレータ(SOI)基板を用いる、本発明の一実施形態に用いられる基本的な処理ステップを示す図形的な図である。 半導体オン・インシュレータ(SOI)基板を用いる、本発明の一実施形態に用いられる基本的な処理ステップを示す図形的な図である。 半導体オン・インシュレータ(SOI)基板を用いる、本発明の一実施形態に用いられる基本的な処理ステップを示す図形的な図である。 半導体オン・インシュレータ(SOI)基板を用いる、本発明の一実施形態に用いられる基本的な処理ステップを示す図形的な図である。 半導体オン・インシュレータ(SOI)基板を用いる、本発明の一実施形態に用いられる基本的な処理ステップを示す図形的な図である。 半導体オン・インシュレータ(SOI)基板を用いる、本発明の一実施形態に用いられる基本的な処理ステップを示す図形的な図である。 バルク半導体基板を用いる、本発明の別の実施形態に用いられる基本的な処理ステップを示す図形的な図である。 バルク半導体基板を用いる、本発明の別の実施形態に用いられる基本的な処理ステップを示す図形的な図である。 バルク半導体基板を用いる、本発明の別の実施形態に用いられる基本的な処理ステップを示す図形的な図である。 バルク半導体基板を用いる、本発明の別の実施形態に用いられる基本的な処理ステップを示す図形的な図である。 バルク半導体基板を用いる、本発明の別の実施形態に用いられる基本的な処理ステップを示す図形的な図である。 eヒューズが、少なくとも1つのヒューズ開口部の底部コーナー部にネック領域を含むことを示す拡大断面図である。
符号の説明
10:SOI基板
10A:下部半導体層
10B:埋込み絶縁層
10C:上部半導体層
12:誘電体キャップ
14:ヒューズ開口部
16:フォトレジト層
18:ヒューズ材料
20:浅いトレンチ分離(STI)領域
24:ゲート誘電体
26:ゲート導体
32:第1の導電性のイオン
36:第2の導電性のイオン
38:スペーサ
40:シリサイド領域
42:第1の相互接続レベル
44:層間誘電体
50:バルク半導体基板

Claims (18)

  1. 埋め込まれたeヒューズを含む少なくとも1つのヒューズ開口部を有する半導体基板を備え、
    前記埋め込まれたeヒューズは、前記少なくとも1つのヒューズ開口部の側壁部分及び底壁部分上に配置され、かつ、前記側壁部分において前記半導体基板内に配置された隣接するドープ領域と電気接触した状態にある、半導体構造体。
  2. 前記半導体基板が半導体オン・インシュレータである、請求項1に記載の半導体構造体。
  3. 前記半導体基板がバルク半導体である、請求項1に記載の半導体構造体。
  4. 少なくとも前記底壁部分上に存在する酸化物又は別の電気絶縁及び熱絶縁の材料をさらに備える、請求項3に記載の半導体構造体。
  5. 前記少なくとも1つのヒューズ開口部がトレンチ誘電体材料で充填されている、請求項1に記載の半導体構造体。
  6. 前記eヒューズは、金属、金属合金、及びこれらの多層スタックからなる群から選択されるヒューズ材料からなる、請求項1に記載の半導体構造体。
  7. 前記ヒューズ材料は、Ti、Ta、TiN、TaN、W、WN、WSi、TiSi、又はこれらの混合物及び組み合わせの1つからなる、請求項6に記載の半導体構造体。
  8. 前記埋め込まれたeヒューズを含む前記少なくとも1つの開口部と前記半導体基板の前記隣接するドープ領域とを囲む浅いトレンチ分離領域をさらに備える、請求項1に記載の半導体構造体。
  9. 前記eヒューズは、前記少なくとも1つのヒューズ開口部の底部コーナー部に配置されたネック部を有するヒューズ材料からなる、請求項1に記載の半導体構造体。
  10. 前記ドーピング領域が、前記少なくとも1つのヒューズ開口部に隣接する前記半導体基板内に配置されたn型イオン又はp型イオンからなる、請求項1に記載の半導体構造体。
  11. 半導体構造体を製造する方法であって、
    少なくとも1つのヒューズ開口部の側壁部分及び底壁部分の内側を少なくともヒューズ材料が覆うように、少なくとも1つのヒューズ開口部を半導体基板内に提供することと、
    隣接する浅いトレンチ分離領域を前記半導体基板内に形成することであって、前記隣接する浅いトレン分離領域の形成中に、前記少なくとも1つの開口部内の前記ヒューズ材料の外縁部分がトリミングされて、残りの半導体アイランドによって定められる該少なくとも1つのヒューズ開口部の前記側壁部分に位置合わせされた埋め込まれたeヒューズが形成されるようにすることと、
    前記埋め込まれたeヒューズと電気接触した状態のドープ領域を前記残りの半導体アイランド内に形成することと
    を含む前記の方法。
  12. 前記少なくとも1つのヒューズ開口部を形成することは、リソグラフィ及びエッチングを含み、前記エッチングを、半導体オン・インシュレータ基板の埋込み絶縁層の上で停止させる、請求項11に記載の方法。
  13. 前記少なくとも1つのヒューズ開口部を形成することは、前記ヒューズ材料を形成する前に、少なくとも前記底壁上に電気絶縁材料又は熱絶縁材料を形成することを含む、請求項11に記載の方法。
  14. 前記隣接する浅いトレンチ分離領域を形成する間に、トレンチ誘電体材料が、前記少なくとも1つのヒューズ開口部内に充填される、請求項11に記載の方法。
  15. 前記eヒューズは、金属、金属合金、及び付着によって形成されたこれらの多層スタックからなる群から選択されるヒューズ材料からなる、請求項11に記載の方法。
  16. 前記ドープ領域を前記残りの半導体アイランド内に形成することは、イオン注入プロセスを用いる少なくとも1つの半導体デバイスの形成中に行われる、請求項11に記載の方法。
  17. 前記少なくとも1つの半導体デバイスは電界効果トランジスタを含む、請求項16に記載の方法。
  18. 前記半導体基板の上に、導電性に充填された接触開口部を有する層間誘電体を含む相互接続レベルを提供することをさらに含む、請求項11に記載の方法。
JP2006317786A 2005-11-30 2006-11-24 半導体構造体及び半導体構造体を製造する方法 Expired - Fee Related JP4856523B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/290,890 US7381594B2 (en) 2005-11-30 2005-11-30 CMOS compatible shallow-trench efuse structure and method
US11/290890 2005-11-30

Publications (2)

Publication Number Publication Date
JP2007158330A true JP2007158330A (ja) 2007-06-21
JP4856523B2 JP4856523B2 (ja) 2012-01-18

Family

ID=38086630

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006317786A Expired - Fee Related JP4856523B2 (ja) 2005-11-30 2006-11-24 半導体構造体及び半導体構造体を製造する方法

Country Status (3)

Country Link
US (1) US7381594B2 (ja)
JP (1) JP4856523B2 (ja)
CN (1) CN100483715C (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160119761A (ko) * 2014-02-11 2016-10-14 인텔 코포레이션 도전체 백필을 갖는 임베드된 퓨즈
KR20160119759A (ko) * 2014-02-11 2016-10-14 인텔 코포레이션 백필링된 단자들을 갖는 안티퓨즈

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7064409B2 (en) * 2003-11-04 2006-06-20 International Business Machines Corporation Structure and programming of laser fuse
US7986029B2 (en) * 2005-11-08 2011-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dual SOI structure
US7491585B2 (en) * 2006-10-19 2009-02-17 International Business Machines Corporation Electrical fuse and method of making
US7960809B2 (en) * 2009-01-16 2011-06-14 International Business Machines Corporation eFuse with partial SiGe layer and design structure therefor
US8912626B2 (en) 2011-01-25 2014-12-16 International Business Machines Corporation eFuse and method of fabrication
US8816473B2 (en) * 2012-04-05 2014-08-26 International Business Machines Corporation Planar polysilicon regions for precision resistors and electrical fuses and method of fabrication
US9293414B2 (en) 2013-06-26 2016-03-22 Globalfoundries Inc. Electronic fuse having a substantially uniform thermal profile
US9159667B2 (en) 2013-07-26 2015-10-13 Globalfoundries Inc. Methods of forming an e-fuse for an integrated circuit product and the resulting e-fuse structure
US9214567B2 (en) * 2013-09-06 2015-12-15 Globalfoundries Inc. Nanowire compatible E-fuse
US9431339B2 (en) * 2014-02-19 2016-08-30 International Business Machines Corporation Wiring structure for trench fuse component with methods of fabrication
US10096609B2 (en) * 2015-02-16 2018-10-09 Globalfoundries Inc. Modified tungsten silicon
US9553046B2 (en) * 2015-05-21 2017-01-24 Globalfoundries Inc. E-fuse in SOI configuration
US9716064B2 (en) * 2015-08-14 2017-07-25 International Business Machines Corporation Electrical fuse and/or resistor structures
US10269714B2 (en) 2016-09-06 2019-04-23 International Business Machines Corporation Low resistance contacts including intermetallic alloy of nickel, platinum, titanium, aluminum and type IV semiconductor elements
CN106531718B (zh) * 2016-12-02 2019-02-05 南通壹选工业设计有限公司 一种可编程熔丝结构
CN109346435B (zh) * 2016-12-02 2023-09-05 深圳市威能腾达科技有限公司 可编程多晶硅熔丝结构的制造方法
CN109961821A (zh) * 2017-12-22 2019-07-02 长鑫存储技术有限公司 一次性可编程非易失性熔丝存储单元
US10770393B2 (en) * 2018-03-20 2020-09-08 International Business Machines Corporation BEOL thin film resistor
US10784195B2 (en) 2018-04-23 2020-09-22 Globalfoundries Inc. Electrical fuse formation during a multiple patterning process

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1084108A (ja) * 1996-07-19 1998-03-31 Sharp Corp 電力制御素子
JPH11251309A (ja) * 1998-02-27 1999-09-17 Denso Corp 半導体装置の製造方法
JP2001060634A (ja) * 1999-08-20 2001-03-06 Denso Corp 半導体装置およびその製造方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5525533A (en) * 1993-06-03 1996-06-11 United Technologies Corporation Method of making a low voltage coefficient capacitor
KR100310470B1 (ko) * 1997-12-30 2002-05-09 박종섭 양면반도체메모리소자및그제조방법
US6864124B2 (en) * 2002-06-05 2005-03-08 United Microelectronics Corp. Method of forming a fuse
US6828689B2 (en) * 2002-07-08 2004-12-07 Vi Ci Civ Semiconductor latches and SRAM devices
US7064018B2 (en) * 2002-07-08 2006-06-20 Viciciv Technology Methods for fabricating three dimensional integrated circuits
US7002829B2 (en) * 2003-09-30 2006-02-21 Agere Systems Inc. Apparatus and method for programming a one-time programmable memory device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1084108A (ja) * 1996-07-19 1998-03-31 Sharp Corp 電力制御素子
JPH11251309A (ja) * 1998-02-27 1999-09-17 Denso Corp 半導体装置の製造方法
JP2001060634A (ja) * 1999-08-20 2001-03-06 Denso Corp 半導体装置およびその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160119761A (ko) * 2014-02-11 2016-10-14 인텔 코포레이션 도전체 백필을 갖는 임베드된 퓨즈
KR20160119759A (ko) * 2014-02-11 2016-10-14 인텔 코포레이션 백필링된 단자들을 갖는 안티퓨즈
KR102207042B1 (ko) * 2014-02-11 2021-01-25 인텔 코포레이션 임베드된 퓨즈, 집적 회로, 임베드된 퓨즈를 제조하는 방법 및 집적 회로를 형성하는 방법
KR102212151B1 (ko) 2014-02-11 2021-02-04 인텔 코포레이션 안티퓨즈 구조, 안티퓨즈 비트 셀 구조, 안티퓨즈 구조를 제조하는 방법 및 모놀리식 안티퓨즈 비트 셀을 형성하는 방법

Also Published As

Publication number Publication date
CN1976035A (zh) 2007-06-06
US7381594B2 (en) 2008-06-03
US20070120218A1 (en) 2007-05-31
JP4856523B2 (ja) 2012-01-18
CN100483715C (zh) 2009-04-29

Similar Documents

Publication Publication Date Title
JP4856523B2 (ja) 半導体構造体及び半導体構造体を製造する方法
US10211095B2 (en) High performance middle of line interconnects
TWI463542B (zh) 包含金屬熔絲、反熔絲及/或電阻之金屬閘極整合結構及方法
US8399318B2 (en) Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse
US8703552B2 (en) Method and structure for forming capacitors and memory devices on semiconductor-on-insulator (SOI) substrates
US7825479B2 (en) Electrical antifuse having a multi-thickness dielectric layer
US8865592B2 (en) Silicided semiconductor structure and method of forming the same
US8237457B2 (en) Replacement-gate-compatible programmable electrical antifuse
US20080227247A1 (en) Barrier dielectric stack for seam protection
US9330972B2 (en) Methods of forming contact structures for semiconductor devices and the resulting devices
CN110277451B (zh) 半导体器件和制造该半导体器件的方法
US8865558B2 (en) Method of forming a phase change material layer pattern and method of manufacturing a phase change memory device
TWI684258B (zh) 在接觸結構上之自對準金屬線及其形成方法
US7649240B2 (en) Semiconductor memory device with vertical fuse
JP2007103809A (ja) 半導体装置及び半導体装置の製造方法
US9647092B2 (en) Method and structure of forming FinFET electrical fuse structure
JP2011044625A (ja) 半導体装置、および半導体装置の製造方法
KR101867697B1 (ko) 반도체 디바이스 및 방법
JP2008205096A (ja) 半導体装置およびその製造方法
JP2000243835A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090818

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100330

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100406

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100614

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110614

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110912

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111018

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111028

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141104

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141104

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141104

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S202 Request for registration of non-exclusive licence

Free format text: JAPANESE INTERMEDIATE CODE: R315201

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141104

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees