TWI684258B - 在接觸結構上之自對準金屬線及其形成方法 - Google Patents

在接觸結構上之自對準金屬線及其形成方法 Download PDF

Info

Publication number
TWI684258B
TWI684258B TW107121315A TW107121315A TWI684258B TW I684258 B TWI684258 B TW I684258B TW 107121315 A TW107121315 A TW 107121315A TW 107121315 A TW107121315 A TW 107121315A TW I684258 B TWI684258 B TW I684258B
Authority
TW
Taiwan
Prior art keywords
contact structure
forming
dielectric layer
initial
patterned mask
Prior art date
Application number
TW107121315A
Other languages
English (en)
Other versions
TW201913941A (zh
Inventor
謝瑞龍
古拉密 波奇
拉爾特斯 柯洛米克斯
孫磊
寧國祥
張洵淵
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW201913941A publication Critical patent/TW201913941A/zh
Application granted granted Critical
Publication of TWI684258B publication Critical patent/TWI684258B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明提供形成自對準金屬線於接觸結構上的結構及方法。形成該自對準金屬線及接觸結構的該方法可包括:形成一初始接觸結構於一基板的上方;形成一圖案化遮罩於該初始接觸結構上,該遮罩包括一開口;使用該圖案化遮罩以形成通過該初始接觸結構的一開口;形成一介電層於該開口中;移除該圖案化遮罩以暴露該初始接觸結構的一剩餘部分;以及形成該金屬線於該初始接觸結構的該剩餘部分上。該接觸結構可包括一垂直的截面幾何形狀,該垂直的截面幾何形狀包括該第一接觸結構的一最底部表面寬於該第一接觸結構的一最頂部表面的一梯形及一平行四邊形中的一者。該金屬線可完全接觸該接觸結構的一最頂部表面。

Description

在接觸結構上之自對準金屬線及其形成方法
本申請關於半導體裝置製造,具體而言,關於在一接觸結構上之自對準金屬線以及形成該接觸結構與金屬線之方法。
傳統積體電路(IC)(即晶片)形成通常發生在一半導體基板(substrate)(例如矽晶圓)的表面上。IC可以包括形成於該半導體基板的該表面上的各種互連的半導體裝置,例如,電阻器、電晶體、電容器等。由於裝置的數量龐大,且IC的佈局複雜,該裝置無法在同一裝置級(device level)內連接。因此,該裝置可以例如通過形成在該裝置級上方的一個或多個層中的一複雜佈線系統而互連。該佈線系統可包括,例如,堆疊的含金屬層,即金屬化層(metallization layer),該金屬化層包括提供層內電性連接的金屬線。該佈線系統還包括在含有垂直結構的金屬化層之間堆疊的層,即金屬化之間的層間電性連接的通孔。
佈線系統可通過一“裝置級”垂直連接結構, 即接觸結構(CA)而電性連接至該裝置級的該半導體裝置。該接觸結構可形成在封裝該半導體裝置的該裝置級的一初始通孔層(V0)中。該接觸結構的一第一端可連接一半導體結構的一相應的接觸區域,例如,一閘極電極或一電晶體的源汲(source and drain)區域。該接觸結構的第二端可連接位於其上方的一金屬化層中的一對應的金屬線。接觸結構的該第二端可直接連接該相應的金屬線,或通過一通孔而間接連接該相應的金屬線。
連接該接觸結構至該金屬化層的一個挑戰可包括:通孔和/或下方具有該接觸結構的金屬線的錯位。參考附圖,第1圖顯示了一半導體結構100,其包括一裝置層116的一介電層114中的一傳統接觸結構112。接觸結構112位於一溝槽矽化物結構108上,該溝槽矽化物結構108將該接觸結構電性連接到位於一基板102上的一淺溝槽隔離(shallow trench isolation;STI)介電質110中的一鰭片104的一源汲區域106。接觸結構112還電性連接裝置層116上方的一金屬化層120的一介電層124中的一傳統金屬線118。如第1圖所示,接觸結構112可包括大約12奈米至大約50奈米的一最大寬度W1。如第1圖所示,接觸結構112可以包括一梯形的一垂直橫截面幾何形狀,其中,該接觸結構的一上表面126寬於該接觸結構的一底表面128。接觸結構112可例如通過直接形成一開口(未標記)於介電層114並在其中形成接觸材料而形成。雖然未予圖示,該傳統開口(未標記)及由此生成的接觸結構 112可包括一基本圓形,或橢圓頂的截面幾何形狀。如第1圖所示,在區域122處(在假體(phantom)中),接觸結構112未與金屬線118對準。一佈線系統(例如,傳統金屬線118)與其下方的一傳統接觸結構(例如接觸結構112)的連接的錯位可導致一較弱的電連接,在金屬線-接觸結構界面的一較高電阻,以及跨越半導體結構的多個金屬線-接觸結構界面的電阻的變化。該接觸結構上的錯位金屬線也可導致該半導體結構無法操作。
本發明的一第一方面關於一種積體電路(IC)結構,包括:一基板上方的一第一介電層中的一第一接觸結構,其中,該第一接觸結構的一垂直的截面幾何形狀包括該第一接觸結構的一最底部表面寬於該第一接觸結構的一最頂部表面的一梯形以及一平行四邊形中的一者。
本發明的一第二方面關於一種形成金屬線於積體電路(IC)結構的接觸結構上的方法,該方法包括:形成該接觸結構於一基板上方的一第一介電層中;形成一圖案化遮罩於該接觸結構上以及該第一介電層上,其中,該圖案化遮罩包括暴露該接觸結構的一部分的一第一開口;使用該圖案化遮罩,形成通過由該第一開口所暴露的該接觸結構的該部分處的該接觸結構的一第二開口;形成一第二介電層於該第一開口中以及該第二開口中;移除該圖案化遮罩以暴露該接觸結構的一剩餘部分;以及形成該金屬線於該接觸結構的該剩餘部分上。
本發明的一第三方面關於形成積體電路(IC)結構的方法,該方法包括:形成一虛擬(dummy)接觸結構於一第一介電層中,該虛擬接觸結構接觸位於一基板上的一鰭片的一源汲區域上的一溝槽矽化物區域;形成一圖案化遮罩於該虛擬接觸結構上以及該第一介電層上,其中,該圖案化遮罩包括至該虛擬接觸結構的一暴露部分的一第一開口;使用該圖案化遮罩形成通過該虛擬接觸結構至該暴露部分處的該溝槽矽化物區域的一第二開口;形成一第二介電層於該第一開口以及該第二開口中;移除該圖案化遮罩以暴露該虛擬接觸結構的一剩餘部分;移除該虛擬接觸結構的該剩餘部分的至少一部分以暴露該溝槽矽化物矛的一最頂部表面;以及形成一導電層於該溝槽矽化物區域的該最頂部表面上,其中,該導電層包括該自對準金屬線以及該接觸結構。
100‧‧‧半導體結構
102‧‧‧基板
104‧‧‧鰭片
106‧‧‧源汲區域
108‧‧‧溝槽矽化物結構
110‧‧‧淺溝槽隔離(STI)介電質
112‧‧‧接觸結構
114‧‧‧介電層
116‧‧‧裝置層
118‧‧‧金屬線
120‧‧‧金屬化層
122‧‧‧區域
124‧‧‧介電層
126‧‧‧上表面
128‧‧‧底表面
200‧‧‧初始結構
202‧‧‧上部
206‧‧‧初始介電層
208‧‧‧導電材料
210‧‧‧初始接觸結構
212‧‧‧第一介電層
213‧‧‧有機平坦化層(OPL)
214‧‧‧圖案化遮罩
216,218‧‧‧開口
220,222‧‧‧暴露區域
224‧‧‧上表面
226,228‧‧‧部分
230,232‧‧‧開口
234,236‧‧‧暴露區域
238‧‧‧最頂部表面
240‧‧‧第一部分
242‧‧‧第二部分
244‧‧‧接觸結構或第一接觸結構
246‧‧‧接觸結構或第二接觸結構
248‧‧‧最底部表面
250‧‧‧最頂部表面
252‧‧‧第二介電層
254,256‧‧‧最頂部表面
258‧‧‧氣隙
260,262‧‧‧上表面
264,266‧‧‧金屬線或自對準金屬線
268,270‧‧‧上表面
272,274‧‧‧底表面
276‧‧‧導電層
278‧‧‧虛擬接觸結構
280‧‧‧圖案化遮罩
282‧‧‧犧牲材料
284,286‧‧‧開口
288,290‧‧‧區域
292‧‧‧上表面
294‧‧‧部分或第一部分
296‧‧‧部分或第二部分
298‧‧‧部分或剩餘部分
300,302‧‧‧開口
304,306‧‧‧上部
308‧‧‧上表面
310,312‧‧‧開口
314,316‧‧‧區域
318‧‧‧導電層
320‧‧‧第一接觸結構
322‧‧‧第二接觸結構
324,326‧‧‧金屬線或自對準金屬線
328,330‧‧‧單一部分
332‧‧‧第一部分
334,336‧‧‧開口
338,340‧‧‧暴露區域
342‧‧‧部分或第二部分
344‧‧‧導電層
346‧‧‧部分
348‧‧‧第一接觸結構
350‧‧‧部分
352‧‧‧第二接觸結構
354,356‧‧‧金屬線
358,360‧‧‧單一部分
362‧‧‧側壁
366‧‧‧自對準金屬線
368,369‧‧‧接觸結構
370‧‧‧溝槽矽化物結構
372‧‧‧初始接觸結構
374,376‧‧‧自對準金屬線
378,380‧‧‧接觸結構
382‧‧‧矽化物區域
384‧‧‧初始接觸結構
388,390,392,394‧‧‧部分
402‧‧‧側壁
404‧‧‧介電層
406‧‧‧導電層
408‧‧‧底表面
410‧‧‧上表面
412‧‧‧接觸結構
414‧‧‧閘極結構
415‧‧‧蓋層閘極蓋層
416‧‧‧初始接觸結構
418‧‧‧介電層
420‧‧‧上表面
422‧‧‧導電材料
424‧‧‧圖案化遮罩
426‧‧‧開口
428‧‧‧部分
430‧‧‧介電層
432‧‧‧部分
434‧‧‧金屬線
436‧‧‧底表面
438‧‧‧上表面
M1‧‧‧第一金屬層
W1,W2,W3‧‧‧寬度
本申請的各實施例將參考下面的附圖進行詳細描述,其中,相似的名稱表示類型的元件,且其中:第1圖顯示了一傳統接觸結構上的一傳統個金屬線的一橫截面。
第2圖為根據本申請的實施例,顯示了形成一接觸結構以及一自對準金屬線於其上的一初始結構的一橫截面圖。
第3圖為根據本申請的實施例,顯示了形成一初始接觸結構,以及形成一圖案化遮罩於第2圖的該初 始結構上的一橫截面圖。
第4圖為根據本申請的實施例,顯示了形成該圖案化遮罩於第2圖的該初始結構上的一橫截面圖。
第5圖為根據本申請的實施例,顯示了形成該圖案化遮罩於第2圖的該初始結構上的一橫截面圖。
第6圖為根據本申請的實施例,顯示了使用第5圖的該圖案化遮罩移除該初始接觸結構的一部分的一橫截面圖。
第7圖為根據本申請的實施例,顯示了在通過使用第5圖及第6圖的圖案化遮罩移除該初始接觸結構中的該部分所形成的開口中形成一介電層的橫截面圖。
第8圖為根據本申請的實施例,顯示了在通過移除該初始接觸結構的該部分以及該第5圖及第6圖的該圖案化遮罩所形成的該開口中形成包括一氣隙的一介電層的橫截面圖。
第9圖為根據本申請的實施例,顯示了在該初始接觸結構的剩餘部分上形成一自對準金屬線的一橫截面圖。
第10圖為根據本申請的實施例,顯示了在第2圖的該初始結構上形成一虛擬接觸結構,以及一圖案化遮罩的一橫截面圖。
第11圖為根據本申請的實施例,顯示了移除第10圖的該虛擬接觸結構的一部分,沉積一介電層,以及移除第10圖的該圖案化遮罩的一橫截面圖。
第12圖為根據本申請的實施例,顯示了移除第11圖的該虛擬接觸結構的一剩餘部分的一橫截面圖。
第13圖為根據本申請的實施例,顯示了形成一導電層於第12圖的該結構上以形成一接觸結構以及一自對準金屬線於其上的一橫截面圖。
第14圖為根據本申請的實施例,顯示了移除第11圖的該虛擬接觸結構的該剩餘部分的一部分的一橫截面圖。
第15圖為根據本申請的實施例,顯示了形成一導電層於第14圖的該虛擬接觸結構的一剩餘部分上以形成一接觸結構以及一自對準金屬線於其上的一橫截面圖。
第16圖為根據本申請的實施例,顯示了一溝槽矽化物結構上的一接觸結構上的一自對準金屬線的一平面圖。
第17圖為根據本申請的實施例,顯示了形成一接觸結構於一鰭片的一源汲區域上,以及形成一自對準金屬線於該接觸結構上的一橫截面圖。
第18圖為根據本申請的實施例,顯示了形成一接觸結構於一閘極結構上,以及形成一自對準金屬線於該接觸結構上的一橫截面圖。
第19圖為根據本申請的實施例,顯示了一金屬閘極結構上的一接觸結構上的一自對準金屬線的一平面圖。
應注意的是,本申請的附圖並非按照比例來繪製。這些附圖僅旨在描述本申請的典型方面,因此,不應被視為限制本申請的範圍。在附圖中,相似的編號指代附圖之間的相似元件。
在下面的描述中,參考構成附圖的一部分,且其中,附圖通過說明可以實踐本教導的具體實施例的方式來顯示。這些實施例被足夠詳細的描述以使本領域的技術人員能夠實踐本教導,且應該理解,可以使用其他實施例並可在不偏離本教導範圍的情況下進行改變,因此,下面的描述僅僅是說明性的。
本申請的實施例提供了一種形成一接觸結構以及一自對準金屬線於其上的結構和方法。該方法可以包括使用一較大的初始接觸結構或虛擬接觸結構及圖案化遮罩形成一接觸結構,以及通過移除該圖案化遮罩並形成一導電層於該圖案化遮罩的位置以形成一自對準金屬線於該接觸結構上。根據本申請的實施例,形成該接觸結構可例如允許該接觸結構的非常規垂直的截面幾何形狀。根據本申請的實施例,形成一自對準金屬線於該接觸結構上也可以通過形成該金屬線的一導電層於用於形成該接觸結構的該圖案化遮罩的位置,以防止和/或減少該接觸結構上的該金屬線的錯位。防止和/或減少該接觸結構上的該金屬線的錯位,例如,可以降低該接觸結構-金屬線界面處的電阻率,以及跨越一半導體結構的接觸結構-金屬線界面的電阻率 的變化。
參考附圖,第2圖為根據本申請的實施例,顯示了用於形成一接觸結構以及一自對準金屬線於其上的一初始結構200。
初始結構200可以包括一基板102,於該基板102上可以形成初始結構200的該剩餘部分。基板102可使用任何已知的或將來開發的用於形成一基板的半導體製造技術來形成。基板102可以包括但不限於矽、鍺、矽鍺、氮化矽、以及那些基本上由一種或多種III-V族化合物半導體組成的化合物,其具有由公式AlX1GaX2InX3AsY1PY2NY3SbY4所定義的一組成,其中,X1、X2、X3、Y1、Y2、Y3和Y4代表相對比例,其中每個均大於或等於零,且X1+X2+X3+Y1+Y2+Y3+Y4=1(1是總相對摩爾量)。其他適合的基板包括具有一組成物ZnA1CdA2SeB1TeB2的II-VI化合物半導體,其中,A1、A2、B1、和B2是相對比例,每個均大於或等於零,且A1+A2+B1+B2=1(1是總摩爾量)。此外,各層的一部分或全部可以被應變。
初始結構200也可例如包括設置於基板102上的鰭片104。雖然顯示了兩個鰭片,初始結構200可包括一半導體結構的任何期望數量的鰭片。鰭片104可通過用於形成一鰭片於一基板上的任何已知或將來開發的半導體製造技術而形成。例如,如第2圖所示,鰭片104可使用一圖案化遮罩(未予顯示)通過圖案化外延生長基板102和/或圖案化蝕刻基板102而形成。本文所使用的“外延” 或“外延生長”指的是一種將一單晶或大晶粒多晶材料的薄層沉積在具有相似結晶性質的一基底材料上的製程(process)。蝕刻通常指的是從一基板(或形成於該基板的結構)中移除材料,並通常使用就位的一遮罩來執行,使得材料可從該基板的某些區域中被選擇性地移除,同時使得在該基板的其他區域中的材料不受影響。通常有兩類蝕刻,(i)濕蝕刻以及(ii)乾蝕刻。濕蝕刻使用溶劑(例如一酸劑)進行的,其能力可以選擇性地溶解一給定材料(如氧化物),同時留下另一材料(如多晶矽)相對完好。這種選擇性蝕刻給定材料的能力是許多半導體製造製程的基礎。一濕蝕刻通常各向同性地(isotropically)蝕刻一均勻材料(例如氧化物),但一濕蝕刻也可各向異性地(anisotropically)蝕刻單晶材料(例如,矽晶圓)。可以使用一電漿(plasma)進行乾蝕刻。等離子系統可以通過調節該電漿的參數而在幾種模式下操作。普通的電漿蝕刻產生在該晶圓的表面反應的能量自由基,中性電荷。由於中性粒子從各個角度攻擊晶圓,所以該製程是各向同性的。離子銑削,或濺射蝕刻,用幾乎從一個方向接近該晶圓的惰性氣體的高能離子轟擊該晶圓,因此,這個製程是高度各向異性的。反應離子蝕刻(reactive-ion etching;RIE)在濺射和電漿蝕刻之間的條件下工作,可用於產生深、窄特徵,例如STI溝槽。
初始結構200可例如包括形成於鄰接鰭片104的基板102上的一淺溝槽隔離(STI)介電質110。如 第2圖所示,STI介電質110可形成在鰭片104的側壁的一底部上。STI介電質可例如來自基板102上的另一或其他半導體結構的電性隔離鰭片104。STI介電質110可通過用於形成一STI介電層的傳統半導體製造技術而形成在鄰接於鰭片104的基板102上。例如,在形成鰭片104於基板102之後,STI介電質110可通過沉積、化學機械平坦化(chemical mechanical planarization;CMP)以及蝕刻而形成。如本文所使用的術語“沉積”可以包括任何已知的或將來開發的適於沉積的技術,包括但不限於,例如,化學氣相沉積(CVD)、低壓CVD(LPCVD)、電漿增強CVD(PECVD)、半大氣CVD(SACVD)、高密度電漿CVD(HDPCVD)、快速熱CVD(RTCVD)、超高真空CVD(UHVCVD)、有限反應處理CVD(IRPCVD)、金屬有機CVD(MOCVD)、濺射沉積、離子束沉積、電子束沉積、雷射輔助沉積、熱氧化、熱氮化、旋塗法、物理氣相沉積(PVD)、原子層沉積(ALD)、化學氧化、分子束外延(MBE)、電鍍、和蒸發。STI介電質110可包括但不限於:氧化矽(SiO)、二氧化矽(SiO2)和/或任何現在已知的或將來開發的氧化物材料。在蝕刻STI介電質110之後,鰭片104的一上部202,即鰭片104的一主動區域可被重暴露。如本文所述,源汲區域可以形成在鰭片104的暴露的上部202中。雖然為簡化描述而未顯示,在形成STI介電質110之前,一襯墊(liner)層可以形成在基板102和鰭片104上。該襯墊(未示出)可例如充當一氧化物阻擋層。該襯墊(未 示出)可以通過傳統半導體製造技術而形成,並包括傳統半導體襯墊材料。例如,該襯墊(未示出)可包括通過沉積而形成的氮化矽(SiN)。
雖然在第2圖的橫截面圖中未予示出,但在形成STI介電質110之後,一虛擬閘極結構和間隔件(spacer)結構可以形成在SIT介電質110上以及鰭片104的上部202,在第2圖的頁的橫截面圖中和/或橫截面圖之外。該虛擬閘極結構以及間隔件結構可通過用於形成一虛擬閘極結構和間隔件的傳統半導體製造技術而形成。在形成該虛擬閘極結構(未示出)以及間隔件結構(未示出)之後,源汲區域106可以由鰭片104的上部202形成。例如,源汲區域106可以通過外延生長和/或用於形成一源汲區域在一鰭片上的其他任何已知的或將來開發的半導體製造技術而形成。源汲區域106可以包括,例如,一p摻雜區域和/或一n摻雜區域。N型摻雜劑可以包括但不限於:磷(P)、砷(As)、銻(Sb)。P型摻雜劑可以包括但不限於:硼(B)、銦(In)和鎵(Ga)。
初始結構200可以包括形成於鰭片104的STI介電質110以及源汲區域106上方的一初始介電層206。初始介電層206可例如在其中所形成的電性隔離半導體結構。初始介電層206可例如通過沉積以及化學機械平坦化(CMP),和/或用於形成一介電層的任何其他已知的或將來開發的半導體製造技術,而形成在鰭片104的STI介電質110以及源汲區域106的上方。初始介電層206可以包 括但不限於:氮化矽(Si3N4)、氧化矽(SiO2)、氟化SiO2(FSG)、氫化矽氧碳化物(SiCOH)、多孔SiCOH、硼磷矽酸鹽玻璃(BPSG)、矽倍半氧烷(silsesquioxanes)、包括矽(Si)、碳(C)、氧(O)和/或氫原子(H)的碳(C)摻雜氧化物(即有機矽)、熱固性聚芳醚、SiLK(由陶氏化學公司提供的聚芳醚)、由JSR公司提供的旋塗含矽聚合物材料、其他低介電常數(<3.9)材料或其層。
初始結構200可包括,例如,位於鰭片104的源汲區域106上的一溝槽矽化物結構108。例如,溝槽矽化物結構108可以形成為源汲區域106的一保護層或阻擋層。溝槽矽化物結構108可以使用用於形成一矽化物的任何已知或將來開發的半導體製造技術而形成在初始介電層206中。例如,形成溝槽矽化物結構108可以包括在其上形成一矽化物層(未標記)和金屬化層(未標記)。例如,溝槽矽化物結構108的形成可以通過:形成一溝槽(未標記)於初始介電層206(例如,通過使用一遮罩的RIE的蝕刻);執行一原位預清潔;通過沉積一金屬(例如,Ti,Ni,Co等)在源汲區域106上形成一矽化物層(例如:NiSi,NiPtSi,CoSi,TiSi),以及退火沉積的金屬;通過沉積一金屬粘合層(例如,TiN)以及一塊金屬(例如:W,Co,Ru)形成一金屬化層在該矽化物層上;以及平坦化(例如CMP)。
第3圖為根據本申請的實施例,顯示了形成一初始接觸結構210於溝槽矽化物結構108上,以及形成 一有機平坦化層(organic planarizing layer;OPL)213於初始接觸結構的上方。例如,可以形成初始接觸結構210,以用於隨後從其形成接觸結構。例如,可以形成OPL 213,以用於在初始接觸結構210的上方形成一圖案化遮罩。
如第3圖所示,初始接觸結構210可形成在位於初始介電層206和溝槽矽化物結構108上的一第一介電層212中。第一介電層212可以例如通過與上面描述的有關初始介電層206的一相似製程而形成。初始接觸結構210可以例如通過用於形成一接觸結構於一介電層中的任何已知或將來開發的半導體製造技術而形成在第一介電層212中。例如,初始接觸結構210可通過形成一開口(未標記)於第一介電層212中,沉積一導電材料208於該開口中,以及平坦化該導電材料而形成。雖然未予圖示,但一襯墊也可在形成導電材料208之前而形成於該開口中。導電材料208可包括,例如,銅(Cu)、鎢(W)、鈷(Co)、釕(Ru)和/或任何其他已知的或將來開發的用於一接觸結構的導電材料。該襯墊可例如通過沉積和/或任何已知或將來開發的用於形成一襯墊的半導體製造技術來形成。該襯墊可包括任何傳統襯墊材料,如氮化鈦(TiN)、氮化鉭(TaN)、鈷(Co)、或釕(Ru)和/或任何其它難熔金屬,如鉭(Ta)、鈦(Ti)、鎢(W)、銥(Ir)、銠(Rh)和鉑(Pt)等,和/或它們的混合物。
相比於傳統一接觸結構的形成,初始接觸結構210可以包括大於第1圖的傳統接觸結構112的最大寬 度W1的一最大寬度W2。例如,如第3圖所示,初始接觸結構210可包括大約40奈米至大約100奈米的最大寬度W2。例如,初始接觸結構210的最大寬度可以允許移除初始接觸結構210的一部分,並由其剩餘部分形成較小的接觸結構(例如第6圖的接觸結構244,246),同時保持該較小接觸結構的一期望的最大寬度(例如,第6圖的第二接觸結構246的最大寬度W3)。應理解的是,初始接觸結構210的最大寬度及傳統接觸結構112(見第1圖)可基於技術節點而有所不同。
在常規接觸結構形成的這一點上,一金屬線(例如,第1圖的傳統金屬線118)將形成在初始接觸結構210上的一介電層(例如第1圖的介電層124)中。如第3圖所示,相比於傳統製程,根據本申請的實施例,OPL 213可形成在第一介電層212以及初始接觸結構210上。OPL 213可被形成,以例如用於形成用於移除初始接觸結構210的部分的一圖案化遮罩。應理解的是,儘管OPL 213的橫截面在第3圖中予以示出,但所示的OPL 213的部分可例如延伸至第3圖的頁中和/或第3圖的頁之外。OPL 213可通過用於形成一OPL的傳統半導體製造技術,例如沉積、圖案化以及蝕刻而形成在第一介電層212以及初始接觸結構210上。例如,OPL 213的形成可包括:旋塗一初始層;沉積一附加層於其上;通過一光刻製程圖案化這些層;以及RIE該圖案化的層以形成用於圖案化遮罩214的開口(未標記)於OPL 213中並最終形成一第一金屬層的金屬線。
第4圖顯示了在OPL 213中的開口內形成一圖案化遮罩214。應當瞭解的是,與OPL 213相似,雖然第4圖中示出了圖案化遮罩214的橫截面,圖案化遮罩214的部分可延伸至第4圖的頁中和/或第4圖的頁之外,如本文所述,圖案化遮罩214可用於在移除初始接觸結構210的部分期間,保護初始接觸結構210以及第一介電層212的部分。此外,圖案化遮罩214可被移除,例如,用於在接觸結構上的相應位置上形成一自對準金屬線(例如,第9圖的自對準金屬線264,266)。圖案化遮罩214可通過電漿增強原子層沉積(plasma-enhanced atomic layer deposition;PEALD)以及一回蝕刻製程而形成在OPL 213的開口214中、以及初始接觸結構210和第一介電層212上。圖案化遮罩214可例如包括氮化矽(SiN),和/或用於圖案化蝕刻其下方的一層的任何已知或將來開發的一遮罩的材料。
第5圖顯示了移除OPL 213以形成開口216,218於圖案化遮罩214中。開口216,218的形成可例如用於暴露初始接觸結構210的一上表面224的相應的暴露區域220,222。初始接觸結構210的部分可在暴露區域220,222處被移除,根據本申請的實施例,例如用於形成接觸結構。OPL 213可例如通過灰化被移除。雖然第5圖中示出了兩個開口,但是圖案化遮罩214可以包括任意數量的開口,以用於任何所需的接觸結構的佈局和數量,以及用於形成本文所描述的一半導體結構的自對準金屬線。
第6圖示出了使用圖案化遮罩214以移除在 暴露區域220,222處的初始接觸結構210(見第5圖)的部分226,228(在假體中)。例如,可以移除部分226,228(在假體中)以從初始接觸結構210(見第5圖)形成接觸結構。移除部分226,228可例如在初始接觸結構210(見第5圖)中形成開口230,232。開口230,232可例如延伸通過初始接觸結構210(見第5圖),並暴露溝槽矽化物結構108的一最頂部表面238的暴露區域234,236。開口230,232可例如通過蝕刻而形成,例如,蝕刻暴露區域220,222,而圖案化遮罩214保護半導體結構的其餘部分。例如,該蝕刻可以包括一選擇性金屬RIE製程。
如第6圖所示,在初始接觸結構210(見第5圖)中形成開口230,232之後,初始接觸結構(見第5圖)的一第一部分240和一第二部分242可以彼此保持橫向分離。第一部分240和第二部分242可例如從初始接觸結構210(見第5圖)形成新的接觸結構,即第一接觸結構244和第二接觸結構246,以用於一半導體結構。雖然,第6圖中示出了初始接觸結構210(見第5圖)的兩個剩餘部分,但是圖案化遮罩214可以包括任意圖案的開口以形成任意數量的初始接觸結構210(見第5圖)的剩餘部分,以用於半導體結構可能需要的任意數量的接觸結構。例如,儘管未予示出,圖案化遮罩214可以用於移除初始接觸結構210(見第5圖)的暴露部分,使得幾乎沒有初始接觸結構的一部分保留在一單個接觸結構。如第6圖所示,相比於第1圖的傳統接觸結構112,根據本申請的實施例形 成第一接觸結構244可導致例如在第一接觸結構244中包括一平行四邊形的截面幾何形狀。又如第6圖所示,相比於第1圖的傳統接觸結構112,根據本申請的實施例形成第二接觸結構246可導致例如第二接觸結構246包括一梯形的截面幾何形狀,其中,接觸結構的一最底部表面248寬於接觸結構的一最頂部表面250。雖然示出了各接觸結構的橫截面幾何形狀中的一者,但是可以通過本文所描述的方法形成前述的任意數量的具有一平行四邊形或梯形的截面幾何形狀的接觸結構。
第7圖為根據本申請的實施例,顯示了形成一第二介電層252於開口216,218(見第5圖)以及開口230,232(見第6圖)中,並移除圖案化遮罩214(在假體中)。第二介電層252可例如電性地並物理地隔離第一接觸結構244與第二接觸結構246。如將在本文中針對第9圖所進一步討論的,第二介電層252也可例如形成在接觸結構244,246(見第9圖)上形成的一第一金屬層M1的部分。如第7圖所示,第二介電層252可例如形成在溝槽矽化物結構108的最頂部表面238的暴露區域234,236上。在第7圖中還示出,第二介電層252的一最頂部表面254可位於第一介電層212的一最頂部表面256的上方。第二介電層252可以通過與第2圖的初始介電層206以及第6圖的第一介電層212所描述的相似的製程形成。
第8圖示出了形成氣隙(air gap)258於第二介電層252中的一非限制性實施例。在第8圖所示的實施 例中,可形成與接觸結構244,246相鄰的氣隙258。在鄰接接觸結構244,246的第二介電層252中形成氣隙258可例如減小接觸結構之間的寄生電容。可例如通過在開口處快速掐斷第二介電層252的介電材料的一非共形CVD沉積製程、和/或用於形成一氣隙在一介電層中的任何已知或將來開發的半導體製造製程,而在第二介電層252中形成氣隙258。雖然第8圖中示出了兩個氣隙258,但任何數量的氣隙可形成在以一間隔方式位於第6圖的頁中以及頁之外的第二介電層252中。
返回第7圖,圖案化遮罩214(在假體中)可例如在上述形成第二介電層252之後而被移除。在第7圖所示的實施例中,圖案化遮罩214的移除可暴露接觸結構244,246的上表面260,262。圖案化遮罩214可例如使用一選擇性蝕刻製程和/或用於選擇性移除一遮罩的任何已知或將來開發的半導體製造技術而被移除。例如,在圖案化遮罩214包括氮化矽(SiN)的情況下,介電層252包括氧化矽(SiO2),選擇性蝕刻製程可包括對選擇性地蝕刻氮化矽(SiN)的一濕蝕刻或乾蝕刻製程。
第9圖為根據本申請的實施例,示出了形成包括自對準金屬線264,266的第一金屬層M1,自對準金屬線264,266分別與第一接觸結構244以及第二接觸結構246上的第二介電層252的部分相鄰。應當理解的是,儘管第9圖示出了自對準金屬線264,266的一橫截面,該自對準金屬線可延伸至第9圖的頁中和/或第9圖的頁之外。自對準 金屬線264,266可例如形成在接觸結構244,246的上表面268,270上。自對準金屬線264可通過位於第一金屬層M1內的第二介電層252而物理性地且電性地與自對準金屬線266隔離。在第9圖所示的實施例中,相比於第1圖的未對準的傳統金屬線118以及傳統接觸結構112,自對準金屬線264,266相應的底表面272,274可完全接觸接觸結構244,246的相應的上表面268,270。通過本文所描述的方法形成的接觸結構244,246以及自對準金屬線264,266可以防止和/或減輕其下方的接觸結構上的金屬線的錯位。防止和/或減輕接觸結構244,246上的金屬線264,266的錯位可例如降低金屬線-接觸結構界面的電阻率,並減小金屬線-接觸結構界面的電阻在半導體結構上的差異。
自對準金屬264,266可通過用於形成一金屬線的任何已知或將來開發的半導體製造技術而形成。例如,自對準金屬線264,266的形成可包括:沉積一導電層276於第一介電層212上以及接觸結構244,246的上表面268,270上,並平坦化導電層。導電層276可例如包括鎢、鈷(Co)、銅(Cu)、釕(Ru)、和/或用於形成金屬線在一金屬層中的任何已知或將來開發的導電材料。雖然未予示出,在形成導電層276之前,可以在接觸結構244,246的上表面268,270上以及第一金屬層M1中的第二介電層252上形成一襯墊。該襯墊可以通過與上述第5圖中所描述的相似的方法而形成並包括與之相似的材料。
第10圖至第15圖示出了使用形成在第2圖 的初始結構200上的一虛擬接觸結構而在一接觸結構上形成一自對準金屬線的替換實施例。相比於使用初始接觸結構210(見第5圖)形成一自對準金屬線於一接觸結構上,此處形成一自對準金屬線於一接觸結構上可以包括,例如,部分或完全移除一虛擬接觸結構的一部分,並在其位置上形成一導電層以形成一自對準金屬線以及一接觸結構兩者。
第10圖為根據本申請的實施例,示出了形成一虛擬接觸結構278於第2圖的初始結構200上,以及一圖案化遮罩280於虛擬接觸結構278上。虛擬接觸結構278可通過上述與第3圖的第一介電層212以及初始接觸結構210相似的製程而形成在第2圖的初始結構200上的一第一介電層212中。例如,第一介電層212可通過沉積在第2圖的初始結構200上而形成,且虛擬接觸結構278可通過形成一開口(未標記)於第一介電層212中並沉積一犧牲材料282於該開口中而形成。犧牲材料282可包括,例如,一非金屬材料,如非晶矽(aSi),深紫外線吸收氧化物(deep ultraviolet light absorbing oxide;DUO),和/或任何已知或將來開發的犧牲材料。
圖案化遮罩280可例如通過與上述第5圖的圖案化遮罩214相似的製程而形成並包括與之相似的材料。圖案化遮罩280可包括,例如,暴露虛擬接觸結構278的上表面292的區域288,290的開口284,286。與圖案化遮罩214類似,雖然第10圖示出了兩個開口,但是圖案化遮罩 280可以包括用於形成本文所描述的一半導體結構所需的接觸結構以及自對準金屬線的佈局和數量的任意數量的開口。
第11圖為根據本申請的實施例,示出了移除虛擬接觸結構278(見第10圖)的一第一部分294(在假體中)以及第二部分296(在假體中),形成一第二介電層252,以及移除圖案化遮罩280。
移除虛擬接觸結構278(見第10圖)的部分294,296(在假體中)可例如形成開口(未標記)於虛擬接觸結構278(見第10圖)中。如第11圖所示,部分298可在移除虛擬接觸結構278(見第10圖)的部分294,296(在假體中)之後被剩餘。第二介電層252可形成在虛擬接觸結構278(見第10圖)的開口(未標記)中,以及圖案化遮罩280(在假體中)的開口284,286中。第二介電層252用於使後續形成的接觸結構320,323(見第13圖)以及自對準金屬線320,322(見第13圖)物理地且電性地相互隔離。圖案化遮罩280(在假體中)可被移除以形成開口300,302,開口300,302暴露用於移除其一部分的虛擬接觸結構278(見第10圖)的剩餘部分298的上表面308的上區域304,306,如本文所述。
虛擬接觸結構278(見第10圖)的第一部分294(在假體中)以及第二部分296(在假體中)可通過與上述初始接觸結構210(見第3圖)的部分226,228(見第6圖)相似的製程被移除。例如,第一部分294(在假體中) 以及第二部分296(在假體中)可通過蝕刻(例如RIE)被移除。第二介電層252可例如通過與上述第7圖的第二介電層252相似的製程而形成,並包括與之相似的材料。圖案化遮罩280可例如通過與上述第6圖的圖案化遮罩214相似的製程被移除。
第12圖為根據本申請的實施例,示出了完全移除虛擬接觸結構278(見第10圖)的剩餘部分298(見第11圖)。例如,移除剩餘部分298(見第11圖)可形成暴露初始結構200的溝槽矽化物結構108的上表面的區域314,316的開口310,312,以用於隨後形成接觸結構以及自對準金屬線於其上。剩餘部分298(見第11圖)可例如通過選擇性蝕刻和/或用於移除一犧牲材料的任何已知或將來開發的半導體製造技術而被移除。
第13圖為根據本申請的實施例,示出了形成一導電層318於開口300,302(見第11圖)以及開口310,312(見第12圖)中以形成一第一接觸結構320、一第二接觸結構322、一第一自對準金屬線324、以及一第二自對準金屬線326。應瞭解的是,雖然第13圖顯示了自對準金屬線326的一橫截面圖,自對準金屬線326可延伸至第13圖的頁中和/或第13圖的頁之外。雖然未予示出,在形成導電層318之前,可例如在開口300,302(見第11圖)以及開口310,312(見第12圖)中形成一襯墊。該襯墊可通過與上述第3圖相似的方法形成並包括與之相似的材料。導電層318可例如通過與上述第6圖的接觸結構244,246的導 電材料208以及第9圖的自對準金屬線264,266的導電層276的相似的製程形成,並包括與之相似的材料。
如第13圖所示,完全移除虛擬接觸結構278(見第10圖)的剩餘部分298(見第11圖)例如可以導致第一自對準金屬線324以及第一接觸結構320由導電層318的材料的一單一部分328形成,以及第二自對準金屬線326以及第二接觸結構322由導電層318的材料的一單一部分330形成。如第13圖所示,如本文所描述的形成自對準金屬線324,326以及接觸結構320,322可防止和/或減小接觸結構上的金屬線的錯位。例如,由於在移除部分294,296(見第10圖)期間,圖案化遮罩280(見第10圖)覆蓋虛擬接觸結構278(見第10圖)的部分298(見第12圖),圖案化遮罩與部分298對準。開口334,336(見第14圖)可通過移除部分298(見第12圖)而形成,因此圖案化遮罩280(見第10圖)也與開口334,336(見第14圖)對準。開口300,302(見第12圖)通過移除預對準圖案化遮罩280(見第10圖)而隨後形成,因此也與開口334,336(見第14圖)對準。因此,當導電層318形成在開口內時,金屬線324,326與接觸結構320,322對準。防止和/或減輕接觸結構320,322上金屬線324,326的錯位可例如降低金屬線-接觸結構界面的電阻率,並減小金屬線-接觸結構界面的電阻在半導體結構上的差異。
與第6圖的第一接觸結構244相似,相比於第1圖的傳統接觸結構112,使用虛擬接觸結構278(見第 10圖)形成如本文所述的第一接觸結構320可包括,例如,一平行四邊形的截面幾何形狀。如第13圖所示,相比於第1圖的傳統接觸結構112,第二接觸結構322可包括,例如,一梯形的截面幾何形狀,其中,接觸結構的底部寬於接觸結構的頂部。如上述關於第6圖的接觸結構244,246所討論的,雖然示出了具有各橫截面幾何形狀的一接觸結構,根據本申請的實施例,可以形成具有任意橫截面幾何形狀的任意數量的接觸件。
相比於第12圖的實施例,第14圖及第15圖顯示了通過移除虛擬接觸結構278(見第10圖)的剩餘部分298(見第11圖)的僅僅一部分而形成自對準金屬線於接觸結構上。如將在第15圖中所討論的,通過移除一虛擬接觸結構的剩餘部分的僅僅一部分而形成一自對準金屬線於一接觸結構上可導致一接觸結構中包括由非金屬材料的一部分所包圍的導電材料的一部分。如本文將要討論的,形成如下所述的一接觸結構導致在接觸結構中具有一傳統梯形幾何形狀的一導電區域,其中,接觸結構的一上部寬於接觸結構的一底部。
第14圖為根據本申請的實施例,示出了僅移除虛擬接觸結構278(見第10圖)的剩餘部分298(見第11圖)的一第一部分332(在假體中)。移除剩餘部分298(見第11圖)的第一部分332(在假體中)可例如形成暴露初始結構200的溝槽矽化物結構108的上表面的區域338,340的開口334,336。如第14圖所示,剩餘部分298(見 第11圖)的一第二部分342可保持在鄰接開口334,336。剩餘部分298(見第11圖)的第一部分332(在假體中)可例如通過各向異性乾蝕刻和/或用於移除一犧牲材料的一部分的任何已知或將來開發的半導體製造技術而被移除。
第15圖為根據本申請的實施例,示出了形成一導電層344於溝槽矽化物結構108的上表面的暴露區域338,340上的開口300,302(見第11圖)中以及開口334,336(見第14圖)中。導電層344可例如形成一第一接觸結構348的一部分346(在假體中)以及一第二接觸結構352的一部分350(在假體中)、一第一自對準金屬線354、以及一第二自對準金屬線356於溝槽矽化物結構108上。應當注意的是,雖然第15圖顯示了自對準金屬線354,356的一橫截面圖,自對準金屬線可延伸至第13圖的頁中和/或第13圖的頁之外。導電層344可以通過例如與上述第6圖的接觸結構244,246的導電材料208和/或第9圖的自對準金屬線264,266的導電層276相似的製程形成,並包括與之相似的材料。雖然未予示出,在形成導電層344之前,一襯墊可形成在溝槽矽化物結構108的上表面的暴露區域338,340上的開口300,302(見第11圖)中以及開口334,336(見第14圖)中。襯墊可以通過上述與第3圖的襯墊相似的方法形成並包括與之相似的材料。
如第15圖所示,移除虛擬接觸結構278(見第10圖)的剩餘部分298(見第11圖)的第一部分332 (見第14圖),例如可以導致由導電層344的一單一部分358形成第一自對準金屬線354和第一接觸結構348,以及由導電層344的一單一部分360形成第二自對準金屬線356和第二接觸結構352。如本文所述的形成第一接觸結構348、第二接觸結構352、第一自對準金屬線354、以及第二自對準金屬線356可以防止和/或減輕接觸結構上的金屬線的錯位。例如,由於在移除部分294,296(見第10圖)期間,圖案化遮罩280(見第10圖)覆蓋虛擬接觸結構278(見第10圖)的部分298(見第12圖),圖案化遮罩與部分298對準。開口334,336(見第14圖)為通過移除部分298(見第12圖)而形成,因此圖案化遮罩280(見第10圖)也與開口334,336(見第14圖)對準。開口300,302(見第12圖)通過移除預對準圖案化遮罩280(見第10圖)而隨後形成,因此也與開口334,336(見第14圖)對準。因此,當導電層318形成在開口內時,金屬線354,356與接觸結構348,352自對準。防止和/或減輕接觸結構348,352上的金屬線354,356的錯位,可例如減小金屬線-接觸結構界面的電阻率,並減小金屬線-接觸結構界面電阻在半導體結構上的差異。
在第15圖所示的實施例中,相比於第13圖的接觸結構320,322,接觸結構348,352可包括沿著接觸結構的側壁362的犧牲材料282(見第11圖)的相應部分342,其鄰接其中的導電層344的相應部分。如第15圖所示,形成上述的接觸結構352可導致位於第二接觸結構352內的 導電層344的部分350(在假體中)包括,例如,一傳統梯形的截面幾何形狀,其中,接觸結構的一上部寬於接觸結構的一最底部。形成接觸結構352的接觸結構部分350(在假體中)以包括部分342可例如減輕和/或防止在導電層344的形成期間,在保留部分342的情況下而可能形成的一空洞(void)。此外,防止和/或減輕在一接觸結構中形成空洞可以例如降低接觸結構的電阻。
第16圖為根據本申請的實施例,示出了所形成的一自對準金屬線366以及接觸結構368,369的平面圖。自對準金屬線366以及接觸結構368,369可形成在位於一鰭片(未示出)的一源汲區域(未示出)上的一溝槽矽化物結構370上。自對準接觸線366以及接觸結構368,369可通過與所述的第9圖的自對準金屬線266以及第二接觸結構244,246相似的製程而形成。在另一非限制性實施例中,自對準金屬線366以及接觸結構368可通過與所述的第13圖的自對準金屬線326以及接觸結構320,322相似的製程而形成。在又一非限制性實施例中,自對準金屬線366以及接觸結構368可通過與所述的第15圖的接觸結構348,352以及自對準金屬線354相似的製程而形成。
如第16圖所示,相比於第1圖的傳統接觸結構112,根據本申請的實施例形成自對準金屬線366於接觸結構368,369上可導致接觸結構368,369包括具有一個或沒有圓形邊緣的一頂部截面幾何形狀。例如,在形成接觸結構368期間,初始接觸結構372(在假體中)的部分可 從後續形成的接觸結構的兩側被移除。因此,除了上述討論的例如第9圖的接觸結構246的非常規梯形垂直的截面幾何形狀之外,接觸結構368可以包括一基本方形的頂部截面幾何形狀。如第16圖所示,在另一實施例中,在接觸結構369的形成期間,初始接觸結構372(在假體中)的部分可從後續形成的接觸結構的一側被移除。因此,除了上述關於例如第9圖的接觸結構244的平行四邊形垂直的截面幾何形狀之外,接觸結構369可以包括僅具有一圓形側的一頂部截面幾何形狀。形成接觸結構368,369以包括具有一個或沒有圓形邊緣的一頂部橫截面幾何形狀,可以改善接觸結構及其上形成的金屬線之間的邊緣佈置變化。根據本申請的實施例形成接觸結構368,369也可例如改善線端臨界尺寸的均勻性(critical dimension uniformity;CDU)。改善邊緣佈置變化以及CDU可例如減小金屬線-接觸結構界面的電阻率,以及金屬線-接觸結構界面電阻在半導體結構上的變化。
雖然第2圖至第16圖顯示了形成一自對準金屬線在位於一溝槽矽化物結構(即第2圖的溝槽矽化物結構108)上的一接觸結構上,根據本申請的實施例形成一自對準金屬線於一接觸結構上可以包括,例如,形成一自對準金屬線在位於其他半導體結構上的一接觸結構上,例如,直接位於一半導體結構的源汲區域上,位於一半導體結構的鰭片上的一閘極,等。
第17圖顯示了形成自對準金屬線在直接位 於鰭片的源汲區域的一矽化物區域上的接觸結構上。如第17圖所示,相比於第2圖的初始結構200的溝槽矽化物結構108,一矽化物區域382可形成在鰭片104的源汲區域106上。
包括源汲區域106的鰭片104、以及STI介電質110可通過與第2圖中的類似編號的結構相同和/或相似的方法以及材料而形成在基板102上。在形成源汲區域106之後,矽化物區域382可形成在其上。矽化物區域382的形成可包括:例如進行一原位預清潔;沉積一金屬如鈦、鑷、鈷等;退火該沉積金屬;以及移除任何未反應的金屬。 一介電層206可通過與上述第2圖中類似編號的結構相同和/或相似的方法以及材料形成在基板102、鰭片104以及矽化物區域382上。如第7圖所示,相比於第2圖至第16圖,一初始接觸結構384(在假體中)可以形成在矽化物區域382上的一介電層206中。例如在第一介電層206中形成到矽化物區域382的一溝槽(未標記),並在溝槽中沉積以及平坦化導電材料,以形成初始接觸結構384。初始接觸結構384(在假體中)可以包括與上述第3圖的第一初始接觸結構210相似的材料。一旦形成初始接觸結構384(在假體中),第5圖的圖案化遮罩214可形成在初始接觸結構384以及介電層206上以用於移除初始接觸結構384(在假體中)的部分以從其形成接觸結構。可通過蝕刻,例如使用圖案化遮罩214的RIE來移除初始接觸結構384(在假體中)的部分388,390,以保護半導體結構的剩餘部 分不被進一步處理。在部分388,390被移除後,初始接觸結構384的部分392,394可以保持彼此橫向分離。部分392,394可形成相應的接觸結構378,380。在部分388,390被移除後,一介電層404可例如,通過沉積並平坦化介電材料而形成在部分388,390的位置。介電層404可例如電性隔離接觸結構378,380。在形成介電層404之後,第5圖的圖案化遮罩214可被移除,例如,通過選擇性RIE的方式。自對準金屬線374,376可分別形成在接觸結構378,380上,以替代圖案化遮罩214。例如,自對準金屬線374,376可例如通過沉積並平坦化一導電層406而形成。雖然未予示出,另一襯墊,例如釕和/或其他任何難熔金屬可在形成導電層406之前,而沉積在接觸結構378,380上。導電層406可例如包括鎢和/或任何其他金屬線所需的導電材料。如第17圖所示,相比於第1圖的傳統接觸結構112,接觸結構380可包括側壁402,其從接觸結構的頂部至接觸結構的底部相互遠離地延伸。此外,金屬線374,376的一底表面408可完全接觸接觸結構378,380的一上表面410。由此形成如本文所述的接觸結構378,380以及金屬線374,376可以防止和/或減輕接觸結構378,380上的金屬線374,376的錯位。
第18圖顯示了形成一自對準金屬線在位於一閘極結構上的一接觸結構上的另一非限制性實施例。第18圖的橫截面圖可例如包括在第2圖至第15圖以及第15圖的平面之中或之外的鰭片104的一橫截面。
圖104可通過於上述第2圖的鰭片104相似的製程而形成在基板102上。STI介電質110而後也可形成在基板102上,並鄰接鰭片104,如上述第2圖中的STI介電質110。基板102、鰭片104以及STI介電質110可包括與第2圖中相似編號結構相同或相似的材料。在STI介電質110的形成期間,鰭片104的一上部202可以重新曝光,以在第18圖的頁內或頁外的鰭片104中形成源汲區域(未圖示),如上文中第2圖所描述的。
雖然未示出,但在形成源汲區域(未示出)期間,可以在鰭片104的上部202和STI介電質110上形成一虛擬閘極結構,以保護鰭片104和STI介電質110的部分。在形成源汲區域(未示出)之後,虛擬閘極結構(未示出)可以被移除。
如第18圖所示,一閘極結構414可以形成在鰭片104以及STI介電質110的上部202。閘極結構414可例如形成在虛擬閘極結構(未示出)的位置。閘極結構414可通過用於形成一閘極結構於一鰭片上的傳統半導體製造技術以及傳統材料而形成。一閘極蓋層415可形成在閘極結構414上,例如,在半導體結構的附加處理期間保護閘極結構。閘極蓋層415可通過用於形成一蓋層在一閘極上的傳統方法以及材料而形成。例如,蓋層415可通過沉積並平坦化一氮化物(例如,氮化矽(SiN))而形成。
一介電層418可形成在閘極結構414上,例如,將閘極結構與其他半導體結構電性隔離。介電層418 可通過用於形成一介電層的傳統半導體製造技術(例如,沉積並平坦化)而形成。介電層418可包括與上述第2圖的初始介電層206相似的材料。
一初始接觸結構416(在假體中)而後可形成在介電層418中以由其形成接觸結構。可通過RIE形成一溝槽(未標記)至閘極結構414的上表面420,沉積一導電材料422於溝槽中,並平坦化該導電材料,從而形成初始接觸結構416(在假體中)在介電層418和閘極蓋層415中。雖然未予圖示,一襯墊,如釕和/或其他任何難熔金屬,可在形成導電材料422於溝槽中之前,形成在溝槽(未標記)中。
可在初始接觸結構416上形成一圖案化遮罩424(在假體中),以移除用於形成接觸結構的初始接觸結構416(在假體中)的部分。圖案化遮罩424可例如通過沉積、圖案化以及蝕刻的步驟而形成。例如,圖案化遮罩424的形成可包括:形成一有機平坦化層(OPL)於介電層418上;形成開口在用於圖案化遮罩424的所需圖案中的OPL中;形成氮化矽(SiN)在開口中以形成圖案化遮罩;以及移除OPL的其餘部分。圖案化遮罩424可例如包括氮化矽(SiN)和/或任何其他已知的或後來開發的用於遮罩的材料,以用於圖案化蝕刻其下方的層的一遮罩。
圖案化遮罩424(在假體中)可以包括暴露用以移除的初始接觸結構416的部分428的開口426,而形成一接觸結構。初始接觸結構416的部分428可例如通 過蝕刻而被移除,而圖案化遮罩424(在假體中)保護接觸結構的其餘部分。如第18圖所示,蝕刻可例如是選擇性移除初始接觸結構416(在假體中)的材料的一濕蝕刻或乾蝕刻。如第18圖所示,初始接觸結構416的一部分432可在移除部分428之後被保留。部分432可形成接觸結構412。
一介電層430可形成在通過移除初始接觸結構416(在假體中)的部分428而形成的開口(未標記)中,例如,以電性隔離接觸結構416(在假體中)與其他半導體結構。介電層430可通過傳統半導體製造技術(例如沉積以及平坦化)而形成。介電層418可以包括與第2圖的初始介電層206相似的材料。雖然未予圖示,氣隙可例如通過與第6圖中形成氣隙258相同或相似的方法而形成在介電層430中,鄰接接觸結構416。
圖案化遮罩424(在假體中)可以在形成介電層430之後被移除,例如,以提供用於形成金屬線於其中的開口。例如,可通過選擇性RIE移除圖案化遮罩424(在假體中)。在移除圖案化遮罩424(在假體中)之後,可在接觸結構412上方的一開口(未標記)中形成一自對準金屬線434。例如,可通過在圖案化遮罩424的位置沉積並平坦化導電材料,可以在接觸結構412上形成自對準金屬線434。雖然未示出,但是在形成導電材料於其上之前,一襯墊,例如釕和/或任何其它難熔金屬可以形成在接觸結構412上。如第18圖所示,金屬線434的一底表面 436可完全接觸接觸結構412的一上表面438。如本文所述形成金屬線434在位於閘極結構414上的接觸結構412上可以因此防止和/或減輕接觸結構上的金屬線的錯位。另外,如第18圖所示,相比於第1圖的傳統接觸結構112,接觸結構412可以包括一梯形的截面幾何形狀,其中,接觸結構的一底部寬於接觸結構的一頂部。儘管未予示出,接觸結構412可替代地形成為包括一平行四邊形垂直的截面幾何形狀。例如,可以在初始接觸結構416的左端或右端的圖案化遮罩424中形成單一開口,使得初始接觸結構416的相應最左邊部分或最右端部分將予以保留以形成一接觸結構。所得到的接觸結構可以包括,例如,梯形的截面幾何形狀,其中,接觸結構的一底部寬於接觸結構的一頂部。另外,雖然僅示出了一個接觸結構和其上的金屬線,但是根據本文所描述的方法,可以在閘極結構414上形成任何期望數量的接觸結構。
第19圖示出了根據本申請的實施例所形成的第18圖的一自對準金屬線434以及接觸結構412的一平面圖。自對準金屬線434以及接觸結構412可位於接觸結構414上。相比於第1圖的傳統接觸結構112,根據本申請的實施例形成自對準金屬線434在接觸結構412上可導致接觸結構412包括具有一個或沒有圓形邊緣的一頂部橫截面幾何形狀。例如,如第19圖所示,在形成接觸結構412期間,初始接觸結構416(在假體中)的部分可以由後續形成的接觸結構的兩側被移除。因此,除了上述關於第 18圖所討論的非常規梯形垂直的截面幾何形狀之外,接觸結構412可以包括一基本方形的頂部截面幾何形狀。雖然未予示出,接觸結構412可替換的通過從隨後形成的接觸結構的一側移除初始接觸結構416(在假體中)的一部分而形成。因此,接觸結構可以包括具有一圓形邊緣的一頂部截面幾何形狀,以及一垂直平行四邊形的截面幾何形狀。形成接觸結構412以包括一個或沒有圓形邊緣,可以改善接觸結構與其上形成的金屬線434之間的邊緣佈置變化。根據本申請的實施例形成接觸結構412也可例如提高線端臨界尺寸的均勻性(CDU)。改善邊緣佈置變化以及CDU可例如減少金屬線-接觸結構界面的電阻率,以及金屬線-接觸結構電阻在半導體結構上的變化。
雖然在上下文中描述的是形成在一基板上的一垂直場效應電晶體(FinFET)的方法和結構,但應當理解的是,該方法和結構不受限制,且可應用在平面型場效應電晶體和/或任何其他金屬氧化物-半導體場效應電晶體(MOSFET)。
上述的方法用於積體電路晶片的製造。所得到的積體電路晶片可以由製造者以原始晶圓形式(即作為具有多個未封裝晶片的一單晶圓)、一裸晶片,或以封裝形式予以分佈。在後一種情況下,晶片安裝在一單晶片封裝中(例如一塑料載體,具有附接至一主機板或其他更高級別載體的引線)或一多晶片封裝中(例如具有表面互連或埋置互連中的一個或兩個的一陶瓷載體)。在任何一種情況 下,晶片而後與其他晶片、獨立電路元件、和/或其他處理裝置整合為(a)一中間產品(例如一主機板)或(b)一最終產品的一部分。最終產品可以是包括積體電路新品的任何產品,從玩具和其他低端應用到具有一顯示器、一鍵盤或其他輸入裝置,以及一中央處理器的先進電腦產品。
本文所使用的術語僅用於描述特定的實施例,並不旨在限制本申請。如本文所使用的,單數形式“一”、“一個”和“該”的意圖也包括複數形式,除非上下文清楚地表明。還應理解的是,術語“包括”和/或“含有”,當在本說明書中使用時,指定所述特徵、整數、步驟、操作、元件和/或組件的存在,但不排除存在或添加一個或多個其他特徵、整數、步驟、操作、元件、組件、和/或其組合。“可選”或“可選地”意味著隨後描述的事件或情況可能發生或可能不發生,並且描述包括事件發生的實例和不發生事件的實例。
近似語言,如在本文的說明書和申請專利範圍中所使用的,可應用於修改任何可允許變化的量化表示,而不會導致與其相關的基本功能的改變。因此,由術語或術語修改的值,如“大約”、“近似”和“大致”,不應被限定為指定的精確值。在至少一些情況下,近似語言可以對應於用於測量該值的一儀器的精度。在這裡和整個說明書和申請專利範圍中,範圍限制可以組合和/或互換,這樣的範圍被識別並包括其中包含的所有子範圍,除非上下文或語言另有指示。“近似”適用於兩個值的一範圍的一 特定值,除非另外依賴於測量該值的儀器的精度,否則可指示所述值的±10%。“大致正方形”可以指具有四個主要側面但側面形狀存在變化,或附加次要側面的數量存在變化的一形狀。“大致圓形”可以指具有圓形的形狀,但在整個直徑中有一些變化。
隨附申請專利範圍中的所有裝置或步驟加上功能元件的相應結構、材料、行為和等效物旨在包括與所要求的其他要求的元件組合的任何結構、材料或動作。為了說明和描述的目的,已經提出了本申請的描述,但並不打算窮盡或局限於本申請所披露的形式。在不脫離本申請的範圍和精神的情況下,許多修改和變化將對本領域普通技術人員來說是顯而易見的。所選擇和描述的實施例,用於最好地解釋本申請的原理和實際應用,並使本領域的普通技術人員能夠理解適合於特定用途的各種修改的各種實施例的公開。
108‧‧‧溝槽矽化物結構
338,340‧‧‧暴露區域
342‧‧‧部分或第二部分
344‧‧‧導電層
346‧‧‧部分
348‧‧‧第一接觸結構
350‧‧‧部分
352‧‧‧第二接觸結構
354,356‧‧‧金屬線
358,360‧‧‧單一部分
362‧‧‧側壁

Claims (17)

  1. 一種積體電路(IC)結構,包括:一第一接觸結構,位於一基板上方的一第一介電層中,以及一第二接觸結構,位於該第一介電層中,該第二接觸結構通過該第一介電層與該第一接觸結構橫向分離,其中,該第一接觸結構的一垂直的截面幾何形狀包括該第一接觸結構的一最底部表面寬於該第一接觸結構的一最頂部表面的一梯形並且該第一接觸結構進一步包括基本方形的一頂部的水平截面幾何形狀,以及其中,該第二接觸結構的一垂直的截面幾何形狀包括一平行四邊形,並且該第二接觸結構進一步包括具有至少一平坦側及僅一圓形側的一頂部的水平截面幾何形狀。
  2. 如申請專利範圍第1項所述的IC結構,進一步包括:一第二介電層,位於該第一介電層以及該第一接觸結構的上方;以及一金屬線,位於該第二介電層中,其中,該金屬線的一表面接觸該第一接觸結構的該最頂部表面。
  3. 如申請專利範圍第2項所述的IC結構,其中,該金屬線的一最底部表面完全接觸該第一接觸結構的該最頂部表面。
  4. 如申請專利範圍第1項所述的IC結構,其中,該第一接觸結構的該最底部表面接觸該基板上方的一源汲區 域以及位於該基板上方的該源汲區域上的一溝槽矽化物結構中的一者。
  5. 如申請專利範圍第1項所述的IC結構,其中,該第一接觸結構包括沿含有一非金屬材料的該第一接觸的至少一側壁的一第一部分,以及含有一導電材料的一第二部分。
  6. 如申請專利範圍第5項所述的IC結構,其中,該第二部分的一垂直的截面幾何形狀包括一梯形,其中,該第二部分的一最頂部表面寬於該第二部分的一最底部表面。
  7. 如申請專利範圍第1項所述的IC結構,其中,該第一介電層包括其中的一氣隙,該氣隙位於鄰接該第一接觸結構。
  8. 如申請專利範圍第1項所述的IC結構,其中,該第一接觸結構電性連接位於該基板中的一絕緣區域上的一閘極。
  9. 一種形成積體電路(IC)結構的方法,其中,該方法包括:形成一初始接觸結構於一基板上方的一第一介電層中;形成一圖案化遮罩於該初始接觸結構上以及該第一介電層上,其中,該圖案化遮罩包括暴露該初始接觸結構的一部分的一第一開口;使用該圖案化遮罩形成通過位於由該第一開口所暴露的該初始接觸結構的該部分處的該初始接觸結構 的一第二開口;形成一第二介電層於該第一開口以及該第二開口中;移除該圖案化遮罩以暴露該初始接觸結構的一剩餘部分;以及形成一金屬線於該初始接觸結構的該剩餘部分上。
  10. 如申請專利範圍第9項所述的方法,其中,該金屬線的一最底部表面完全接觸該初始接觸結構的該剩餘部分的一最頂部表面。
  11. 如申請專利範圍第9項所述的方法,其中,在形成通過該初始接觸結構的該第二開口之後,該第二開口橫向隔離該接觸結構的一第一部分以及該接觸結構的一第二部分以形成一對接觸結構。
  12. 如申請專利範圍第9項所述的方法,其中,形成該第二介電層包括形成一氣隙於該第二介電層中,該氣隙位於鄰接該初始接觸結構的該剩餘部分。
  13. 如申請專利範圍第9項所述的方法,其中,該初始接觸結構與位於該基板上的一鰭片的一源或汲區域電性連接。
  14. 如申請專利範圍第13項所述的方法,其中,形成該初始接觸結構於該第一介電層中包括形成該初始接觸結構以接觸位於該基板上的該鰭片的該源或汲區域上的一溝槽矽化物區域的一最頂部表面。
  15. 如申請專利範圍第9項所述的方法,其中,在形成該第 二開口於該初始接觸結構中之前,該初始接觸結構包括大約40奈米至大約80奈米的一最大寬度。
  16. 一種形成自對準金屬線至積體電路(IC)結構的接觸結構的方法,其中,該方法包括:形成一虛擬接觸結構於一第一介電層中,該虛擬接觸結構接觸位於一基板上的一鰭片的一源汲區域上的一溝槽矽化物區域;形成一圖案化遮罩於該虛擬接觸結構上以及該第一介電層上,其中,該圖案化遮罩包括至該虛擬接觸結構的一暴露部分的一第一開口;使用該圖案化遮罩形成通過該虛擬接觸結構至位於該暴露部分處的該溝槽矽化物區域的一第二開口;形成一第二介電層於該第一開口以及該第二開口中;移除該圖案化遮罩以暴露該虛擬接觸結構的一剩餘部分;移除該虛擬接觸結構的該剩餘部分的至少一部分,以暴露該溝槽矽化物區域的一最頂部表面;以及形成一導電層於該溝槽矽化物區域的該最頂部表面上,其中,該導電層包括該自對準金屬線以及該接觸結構。
  17. 如申請專利範圍第16項所述的方法,其中,移除該虛擬接觸結構的該剩餘部分的至少一部分包括一非各向同性蝕刻製程。
TW107121315A 2017-09-01 2018-06-21 在接觸結構上之自對準金屬線及其形成方法 TWI684258B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/693,651 US10199271B1 (en) 2017-09-01 2017-09-01 Self-aligned metal wire on contact structure and method for forming same
US15/693,651 2017-09-01

Publications (2)

Publication Number Publication Date
TW201913941A TW201913941A (zh) 2019-04-01
TWI684258B true TWI684258B (zh) 2020-02-01

Family

ID=65200114

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107121315A TWI684258B (zh) 2017-09-01 2018-06-21 在接觸結構上之自對準金屬線及其形成方法

Country Status (3)

Country Link
US (1) US10199271B1 (zh)
CN (1) CN109427742B (zh)
TW (1) TWI684258B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11121129B2 (en) * 2018-07-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
KR20210090768A (ko) 2020-01-10 2021-07-21 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20220285527A1 (en) * 2021-03-03 2022-09-08 Intel Corporation Transistor arrangements with stacked trench contacts and gate contacts without gate caps
US20220359287A1 (en) * 2021-05-05 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Recessed contacts at line end and methods forming same
US20230114507A1 (en) * 2021-10-12 2023-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6103592A (en) * 1997-05-01 2000-08-15 International Business Machines Corp. Manufacturing self-aligned polysilicon fet devices isolated with maskless shallow trench isolation and gate conductor fill technology with active devices and dummy doped regions formed in mesas
US6258678B1 (en) * 1999-08-02 2001-07-10 Taiwan Semiconductor Manufacturing Company Use of a wet etch dip step used as part of a self-aligned contact opening procedure
US20040198036A1 (en) * 2001-08-14 2004-10-07 Makiko Nakamura Semiconductor device having a tapered interconnection with insulating material on conductive sidewall thereof within through hole
US20080191352A1 (en) * 2007-02-13 2008-08-14 Chen-Hua Yu Stacked contact with low aspect ratio
US7828450B2 (en) * 2007-09-23 2010-11-09 Lou Riley Adjustable sunshade assembly
US20120261828A1 (en) * 2011-04-15 2012-10-18 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US20150311082A1 (en) * 2014-04-25 2015-10-29 Globalfoundries Inc. Self-aligned gate contact formation
US9257529B2 (en) * 2014-03-11 2016-02-09 Tokyo Electron Limited Method of forming self-aligned contacts using a replacement metal gate process in a semiconductor device
US9318388B2 (en) * 2013-11-13 2016-04-19 Globalfoundries Inc. Methods of forming substantially self-aligned isolation regions on FinFET semiconductor devices and the resulting devices
US9496377B2 (en) * 2014-01-09 2016-11-15 Globalfoundries Inc. Self-aligned emitter-base-collector bipolar junction transistors with a single crystal raised extrinsic base

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
SG11201601323XA (en) 2013-09-27 2016-03-30 Intel Corp Previous layer self-aligned via and plug patterning for back end of line (beol) interconnects

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6103592A (en) * 1997-05-01 2000-08-15 International Business Machines Corp. Manufacturing self-aligned polysilicon fet devices isolated with maskless shallow trench isolation and gate conductor fill technology with active devices and dummy doped regions formed in mesas
US6258678B1 (en) * 1999-08-02 2001-07-10 Taiwan Semiconductor Manufacturing Company Use of a wet etch dip step used as part of a self-aligned contact opening procedure
US20040198036A1 (en) * 2001-08-14 2004-10-07 Makiko Nakamura Semiconductor device having a tapered interconnection with insulating material on conductive sidewall thereof within through hole
US20080191352A1 (en) * 2007-02-13 2008-08-14 Chen-Hua Yu Stacked contact with low aspect ratio
US7828450B2 (en) * 2007-09-23 2010-11-09 Lou Riley Adjustable sunshade assembly
US20120261828A1 (en) * 2011-04-15 2012-10-18 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US9318388B2 (en) * 2013-11-13 2016-04-19 Globalfoundries Inc. Methods of forming substantially self-aligned isolation regions on FinFET semiconductor devices and the resulting devices
US9496377B2 (en) * 2014-01-09 2016-11-15 Globalfoundries Inc. Self-aligned emitter-base-collector bipolar junction transistors with a single crystal raised extrinsic base
US9257529B2 (en) * 2014-03-11 2016-02-09 Tokyo Electron Limited Method of forming self-aligned contacts using a replacement metal gate process in a semiconductor device
US20150311082A1 (en) * 2014-04-25 2015-10-29 Globalfoundries Inc. Self-aligned gate contact formation

Also Published As

Publication number Publication date
TW201913941A (zh) 2019-04-01
CN109427742B (zh) 2022-07-08
CN109427742A (zh) 2019-03-05
US10199271B1 (en) 2019-02-05

Similar Documents

Publication Publication Date Title
US10211095B2 (en) High performance middle of line interconnects
TWI692016B (zh) 用於奈米片裝置之取代金屬閘極圖案化
TWI684258B (zh) 在接觸結構上之自對準金屬線及其形成方法
US7719035B2 (en) Low contact resistance CMOS circuits and methods for their fabrication
US9917014B2 (en) Vertical air gap subtractive etch back end metal
KR102403741B1 (ko) 반도체 장치
US11342326B2 (en) Self-aligned etch in semiconductor devices
US11569356B2 (en) Scaled gate contact and source/drain cap
US11437286B2 (en) Middle of line structures
TW201822279A (zh) 半導體裝置之製造方法
US20190081145A1 (en) Contact to source/drain regions and method of forming same
US11557510B2 (en) Spacers for semiconductor devices including backside power rails
KR20170010710A (ko) 반도체 디바이스 및 그 제조 방법
US10741497B2 (en) Contact and interconnect structures
US10825811B2 (en) Gate cut first isolation formation with contact forming process mask protection
KR102133326B1 (ko) 구성된 프로파일을 갖는 라이너층을 구비한 반도체 디바이스 및 그 제조방법
US11942390B2 (en) Thermal dissipation in semiconductor devices
US10797046B1 (en) Resistor structure for integrated circuit, and related methods
US20090294809A1 (en) Reduction of metal silicide diffusion in a semiconductor device by protecting sidewalls of an active region
TWI795770B (zh) 用以減低電氣短路之接觸結構及其形成方法
US11569234B2 (en) Semiconductor device structure and methods of forming the same
US10707206B2 (en) Gate cut isolation formed as layer against sidewall of dummy gate mandrel
TW202409887A (zh) 半導體裝置結構及其形成方法
CN114078744A (zh) 半导体结构及其形成方法
CN114927568A (zh) 用于具有与fet栅极对准的外基极的双极型晶体管的集成电路结构和方法