CN109427742B - 接触结构上的自对准金属线及其形成方法 - Google Patents

接触结构上的自对准金属线及其形成方法 Download PDF

Info

Publication number
CN109427742B
CN109427742B CN201811009018.2A CN201811009018A CN109427742B CN 109427742 B CN109427742 B CN 109427742B CN 201811009018 A CN201811009018 A CN 201811009018A CN 109427742 B CN109427742 B CN 109427742B
Authority
CN
China
Prior art keywords
contact structure
forming
dielectric layer
initial
metal line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811009018.2A
Other languages
English (en)
Other versions
CN109427742A (zh
Inventor
谢瑞龙
古拉密·波奇
L·埃克诺米科思
孙磊
宁国翔
张洵渊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109427742A publication Critical patent/CN109427742A/zh
Application granted granted Critical
Publication of CN109427742B publication Critical patent/CN109427742B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Abstract

本发明涉及接触结构上的自对准金属线及其形成方法,提供形成自对准金属线于接触结构上的结构及方法。形成该自对准金属线及接触结构的该方法可包括:形成一初始接触结构于一衬底的上方;形成一图案化掩膜于该初始接触结构上,该掩膜包括一开口;使用该图案化掩膜以形成通过该初始接触结构的一开口;形成一介电层于该开口中;移除该图案化掩膜以暴露该初始接触结构的一剩余部分;以及形成该金属线于该初始接触结构的该剩余部分上。该接触结构可包括一垂直的截面几何形状,该垂直的截面几何形状包括该第一接触结构的一最底部表面宽于该第一接触结构的一最顶部表面的一梯形及一平行四边形中的一者。该金属线可完全接触该接触结构的一最顶部表面。

Description

接触结构上的自对准金属线及其形成方法
技术领域
本申请涉及半导体装置制造,具体而言,涉及一接触结构上的自 对准金属线以及形成该接触结构与金属线的方法。
背景技术
传统集成电路(IC)(即芯片)形成通常发生在一半导体衬底 (substrate)(例如硅晶圆)的表面上。IC可以包括形成于该半导体衬 底的该表面上的各种互连的半导体装置,例如,电阻器、晶体管、电 容器等。由于装置的数量庞大,且IC的布局复杂,该装置无法在同一 装置级(device level)内连接。因此,该装置可以例如通过形成在 该装置级上方的一个或多个层中的一复杂布线系统而互连。该布线系 统可包括,例如,堆叠的含金属层,即金属化层(metallization layer), 该金属化层包括提供层内电性连接的金属线。该布线系统还包括在含 有垂直结构的金属化层之间堆叠的层,即金属化之间的层间电性连接 的通孔。
布线系统可通过一“装置级”垂直连接结构,即接触结构(CA) 而电性连接至该装置级的该半导体装置。该接触结构可形成在封装该 半导体装置的该装置级的一初始通孔层(V0)中。该接触结构的一第 一端可连接一半导体结构的一相应的接触区域,例如,一栅极电极或 一晶体管的源漏(source and drain)区域。该接触结构的第二端可 连接位于其上方的一金属化层中的一对应的金属线。接触结构的该第 二端可直接连接该相应的金属线,或通过一通孔而间接连接该相应的 金属线。
连接该接触结构至该金属化层的一个挑战可包括:通孔和/或下方 具有该接触结构的金属线的错位。参考附图,图1显示了一半导体结 构100,其包括一装置层116的一介电层114中的一传统接触结构112。 接触结构112位于一沟槽硅化物结构108上,该沟槽硅化物结构108 将该接触结构电性连接到位于一衬底102上的一浅沟槽隔离(shallow trenchisolation;STI)介电质110中的一鳍片104的一源漏区域106。 接触结构112还电性连接装置层116上方的一金属化层120的一介电 层124中的一传统金属线118。如图1所示,接触结构112可包括大约 12纳米至大约50纳米的一最大宽度W1。如图1所示,接触结构112 可以包括一梯形的一垂直横截面几何形状,其中,该接触结构的一上 表面126宽于该接触结构的一底表面128。接触结构112可例如通过直 接形成一开口(未标记)于介电层114并在其中形成接触材料而形成。 虽然未予图示,该传统开口(未标记)及由此生成的接触结构112可 包括一基本圆形,或椭圆顶的截面几何形状。如图1所示,在区域122 处(在假体(phantom)中),接触结构112未与金属线118对准。一布 线系统(例如,传统金属线118)与其下方的一传统接触结构(例如接 触结构112)的连接的错位可导致一较弱的电连接,在金属线-接触结 构界面的一较高电阻,以及跨越半导体结构的多个金属线-接触结构界 面的电阻的变化。该接触结构上的错位金属线也可导致该半导体结构 无法操作。
发明内容
本发明的一第一方面涉及一种集成电路(IC)结构,包括:一衬 底上方的一第一介电层中的一第一接触结构,其中,该第一接触结构 的一垂直的截面几何形状包括该第一接触结构的一最底部表面宽于该 第一接触结构的一最顶部表面的一梯形以及一平行四边形中的一者。
本发明的一第二方面涉及一种形成金属线于集成电路(IC)结构 的接触结构上的方法,该方法包括:形成该接触结构于一衬底上方的 一第一介电层中;形成一图案化掩膜于该接触结构上以及该第一介电 层上,其中,该图案化掩膜包括暴露该接触结构的一部分的一第一开 口;使用该图案化掩膜,形成通过由该第一开口所暴露的该接触结构 的该部分处的该接触结构的一第二开口;形成一第二介电层于该第一 开口中以及该第二开口中;移除该图案化掩膜以暴露该接触结构的一 剩余部分;以及形成该金属线于该接触结构的该剩余部分上。
本发明的一第三方面涉及形成集成电路(IC)结构的方法,该方 法包括:形成一虚拟(dummy)接触结构于一第一介电层中,该虚拟接 触结构接触位于一衬底上的一鳍片的一源漏区域上的一沟槽硅化物区 域;形成一图案化掩膜于该虚拟接触结构上以及该第一介电层上,其 中,该图案化掩膜包括至该虚拟接触结构的一暴露部分的一第一开口; 使用该图案化掩膜形成通过该虚拟接触结构至该暴露部分处的该沟槽 硅化物区域的一第二开口;形成一第二介电层于该第一开口以及该第 二开口中;移除该图案化掩膜以暴露该虚拟接触结构的一剩余部分; 移除该虚拟接触结构的该剩余部分的至少一部分以暴露该沟槽硅化物 矛的一最顶部表面;以及形成一导电层于该沟槽硅化物区域的该最顶 部表面上,其中,该导电层包括该自对准金属线以及该接触结构。
附图说明
本申请的各实施例将参考下面的附图进行详细描述,其中,相似 的名称表示类型的元件,且其中:
图1显示了一传统接触结构上的一传统个金属线的一横截面。
图2为根据本申请的实施例,显示了形成一接触结构以及一自对 准金属线于其上的一初始结构的一横截面图。
图3为根据本申请的实施例,显示了形成一初始接触结构,以及 形成一图案化掩膜于图2的该初始结构上的一横截面图。
图4为根据本申请的实施例,显示了形成该图案化掩膜于图2的 该初始结构上的一横截面图。
图5为根据本申请的实施例,显示了形成该图案化掩膜于图2的 该初始结构上的一横截面图。
图6为根据本申请的实施例,显示了使用图5的该图案化掩膜移 除该初始接触结构的一部分的一横截面图。
图7为根据本申请的实施例,显示了在通过使用图5及图6的图 案化掩膜移除该初始接触结构中的该部分所形成的开口中形成一介电 层的横截面图。
图8为根据本申请的实施例,显示了在通过移除该初始接触结构 的该部分以及该图5及图6的该图案化掩膜所形成的该开口中形成包 括一气隙的一介电层的横截面图。
图9为根据本申请的实施例,显示了在该初始接触结构的剩余部 分上形成一自对准金属线的一横截面图。
图10为根据本申请的实施例,显示了在图2的该初始结构上形成 一虚拟接触结构,以及一图案化掩膜的一横截面图。
图11为根据本申请的实施例,显示了移除图10的该虚拟接触结 构的一部分,沉积一介电层,以及移除图10的该图案化掩膜的一横截 面图。
图12为根据本申请的实施例,显示了移除图11的该虚拟接触结 构的一剩余部分的一横截面图。
图13为根据本申请的实施例,显示了形成一导电层于图13的该 结构上以形成一接触结构以及一自对准金属线于其上的一横截面图。
图14为根据本申请的实施例,显示了移除图11的该虚拟接触结 构的该剩余部分的一部分的一横截面图。
图15为根据本申请的实施例,显示了形成一导电层于图14的该 虚拟接触结构的一剩余部分上以形成一接触结构以及一自对准金属线 于其上的一横截面图。
图16为根据本申请的实施例,显示了一沟槽硅化物结构上的一接 触结构上的一自对准金属线的一平面图。
图17为根据本申请的实施例,显示了形成一接触结构于一鳍片的 一源漏区域上,以及形成一自对准金属线于该接触结构上的一横截面 图。
图18为根据本申请的实施例,显示了形成一接触结构于一栅极结 构上,以及形成一自对准金属线于该接触结构上的一横截面图。
图19为根据本申请的实施例,显示了一金属栅极结构上的一接触 结构上的一自对准金属线的一平面图。
应注意的是,本申请的附图并非按照比例来绘制。这些附图仅旨 在描述本申请的典型方面,因此,不应被视为限制本申请的范围。在 附图中,相似的编号指代附图之间的相似元件。
符号说明
100 半导体结构
102 衬底
104 鳍片
106 源漏区域
108 沟槽硅化物结构
110 浅沟槽隔离(STI)介电质
112 接触结构
114 介电层
116 装置层
118 金属线
120 金属化层
122 区域
124 介电层
126 上表面
128 底表面
200 初始结构
202 上部
206 初始介电层
208 导电材料
210 初始接触结构
212 第一介电层
213 有机平坦化层(OPL)
214 图案化掩膜
216,218 开口
220,222 暴露区域
224 上表面
226,228 部分
230,232 开口
234,236 暴露区域
238 最顶部表面
240 第一部分
242 第二部分
244 接触结构或第一接触结构
246 接触结构或第二接触结构
248 最底部表面
250 最顶部表面
252 第二介电层
254,256 最顶部表面
258 气隙
260,262 上表面
264,266 金属线或自对准金属线
268,270 上表面
272,274 底表面
276 导电层
278 虚拟接触结构
280 图案化掩膜
282 牺牲材料
284,286 开口
288,290 区域
292 上表面
294 部分或第一部分
296 部分或第二部分
298 部分或剩余部分
300,302 开口
304,306 上部
308 上表面
310,312 开口
314,316 区域
318 导电层
320 第一接触结构
322 第二接触结构
324,326 金属线或自对准金属线
328,330 单一部分
332 第一部分
334,336 开口
338,340 暴露区域
342 部分或第二部分
344 导电层
346 部分
348 第一接触结构
350 部分
352 第二接触结构
354,356 金属线
358,360 单一部分
362 侧壁
366 自对准金属线
368,369 接触结构
370 沟槽硅化物结构
372 初始接触结构
374,376 自对准金属线
378,380 接触结构
382 硅化物区域
384 初始接触结构
388,390,392,394 部分
402 侧壁
404 介电层
406 导电层
408 底表面
410 上表面
412 接触结构
414 栅极结构
415 盖层栅极盖层
416 初始接触结构
418 介电层
420 上表面
422 导电材料
424 图案化掩膜
426 开口
428 部分
430 介电层
432 部分
434 金属线
436 底表面
438 上表面
M1 第一金属层
W1,W2,W3 宽度。
具体实施方式
在下面的描述中,参考构成附图的一部分,且其中,附图通过说 明可以实践本教导的具体实施例的方式来显示。这些实施例被足够详 细的描述以使本领域的技术人员能够实践本教导,且应该理解,可以 使用其他实施例并可在不偏离本教导范围的情况下进行改变,因此, 下面的描述仅仅是说明性的。
本申请的实施例提供了一种形成一接触结构以及一自对准金属线 于其上的结构和方法。该方法可以包括使用一较大的初始接触结构或 虚拟接触结构及图案化掩膜形成一接触结构,以及通过移除该图案化 掩膜并形成一导电层于该图案化掩膜的位置以形成一自对准金属线于 该接触结构上。根据本申请的实施例,形成该接触结构可例如允许该接触结构的非常规垂直的截面几何形状。根据本申请的实施例,形成 一自对准金属线于该接触结构上也可以通过形成该金属线的一导电层 于用于形成该接触结构的该图案化掩膜的位置,以防止和/或减少该接 触结构上的该金属线的错位。防止和/或减少该接触结构上的该金属线 的错位,例如,可以降低该接触结构-金属线界面处的电阻率,以及跨 越一半导体结构的接触结构-金属线界面的电阻率的变化。
参考附图,图2为根据本申请的实施例,显示了用于形成一接触 结构以及一自对准金属线于其上的一初始结构200。
初始结构200可以包括一衬底102,于该衬底102上可以形成初始 结构200的该剩余部分。衬底102可使用任何已知的或将来开发的用 于形成一衬底的半导体制造技术来形成。衬底102可以包括但不限于 硅、锗、硅锗、氮化硅、以及那些基本上由一种或多种III-V族化合 物半导体组成的化合物,其具有由公式AlX1GaX2InX3AsY1PY2NY3SbY4所定义 的一组成,其中,X1、X2、X3、Y1、Y2、Y3和Y4代表相对比例,其中 每个均大于或等于零,且X1+X2+X3+Y1+Y2+Y3+Y4=1(1是总相对摩尔 量)。其他适合的衬底包括具有一组成物ZnA1CdA2SeB1TeB2的II-VI化合 物半导体,其中,A1、A2、B1、和B2是相对比例,每个均大于或等于 零,且A1+A2+B1+B2=1(1是总摩尔量)。此外,各层的一部分或全部 可以被应变。
初始结构200也可例如包括设置于衬底102上的鳍片104。虽然显 示了两个鳍片,初始结构200可包括一半导体结构的任何期望数量的 鳍片。鳍片104可通过用于形成一鳍片于一衬底上的任何已知或将来 开发的半导体制造技术而形成。例如,如图2所示,鳍片104可使用 一图案化掩膜(未予显示)通过图案化外延生长衬底102和/或图案化 蚀刻衬底102而形成。本文所使用的“外延”或“外延生长”指的是 一种将一单晶或大晶粒多晶材料的薄层沉积在具有相似结晶性质的一 基底材料上的工艺。蚀刻通常指的是从一衬底(或形成于该衬底的结 构)中移除材料,并通常使用就位的一掩膜来执行,使得材料可从该 衬底的某些区域中被选择性地移除,同时使得在该衬底的其他区域中 的材料不受影响。通常有两类蚀刻,(i)湿蚀刻以及(ii)干蚀刻。湿蚀 刻使用溶剂(例如一酸剂)进行的,其能力可以选择性地溶解一给定 材料(如氧化物),同时留下另一材料(如多晶硅)相对完好。这种选 择性蚀刻给定材料的能力是许多半导体制造工艺的基础。一湿蚀刻通 常各向同性地(isotropically)蚀刻一均匀材料(例如氧化物),但 一湿蚀刻也可各向异性地(anisotropically)蚀刻单晶材料(例如, 硅晶圆)。可以使用一等离子体(plasma)进行干蚀刻。等离子系统可 以通过调节该等离子体的参数而在几种模式下操作。普通的等离子体蚀刻产生在该晶圆的表面反应的能量自由基,中性电荷。由于中性粒 子从各个角度攻击晶圆,所以该工艺是各向同性的。离子铣削,或溅 射蚀刻,用几乎从一个方向接近该晶圆的惰性气体的高能离子轰击该 晶圆,因此,这个工艺是高度各向异性的。反应离子蚀刻(reactive-ion etching;RIE)在溅射和等离子体蚀刻之间的条件下工作,可用于产 生深、窄特征,例如STI沟槽。
初始结构200可例如包括形成于邻接鳍片104的衬底102上的一 浅沟槽隔离(STI)介电质110。如图2所示,STI介电质110可形成 在鳍片104的侧壁的一底部上。STI介电质可例如来自衬底102上的另 一或其他半导体结构的电性隔离鳍片104。STI介电质110可通过用于 形成一STI介电层的传统半导体制造技术而形成在邻接于鳍片104的 衬底102上。例如,在形成鳍片104于衬底102之后,STI介电质110 可通过沉积、化学机械平坦化(chemicalmechanical planarization; CMP)以及蚀刻而形成。如本文所使用的术语“沉积”可以包括任何已 知的或将来开发的适于沉积的技术,包括但不限于,例如,化学气相 沉积(CVD)、低压CVD(LPCVD)、等离子体增强CVD(PECVD)、半大气 CVD(SACVD)、高密度等离子体CVD(HDPCVD)、快速热CVD(RTCVD)、 超高真空CVD(UHVCVD)、有限反应处理CVD(LRPCVD)、金属有机CVD (MOCVD)、溅射沉积、离子束沉积、电子束沉积、激光辅助沉积、热 氧化、热氮化、旋涂法、物理气相沉积(PVD)、原子层沉积(ALD)、 化学氧化、分子束外延(MBE)、电镀、和蒸发。STI介电质110可包括 但不限于:氧化硅(SiO)、二氧化硅(SiO2)和/或任何现在已知的或将来开发的氧化物材料。在蚀刻STI介电质110之后,鳍片104的一 上部202,即鳍片104的一主动区域可被重暴露。如本文所述,源漏区 域可以形成在鳍片104的暴露的上部202中。虽然为简化描述而未显 示,在形成STI介电质110之前,一衬垫(liner)层可以形成在衬底102和鳍片104上。该衬垫(未示出)可例如充当一氧化物阻挡层。该 衬垫(未示出)可以通过传统半导体制造技术而形成,并包括传统半 导体衬垫材料。例如,该衬垫(未示出)可包括通过沉积而形成的氮 化硅(SiN)。
虽然在图2的横截面图中未予示出,但在形成STI介电质110之 后,一虚拟栅极结构和间隔件(spacer)结构可以形成在SIT介电质 110上以及鳍片104的上部202,在图2的页的横截面图中和/或横截 面图之外。该虚拟栅极结构以及间隔件结构可通过用于形成一虚拟栅 极结构和间隔件的传统半导体制造技术而形成。在形成该虚拟栅极结 构(未示出)以及间隔件结构(未示出)之后,源漏区域106可以由 鳍片104的上部202形成。例如,源漏区域106可以通过外延生长和/ 或用于形成一源漏区域在一鳍片上的其他任何已知的或将来开发的半 导体制造技术而形成。源漏区域106可以包括,例如,一p掺杂区域 和/或一n掺杂区域。N型掺杂剂可以包括但不限于:磷(P)、砷(As)、 锑(Sb)。P型掺杂剂可以包括但不限于:硼(B)、铟(In)和镓(Ga)。
初始结构200可以包括形成于鳍片104的STI介电质110以及源 漏区域106上方的一初始介电层206。初始介电层206可例如在其中所 形成的电性隔离半导体结构。初始介电层206可例如通过沉积以及化 学机械平坦化(CMP),和/或用于形成一介电层的任何其他已知的或将 来开发的半导体制造技术,而形成在鳍片104的STI介电质110以及 源漏区域106的上方。初始介电层206可以包括但不限于:氮化硅 (Si3N4)、氧化硅(SiO2)、氟化SiO2(FSG)、氢化硅氧碳化物(SiCOH)、 多孔SiCOH、硼磷硅酸盐玻璃(BPSG)、硅倍半氧烷(silsesquioxanes)、 包括硅(Si)、碳(C)、氧(O)和/或氢原子(H)的碳(C)掺杂氧化 物(即有机硅)、热固性聚芳醚、SiLK(由陶氏化学公司提供的聚芳醚)、 由JSR公司提供的旋涂含硅聚合物材料、其他低介电常数(<3.9)材 料或其层。
初始结构200可包括,例如,位于鳍片104的源漏区域106上的 一沟槽硅化物结构108。例如,沟槽硅化物结构108可以形成为源漏区 域106的一保护层或阻挡层。沟槽硅化物结构108可以使用用于形成 一硅化物的任何已知或将来开发的半导体制造技术而形成在初始介电 层206中。例如,形成沟槽硅化物结构108可以包括在其上形成一硅 化物层(未标记)和金属化层(未标记)。例如,沟槽硅化物结构108 的形成可以通过:形成一沟槽(未标记)于初始介电层206(例如,通 过使用一掩膜的RIE的蚀刻);执行一原位预清洁;通过沉积一金属(例 如,Ti,Ni,Co等)在源漏区域106上形成一硅化物层(例如:NiSi, NiPtSi,CoSi,TiSi),以及退火沉积的金属;通过沉积一金属粘合层 (例如,TiN)以及一块金属(例如:W,Co,Ru)形成一金属化层在 该硅化物层上;以及平坦化(例如CMP)。
图3为根据本申请的实施例,显示了形成一初始接触结构210于 沟槽硅化物结构108上,以及形成一有机平坦化层(organic planarizing layer;OPL)213于初始接触结构的上方。例如,可以形 成初始接触结构210,以用于随后从其形成接触结构。例如,可以形成OPL 213,以用于在初始接触结构210的上方形成一图案化掩膜。
如图3所示,初始接触结构210可形成在位于初始介电层206和 沟槽硅化物结构108上的一第一介电层212中。第一介电层212可以 例如通过与上面描述的有关初始介电层206的一相似工艺而形成。初 始接触结构210可以例如通过用于形成一接触结构于一介电层中的任 何已知或将来开发的半导体制造技术而形成在第一介电层212中。例 如,初始接触结构210可通过形成一开口(未标记)于第一介电层212 中,沉积一导电材料208于该开口中,以及平坦化该导电材料而形成。 虽然未予图示,但一衬垫也可在形成导电材料208之前而形成于该开 口中。导电材料208可包括,例如,铜(Cu)、钨(W)、钴(Co)、钌 (Ru)和/或任何其他已知的或将来开发的用于一接触结构的导电材 料。该衬垫可例如通过沉积和/或任何已知或将来开发的用于形成一衬 垫的半导体制造技术来形成。该衬垫可包括任何传统衬垫材料,如氮 化钛(TiN)、氮化钽(TaN)、钴(Co)、或钌(Ru)和/或任何其它难 熔金属,如钽(Ta)、钛(Ti)、钨(W)、铱(Ir)、铑(Rh)和铂(Pt) 等,和/或它们的混合物。
相比于传统一接触结构的形成,初始接触结构210可以包括大于 图1的传统接触结构112的最大宽度W1的一最大宽度W2。例如,如图 3所示,初始接触结构210可包括大约40纳米至大约100纳米的最大 宽度W2。例如,初始接触结构210的最大宽度可以允许移除初始接触 结构210的一部分,并由其剩余部分形成较小的接触结构(例如图6 的接触结构244,246),同时保持该较小接触结构的一期望的最大宽度 (例如,图6的第二接触结构246的最大宽度W3)。应理解的是,初始 接触结构210的最大宽度及传统接触结构112(见图1)可基于技术节 点而有所不同。
在常规接触结构形成的这一点上,一金属线(例如,图1的传统 金属线118)将形成在初始接触结构210上的一介电层(例如图1的介 电层124)中。如图3所示,相比于传统工艺,根据本申请的实施例, OPL 213可形成在第一介电层212以及初始接触结构210上。OPL213 可被形成,以例如用于形成用于移除初始接触结构210的部分的一图 案化掩膜。应理解的是,尽管OPL 213的横截面在图3中予以示出, 但所示的OPL 213的部分可例如延伸至图3的页中和/或图3的页之外。 OPL 213可通过用于形成一OPL的传统半导体制造技术,例如沉积、图 案化以及蚀刻而形成在第一介电层212以及初始接触结构210上。例 如,OPL213的形成可包括:旋涂一初始层;沉积一附加层于其上;通 过一光刻工艺图案化这些层;以及RIE该图案化的层以形成用于图案 化掩膜214的开口(未标记)于OPL 213中并最终形成一第一金属层 的金属线。
图4显示了在OPL 213中的开口内形成一图案化掩膜214。应当了 解的是,与OPL213相似,虽然图4中示出了图案化掩膜214的横截 面,图案化掩膜214的部分可延伸至图4的页中和/或图4的页之外, 如本文所述,图案化掩膜214可用于在移除初始接触结构210的部分 期间,保护初始接触结构210以及第一介电层212的部分。此外,图 案化掩膜214可被移除,例如,用于在接触结构上的相应位置上形成 一自对准金属线(例如,图9的自对准金属线264,266)。图案化掩膜 214可通过等离子体增强原子层沉积(plasma-enhancedatomic layer deposition;PEALD)以及一回蚀刻工艺而形成在OPL 213的开口214 中、以及初始接触结构210和第一介电层212上。图案化掩膜214可 例如包括氮化硅(SiN),和/或用于图案化蚀刻其下方的一层的任何已 知或将来开发的一掩膜的材料。
图5显示了移除OPL 213以形成开口216,218于图案化掩膜214 中。开口216,218的形成可例如用于暴露初始接触结构210的一上表 面224的相应的暴露区域220,222。初始接触结构210的部分可在暴露 区域220,222处被移除,根据本申请的实施例,例如用于形成接触结 构。OPL 213可例如通过灰化被移除。虽然图5中示出了两个开口,但 是图案化掩膜214可以包括任意数量的开口,以用于任何所需的接触 结构的布局和数量,以及用于形成本文所描述的一半导体结构的自对 准金属线。
图6示出了使用图案化掩膜214以移除在暴露区域220,222处的 初始接触结构210(见图5)的部分226,228(在假体中)。例如,可以 移除部分226,228(在假体中)以从初始接触结构210(见图5)形成 接触结构。移除部分226,228可例如在初始接触结构210(见图5)中形成开口230,232。开口230,232可例如延伸通过初始接触结构210(见 图5),并暴露沟槽硅化物结构108的一最顶部表面238的暴露区域 234,236。开口230,232可例如通过蚀刻而形成,例如,蚀刻暴露区域 220,222,而图案化掩膜214保护半导体结构的其余部分。例如,该蚀 刻可以包括一选择性金属RIE工艺。
如图6所示,在初始接触结构210(见图5)中形成开口230,232 之后,初始接触结构(见图5)的一第一部分240和一第二部分242 可以彼此保持横向分离。第一部分240和第二部分242可例如从初始 接触结构210(见图5)形成新的接触结构,即第一接触结构244和第二接触结构246,以用于一半导体结构。虽然,图6中示出了初始接触 结构210(见图5)的两个剩余部分,但是图案化掩膜214可以包括任 意图案的开口以形成任意数量的初始接触结构210(见图5)的剩余部 分,以用于半导体结构可能需要的任意数量的接触结构。例如,尽管 未予示出,图案化掩膜214可以用于移除初始接触结构210(见图5) 的暴露部分,使得几乎没有初始接触结构的一部分保留在一单个接触 结构。如图6所示,相比于图1的传统接触结构112,根据本申请的实 施例形成第一接触结构244可导致例如在第一接触结构244中包括一 平行四边形的截面几何形状。又如图6所示,相比于图1的传统接触 结构112,根据本申请的实施例形成第二接触结构246可导致例如第二 接触结构246包括一梯形的截面几何形状,其中,接触结构的一最底 部表面248宽于接触结构的一最顶部表面250。虽然示出了各接触结构 的横截面几何形状中的一者,但是可以通过本文所描述的方法形成前 述的任意数量的具有一平行四边形或梯形的截面几何形状的接触结 构。
图7为根据本申请的实施例,显示了形成一第二介电层252于开 口216,218(见图5)以及开口230,232(见图6)中,并移除图案化 掩膜214(在假体中)。第二介电层252可例如电性地并物理地隔离第 一接触结构244与第二接触结构246。如将在本文中针对图9所进一步 讨论的,第二介电层252也可例如形成在接触结构244,246(见图9) 上形成的一第一金属层M1的部分。如图7所示,第二介电层252可例 如形成在沟槽硅化物结构108的最顶部表面238的暴露区域234,236 上。在图7中还示出,第二介电层252的一最顶部表面254可位于第 一介电层212的一最顶部表面256的上方。第二介电层252可以通过 与图2的初始介电层206以及图6的第一介电层212所描述的相似的 工艺形成。
图8示出了形成气隙(air gap)258于第二介电层252中的一非 限制性实施例。在图8所示的实施例中,可形成与接触结构244,246 相邻的气隙258。在邻接接触结构244,246的第二介电层252中形成气 隙258可例如减小接触结构之间的寄生电容。可例如通过在开口处快 速掐断第二介电层252的介电材料的一非共形CVD沉积工艺、和/或用 于形成一气隙在一介电层中的任何已知或将来开发的半导体制造工 艺,而在第二介电层252中形成气隙258。虽然图8中示出了两个气隙 258,但任何数量的气隙可形成在以一间隔方式位于图6的页中以及页 之外的第二介电层252中。
返回图7,图案化掩膜214(在假体中)可例如在上述形成第二介 电层252之后而被移除。在图7所示的实施例中,图案化掩膜214的 移除可暴露接触结构244,246的上表面260,262。图案化掩膜214可例 如使用一选择性蚀刻工艺和/或用于选择性移除一掩膜的任何已知或 将来开发的半导体制造技术而被移除。例如,在图案化掩膜214包括 氮化硅(SiN)的情况下,介电层252包括氧化硅(SiO2),选择性蚀刻 工艺可包括对选择性地蚀刻氮化硅(SiN)的一湿蚀刻或干蚀刻工艺。
图9为根据本申请的实施例,示出了形成包括自对准金属线 264,266的第一金属层M1,自对准金属线264,266分别与第一接触结 构244以及第二接触结构246上的第二介电层252的部分相邻。应当 理解的是,尽管图9示出了自对准金属线264,266的一横截面,该自对准金属线可延伸至图9的页中和/或图9的页之外。自对准金属线 264,266可例如形成在接触结构244,246的上表面268,270上。自对准 金属线264可通过位于第一金属层M1内的第二介电层252而物理性地 且电性地与自对准金属线266隔离。在图9所示的实施例中,相比于 图1的未对准的传统金属线118以及传统接触结构112,自对准金属线 264,266相应的底表面272,274可完全接触接触结构244,246的相应的 上表面268,270。通过本文所描述的方法形成的接触结构244,246以及 自对准金属线264,266可以防止和/或减轻其下方的接触结构上的金属 线的错位。防止和/或减轻接触结构244,246上的金属线264,266的错 位可例如降低金属线-接触结构界面的电阻率,并减小金属线-接触结 构界面的电阻在半导体结构上的差异。
自对准金属264,266可通过用于形成一金属线的任何已知或将来 开发的半导体制造技术而形成。例如,自对准金属线264,266的形成 可包括:沉积一导电层276于第一介电层212上以及接触结构244,246 的上表面268,270上,并平坦化导电层。导电层276可例如包括钨、 钴(Co)、铜(Cu)、钌(Ru)、和/或用于形成金属线在一金属层中的 任何已知或将来开发的导电材料。虽然未予示出,在形成导电层276 之前,可以在接触结构244,246的上表面268,270上以及第一金属层 M1中的第二介电层252上形成一衬垫。该衬垫可以通过与上述图5中 所描述的相似的方法而形成并包括与之相似的材料。
图10至图15示出了使用形成在图2的初始结构200上的一虚拟 接触结构而在一接触结构上形成一自对准金属线的替换实施例。相比 于使用初始接触结构210(见图5)形成一自对准金属线于一接触结构 上,此处形成一自对准金属线于一接触结构上可以包括,例如,部分 或完全移除一虚拟接触结构的一部分,并在其位置上形成一导电层以 形成一自对准金属线以及一接触结构两者。
图10为根据本申请的实施例,示出了形成一虚拟接触结构278于 图2的初始结构200上,以及一图案化掩膜280于虚拟接触结构278 上。虚拟接触结构278可通过上述与图3的第一介电层212以及初始 接触结构210相似的工艺而形成在图2的初始结构200上的一第一介 电层212中。例如,第一介电层212可通过沉积在图2的初始结构200 上而形成,且虚拟接触结构278可通过形成一开口(未标记)于第一 介电层212中并沉积一牺牲材料282于该开口中而形成。牺牲材料282 可包括,例如,一非金属材料,如非晶硅(aSi),深紫外线吸收氧化 物(deep ultraviolet light absorbing oxide;DUO),和/或任何已 知或将来开发的牺牲材料。
图案化掩膜280可例如通过与上述图5的图案化掩膜214相似的 工艺而形成并包括与之相似的材料。图案化掩膜280可包括,例如, 暴露虚拟接触结构278的上表面292的区域288,290的开口284,286。 与图案化掩膜214类似,虽然图10示出了两个开口,但是图案化掩膜 280可以包括用于形成本文所描述的一半导体结构所需的接触结构以 及自对准金属线的布局和数量的任意数量的开口。
图11为根据本申请的实施例,示出了移除虚拟接触结构278(见 图10)的一第一部分294(在假体中)以及第二部分296(在假体中), 形成一第二介电层252,以及移除图案化掩膜280。
移除虚拟接触结构278(见图10)的部分294,296(在假体中)可 例如形成开口(未标记)于虚拟接触结构278(见图10)中。如图11 所示,部分298可在移除虚拟接触结构278(见图10)的部分294,296 (在假体中)之后被剩余。第二介电层252可形成在虚拟接触结构278 (见图10)的开口(未标记)中,以及图案化掩膜280(在假体中) 的开口284,286中。第二介电层252用于使后续形成的接触结构320, 323(见图13)以及自对准金属线320,322(见图13)物理地且电性 地相互隔离。图案化掩膜280(在假体中)可被移除以形成开口300,302,开口300,302暴露用于移除其一部分的虚拟接触结构278(见图10) 的剩余部分298的上表面308的上区域304,306,如本文所述。
虚拟接触结构278(见图10)的第一部分294(在假体中)以及第 二部分296(在假体中)可通过与上述初始接触结构210(见图3)的 部分226,228(见图6)相似的工艺被移除。例如,第一部分294(在 假体中)以及第二部分296(在假体中)可通过蚀刻(例如RIE)被移 除。第二介电层252可例如通过与上述图7的第二介电层252相似的 工艺而形成,并包括与之相似的材料。图案化掩膜280可例如通过与 上述图6的图案化掩膜214相似的工艺被移除。
图12为根据本申请的实施例,示出了完全移除虚拟接触结构278 (见图10)的剩余部分298(见图11)。例如,移除剩余部分298(见 图11)可形成暴露初始结构200的沟槽硅化物结构108的上表面的区 域314,316的开口310,312,以用于随后形成接触结构以及自对准金属 线于其上。剩余部分298(见图11)可例如通过选择性蚀刻和/或用于 移除一牺牲材料的任何已知或将来开发的半导体制造技术而被移除。
图13为根据本申请的实施例,示出了形成一导电层318于开口 300,302(见图11)以及开口310,312(见图12)中以形成一第一接触 结构320、一第二接触结构322、一第一自对准金属线324、以及一第 二自对准金属线326。应了解的是,虽然图13显示了自对准金属线326 的一横截面图,自对准金属线326可延伸至图13的页中和/或图13的 页之外。虽然未予示出,在形成导电层318之前,可例如在开口300,302 (见图11)以及开口310,312(见图12)中形成一衬垫。该衬垫可通 过与上述图3相似的方法形成并包括与之相似的材料。导电层318可 例如通过与上述图6的接触结构244,246的导电材料208以及图9的 自对准金属线264,266的导电层276的相似的工艺形成,并包括与之 相似的材料。
图如13所示,完全移除虚拟接触结构278(见图10)的剩余部分 298(见图11)例如可以导致第一自对准金属线324以及第一接触结构 320由导电层318的材料的一单一部分328形成,以及第二自对准金属 线326以及第二接触结构322由导电层318的材料的一单一部分330 形成。如图13所示,如本文所描述的形成自对准金属线324,326以及 接触结构320,322可防止和/或减小接触结构上的金属线的错位。例如, 由于在移除部分294,296(见图10)期间,图案化掩膜280(见图10) 覆盖虚拟接触结构278(见图10)的部分298(见图12),图案化掩膜 与部分298对准。开口334,336(见图14)可通过移除部分298(见图 12)而形成,因此图案化掩膜280(见图10)也与开口334,336(见图 14)对准。开口300,302(见图12)通过移除预对准图案化掩膜280 (见图10)而随后形成,因此也与开口334,336(见图14)对准。因此,当导电层318形成在开口内时,金属线324,326与接触结构320,322 对准。防止和/或减轻接触结构320,322上金属线324,326的错位可例 如降低金属线-接触结构界面的电阻率,并减小金属线-接触结构界面 的电阻在半导体结构上的差异。
与图6的第一接触结构244相似,相比于图1的传统接触结构112, 使用虚拟接触结构278(见图10)形成如本文所述的第一接触结构320 可包括,例如,一平行四边形的截面几何形状。如图13所示,相比于 图1的传统接触结构112,第二接触结构322可包括,例如,一梯形的 截面几何形状,其中,接触结构的底部宽于接触结构的顶部。如上述 关于图6的接触结构244,246所讨论的,虽然示出了具有各横截面几 何形状的一接触结构,根据本申请的实施例,可以形成具有任意横截 面几何形状的任意数量的接触件。
相比于图12的实施例,图14-图15显示了通过移除虚拟接触结构 278(见图10)的剩余部分298(见图11)的仅仅一部分而形成自对准 金属线于接触结构上。如将在图15中所讨论的,通过移除一虚拟接触 结构的剩余部分的仅仅一部分而形成一自对准金属线于一接触结构上 可导致一接触结构中包括由非金属材料的一部分所包围的导电材料的 一部分。如本文将要讨论的,形成如下所述的一接触结构导致在接触 结构中具有一传统梯形几何形状的一导电区域,其中,接触结构的一 上部宽于接触结构的一底部。
图14为根据本申请的实施例,示出了仅移除虚拟接触结构278(见 图10)的剩余部分298(见图11)的一第一部分332(在假体中)。移 除剩余部分298(见图11)的第一部分332(在假体中)可例如形成暴 露初始结构200的沟槽硅化物结构108的上表面的区域338,340的开 口334,336。如图14所示,剩余部分298(见图11)的一第二部分342 可保持在邻接开口334,336。剩余部分298(见图11)的第一部分332 (在假体中)可例如通过各向异性干蚀刻和/或用于移除一牺牲材料的 一部分的任何已知或将来开发的半导体制造技术而被移除。
图15为根据本申请的实施例,示出了形成一导电层344于沟槽硅 化物结构108的上表面的暴露区域338,340上的开口300,302(见图 11)中以及开口334,336(见图14)中。导电层344可例如形成一第 一接触结构348的一部分346(在假体中)以及一第二接触结构352的一部分350(在假体中)、一第一自对准金属线354、以及一第二自 对准金属线356于沟槽硅化物结构108上。应当注意的是,虽然图15 显示了自对准金属线354,356的一横截面图,自对准金属线可延伸至 图13的页中和/或图13的页之外。导电层344可以通过例如与上述图 6的接触结构244,246的导电材料208和/或图9的自对准金属线 264,266的导电层276相似的工艺形成,并包括与之相似的材料。虽然 未予示出,在形成导电层344之前,一衬垫可形成在沟槽硅化物结构 108的上表面的暴露区域338,340上的开口300,302(见图11)中以及 开口334,336(见图14)中。衬垫可以通过上述与图3的衬垫相似的 方法形成并包括与之相似的材料。
如图15所示,移除虚拟接触结构278(见图10)的剩余部分298 (见图11)的第一部分332(见图14),例如可以导致由导电层344 的一单一部分358形成第一自对准金属线354和第一接触结构348,以 及由导电层344的一单一部分360形成第二自对准金属线356和第二 接触结构352。如本文所述的形成第一接触结构348、第二接触结构 352、第一自对准金属线354、以及第二自对准金属线356可以防止和/ 或减轻接触结构上的金属线的错位。例如,由于在移除部分294,296 (见图10)期间,图案化掩膜280(见图10)覆盖虚拟接触结构278 (见图10)的部分298(见图12),图案化掩膜与部分298对准。开口 334,336(见图14)为通过移除部分298(见图12)而形成,因此图案 化掩膜280(见图10)也与开口334,336(见图14)对准。开口300,302 (见图12)通过移除预对准图案化掩膜280(见图10)而随后形成, 因此也与开口334,336(见图14)对准。因此,当导电层318形成在 开口内时,金属线354,356与接触结构348,352自对准。防止和/或减 轻接触结构348,352上的金属线354,356的错位,可例如减小金属线- 接触结构界面的电阻率,并减小金属线-接触结构界面电阻在半导体结构上的差异。
在图15所示的实施例中,相比于图13的接触结构320,322,接触 结构348,352可包括沿着接触结构的侧壁362的牺牲材料282(见图 11)的相应部分342,其邻接其中的导电层344的相应部分。如图15 所示,形成上述的接触结构352可导致位于第二接触结构352内的导 电层344的部分350(在假体中)包括,例如,一传统梯形的截面几何 形状,其中,接触结构的一上部宽于接触结构的一最底部。形成接触 结构352的接触结构部分350(在假体中)以包括部分342可例如减轻 和/或防止在导电层344的形成期间,在保留部分342的情况下而可能 形成的一空洞(void)。此外,防止和/或减轻在一接触结构中形成空 洞可以例如降低接触结构的电阻。
图16为根据本申请的实施例,示出了所形成的一自对准金属线 366以及接触结构368,369的平面图。自对准金属线366以及接触结构 368,369可形成在位于一鳍片(未示出)的一源漏区域(未示出)上的 一沟槽硅化物结构370上。自对准接触线366以及接触结构368,369 可通过与所述的图9的自对准金属线266以及第二接触结构244,246 相似的工艺而形成。在另一非限制性实施例中,自对准金属线366以 及接触结构368可通过与所述的图13的自对准金属线326以及接触结 构320,322相似的工艺而形成。在又一非限制性实施例中,自对准金 属线366以及接触结构368可通过与所述的图15的接触结构348,352 以及自对准金属线354相似的工艺而形成。
如图16所示,相比于图1的传统接触结构112,根据本申请的实 施例形成自对准金属线366于接触结构368,369上可导致接触结构 368,369包括具有一个或没有圆形边缘的一顶部截面几何形状。例如, 在形成接触结构368期间,初始接触结构372(在假体中)的部分可从 后续形成的接触结构的两侧被移除。因此,除了上述讨论的例如图9 的接触结构246的非常规梯形垂直的截面几何形状之外,接触结构368 可以包括一基本方形的顶部截面几何形状。如图16所示,在另一实施 例中,在接触结构369的形成期间,初始接触结构372(在假体中)的 部分可从后续形成的接触结构的一侧被移除。因此,除了上述关于例 如图9的接触结构244的平行四边形垂直的截面几何形状之外,接触 结构369可以包括仅具有一圆形侧的一顶部截面几何形状。形成接触 结构368,369以包括具有一个或没有圆形边缘的一顶部横截面几何形 状,可以改善接触结构及其上形成的金属线之间的边缘布置变化。根据本申请的实施例形成接触结构368,369也可例如改善线端临界尺寸 的均匀性(criticaldimension uniformity;CDU)。改善边缘布置变 化以及CDU可例如减小金属线-接触结构界面的电阻率,以及金属线- 接触结构界面电阻在半导体结构上的变化。
虽然图2至图16显示了形成一自对准金属线在位于一沟槽硅化物 结构(即图2的沟槽硅化物结构108)上的一接触结构上,根据本申请 的实施例形成一自对准金属线于一接触结构上可以包括,例如,形成 一自对准金属线在位于其他半导体结构上的一接触结构上,例如,直 接位于一半导体结构的源漏区域上,位于一半导体结构的鳍片上的一 栅极,等。
图17显示了形成自对准金属线在直接位于鳍片的源漏区域的一硅 化物区域上的接触结构上。如图17所示,相比于图2的初始结构200 的沟槽硅化物结构108,一硅化物区域382可形成在鳍片104的源漏区 域106上。
包括源漏区域106的鳍片104、以及STI介电质110可通过与图2 中的类似编号的结构相同和/或相似的方法以及材料而形成在衬底102 上。在形成源漏区域106之后,硅化物区域382可形成在其上。硅化 物区域382的形成可包括:例如进行一原位预清洁;沉积一金属如钛、 镊、钴等;退火该沉积金属;以及移除任何未反应的金属。一介电层 206可通过与上述图2中类似编号的结构相同和/或相似的方法以及材 料形成在衬底102、鳍片104以及硅化物区域382上。如图7所示,相 比于图2至图16,一初始接触结构384(在假体中)可以形成在硅化 物区域382上的一介电层206中。例如在第一介电层206中形成到硅 化物区域382的一沟槽(未标记),并在沟槽中沉积以及平坦化导电材 料,以形成初始接触结构384。初始接触结构384(在假体中)可以包 括与上述图3的第一初始接触结构210相似的材料。一旦形成初始接 触结构384(在假体中),图5的图案化掩膜214可形成在初始接触结 构384以及介电层206上以用于移除初始接触结构384(在假体中)的 部分以从其形成接触结构。可通过蚀刻,例如使用图案化掩膜214的 RIE来移除初始接触结构384(在假体中)的部分388,390,以保护半 导体结构的剩余部分不被进一步处理。在部分388,390被移除后,初 始接触结构384的部分392,394可以保持彼此横向分离。部分392,394 可形成相应的接触结构378,380。在部分388,390被移除后,一介电层 404可例如,通过沉积并平坦化介电材料而形成在部分388,390的位 置。介电层404可例如电性隔离接触结构378,380。在形成介电层404 之后,图5的图案化掩膜214可被移除,例如,通过选择性RIE的方 式。自对准金属线374,376可分别形成在接触结构378,380上,以替 代图案化掩膜214。例如,自对准金属线374,376可例如通过沉积并平 坦化一导电层406而形成。虽然未予示出,另一衬垫,例如钌和/或其 他任何难熔金属可在形成导电层406之前,而沉积在接触结构378,380 上。导电层406可例如包括钨和/或任何其他金属线所需的导电材料。 如图17所示,相比于图1的传统接触结构112,接触结构380可包括 侧壁402,其从接触结构的顶部至接触结构的底部相互远离地延伸。此 外,金属线374,376的一底表面408可完全接触接触结构378,380的 一上表面410。由此形成如本文所述的接触结构378,380以及金属线 374,376可以防止和/或减轻接触结构378,380上的金属线374,376的 错位。
图18显示了形成一自对准金属线在位于一栅极结构上的一接触结 构上的另一非限制性实施例。图18的横截面图可例如包括在图2至图 15以及图15的平面之中或之外的鳍片104的一横截面。
鳍片104可通过于上述图2的鳍片104相似的工艺而形成在衬底 102上。STI介电质110而后也可形成在衬底102上,并邻接鳍片104, 如上述图2中的STI介电质110。衬底102、鳍片104以及STI介电质 110可包括与图2中相似编号结构相同或相似的材料。在STI介电质110的形成期间,鳍片104的一上部202可以重新曝光,以在图18的 页内或页外的鳍片104中形成源漏区域(未图示),如上文中图2所描 述的。
虽然未示出,但在形成源漏区域(未示出)期间,可以在鳍片104 的上部202和STI介电质110上形成一虚拟栅极结构,以保护鳍片104 和STI介电质110的部分。在形成源漏区域(未示出)之后,虚拟栅 极结构(未示出)可以被移除。
如图18所示,一栅极结构414可以形成在鳍片104以及STI介电 质110的上部202。栅极结构414可例如形成在虚拟栅极结构(未示出) 的位置。栅极结构414可通过用于形成一栅极结构于一鳍片上的传统 半导体制造技术以及传统材料而形成。一栅极盖层415可形成在栅极 结构414上,例如,在半导体结构的附加处理期间保护栅极结构。栅 极盖层415可通过用于形成一盖层在一栅极上的传统方法以及材料而 形成。例如,盖层415可通过沉积并平坦化一氮化物(例如,氮化硅 (SiN))而形成。
一介电层418可形成在栅极结构414上,例如,将栅极结构与其 他半导体结构电性隔离。介电层418可通过用于形成一介电层的传统 半导体制造技术(例如,沉积并平坦化)而形成。介电层418可包括 与上述图2的初始介电层206相似的材料。
一初始接触结构416(在假体中)而后可形成在介电层418中以由 其形成接触结构。可通过RIE形成一沟槽(未标记)至栅极结构414 的上表面420,沉积一导电材料422于沟槽中,并平坦化该导电材料, 从而形成初始接触结构416(在假体中)在介电层418和栅极盖层415 中。虽然未予图示,一衬垫,如钌和/或其他任何难熔金属,可在形成 导电材料422于沟槽中之前,形成在沟槽(未标记)中。
可在初始接触结构416上形成一图案化掩膜424(在假体中),以 移除用于形成接触结构的初始接触结构416(在假体中)的部分。图案 化掩膜424可例如通过沉积、图案化以及蚀刻的步骤而形成。例如, 图案化掩膜424的形成可包括:形成一有机平坦化层(OPL)于介电层 418上;形成开口在用于图案化掩膜424的所需图案中的OPL中;形成 氮化硅(SiN)在开口中以形成图案化掩膜;以及移除OPL的其余部分。 图案化掩膜424可例如包括氮化硅(SiN)和/或任何其他已知的或后 来开发的用于掩模的材料,以用于图案化蚀刻其下方的层的一掩膜。
图案化掩膜424(在假体中)可以包括暴露用以移除的初始接触结 构416的部分428的开口426,而形成一接触结构。初始接触结构416 的部分428可例如通过蚀刻而被移除,而图案化掩膜424(在假体中) 保护接触结构的其余部分。如图18所示,蚀刻可例如是选择性移除初 始接触结构416(在假体中)的材料的一湿蚀刻或干蚀刻。如图18所 示,初始接触结构416的一部分432可在移除部分428之后被保留。 部分432可形成接触结构412。
一介电层430可形成在通过移除初始接触结构416(在假体中)的 部分428而形成的开口(未标记)中,例如,以电性隔离接触结构416 (在假体中)与其他半导体结构。介电层430可通过传统半导体制造 技术(例如沉积以及平坦化)而形成。介电层418可以包括与图2的 初始介电层206相似的材料。虽然未予图示,气隙可例如通过与图6 中形成气隙258相同或相似的方法而形成在介电层430中,邻接接触 结构416。
图案化掩膜424(在假体中)可以在形成介电层430之后被移除, 例如,以提供用于形成金属线于其中的开口。例如,可通过选择性RIE 移除图案化掩膜424(在假体中)。在移除图案化掩膜424(在假体中) 之后,可在接触结构412上方的一开口(未标记)中形成一自对准金 属线434。例如,可通过在图案化掩膜424的位置沉积并平坦化导电材 料,可以在接触结构412上形成自对准金属线434。虽然未示出,但是 在形成导电材料于其上之前,一衬垫,例如钌和/或任何其它难熔金属 可以形成在接触结构412上。如图18所示,金属线434的一底表面436 可完全接触接触结构412的一上表面438。如本文所述形成金属线434 在位于栅极结构414上的接触结构412上可以因此防止和/或减轻接触 结构上的金属线的错位。另外,如图18所示,相比于图1的传统接触 结构112,接触结构412可以包括一梯形的截面几何形状,其中,接触 结构的一底部宽于接触结构的一顶部。尽管未予示出,接触结构412 可替代地形成为包括一平行四边形垂直的截面几何形状。例如,可以 在初始接触结构416的左端或右端的图案化掩膜424中形成单一开口, 使得初始接触结构416的相应最左边部分或最右端部分将予以保留以 形成一接触结构。所得到的接触结构可以包括,例如,梯形的截面几 何形状,其中,接触结构的一底部宽于接触结构的一顶部。另外,虽 然仅示出了一个接触结构和其上的金属线,但是根据本文所描述的方 法,可以在栅极结构414上形成任何期望数量的接触结构。
图19示出了根据本申请的实施例所形成的图18的一自对准金属 线434以及接触结构412的一平面图。自对准金属线434以及接触结 构412可位于接触结构414上。相比于图1的传统接触结构112,根据 本申请的实施例形成自对准金属线434在接触结构412上可导致接触 结构412包括具有一个或没有圆形边缘的一顶部横截面几何形状。例 如,如图19所示,在形成接触结构412期间,初始接触结构416(在 假体中)的部分可以由后续形成的接触结构的两侧被移除。因此,除 了上述关于图18所讨论的非常规梯形垂直的截面几何形状之外,接触 结构412可以包括一基本方形的顶部截面几何形状。虽然未予示出, 接触结构412可替换的通过从随后形成的接触结构的一侧移除初始接 触结构416(在假体中)的一部分而形成。因此,接触结构可以包括具 有一圆形边缘的一顶部截面几何形状,以及一垂直平行四边形的截面 几何形状。形成接触结构412以包括一个或没有圆形边缘,可以改善 接触结构与其上形成的金属线434之间的边缘布置变化。根据本申请 的实施例形成接触结构412也可例如提高线端临界尺寸的均匀性 (CDU)。改善边缘布置变化以及CDU可例如减少金属线-接触结构界面 的电阻率,以及金属线-接触结构电阻在半导体结构上的变化。
虽然在上下文中描述的是形成在一衬底上的一垂直场效应晶体管 (FinFET)的方法和结构,但应当理解的是,该方法和结构不受限制, 且可应用在平面型场效应晶体管和/或任何其他金属氧化物-半导体场 效应晶体管(MOSFET)。
上述的方法用于集成电路芯片的制造。所得到的集成电路芯片可 以由制造者以原始晶圆形式(即作为具有多个未封装芯片的一单晶 圆)、一裸芯片,或以封装形式予以分布。在后一种情况下,芯片安装 在一单芯片封装中(例如一塑料载体,具有附接至一母板或其他更高 级别载体的引线)或一多芯片封装中(例如具有表面互连或埋置互连 中的一个或两个的一陶瓷载体)。在任何一种情况下,芯片而后与其他 芯片、独立电路元件、和/或其他处理装置集成为(a)一中间产品(例 如一母板)或(b)一最终产品的一部分。最终产品可以是包括集成电 路新品的任何产品,从玩具和其他低端应用到具有一显示器、一键盘 或其他输入装置,以及一中央处理器的先进计算机产品。
本文所使用的术语仅用于描述特定的实施例,并不旨在限制本申 请。如本文所使用的,单数形式“一”、“一个”和“该”的意图也包 括复数形式,除非上下文清楚地表明。还应理解的是,术语“包括” 和/或“含有”,当在本说明书中使用时,指定所述特征、整数、步骤、操作、元件和/或组件的存在,但不排除存在或添加一个或多个其他特 征、整数、步骤、操作、元件、组件、和/或其组合。“可选”或“可 选地”意味着随后描述的事件或情况可能发生或可能不发生,并且描 述包括事件发生的实例和不发生事件的实例。
近似语言,如在本文的说明书和权利要求中所使用的,可应用于 修改任何可允许变化的量化表示,而不会导致与其相关的基本功能的 改变。因此,由术语或术语修改的值,如“大约”、“近似”和“大致”, 不应被限定为指定的精确值。在至少一些情况下,近似语言可以对应 于用于测量该值的一仪器的精度。在这里和整个说明书和权利要求中, 范围限制可以组合和/或互换,这样的范围被识别并包括其中包含的所 有子范围,除非上下文或语言另有指示。“近似”适用于两个值的一范 围的一特定值,除非另外依赖于测量该值的仪器的精度,否则可指示 所述值的±10%。“大致正方形”可以指具有四个主要侧面但侧面形状存在变化,或附加次要侧面的数量存在变化的一形状。“大致圆形”可 以指具有圆形的形状,但在整个直径中有一些变化。
随附权利要求中的所有装置或步骤加上功能元件的相应结构、材 料、行为和等效物旨在包括与所要求的其他要求的元件组合的任何结 构、材料或动作。为了说明和描述的目的,已经提出了本申请的描述, 但并不打算穷尽或局限于本申请所披露的形式。在不脱离本申请的范 围和精神的情况下,许多修改和变化将对本领域普通技术人员来说是 显而易见的。所选择和描述的实施例,用于最好地解释本申请的原理 和实际应用,并使本领域的普通技术人员能够理解适合于特定用途的 各种修改的各种实施例的公开。

Claims (17)

1.一种集成电路结构,其特征在于,包括:
一第一接触结构,其位于一衬底上方的一第一介电层中;以及
一第二接触结构,其位于该第一介电层中,该第二接触结构通过该第一介电层与该第一接触结构横向分离,
其中,该第一接触结构的一垂直的截面几何形状包括该第一接触结构的一最底部表面宽于该第一接触结构的一最顶部表面的一梯形,该第一接触结构还包括一顶部水平截面几何形状是基本方形的,且其中该第二接触结构的一垂直的截面几何形状包括一平行四边形,且该第二接触结构还包括一顶部水平截面几何形状包括至少一平边及仅一圆边。
2.根据权利要求1所述的集成电路结构,其特征在于,该集成电路结构进一步包括:
一第二介电层,其位于该第一介电层以及该第一接触结构的上方;以及
一金属线,其位于该第二介电层中,其中,该金属线的一表面接触该第一接触结构的该最顶部表面。
3.根据权利要求2所述的集成电路结构,其特征在于,该金属线的一最底部表面完全接触该第一接触结构的该最顶部表面。
4.根据权利要求1所述的集成电路结构,其特征在于,该第一接触结构的该最底部表面接触该衬底上方的一源漏区域以及位于该衬底上方的该源漏区域上的一沟槽硅化物结构中的一者。
5.根据权利要求1所述的集成电路结构,其特征在于,该第一接触结构包括沿含有一非金属材料的该第一接触的至少一侧壁的一第一部分,以及含有一导电材料的一第二部分。
6.根据权利要求5所述的集成电路结构,其特征在于,该第二部分的一垂直的截面几何形状包括一梯形,其中,该第二部分的一最顶部表面宽于该第二部分的一最底部表面。
7.根据权利要求1所述的集成电路结构,其特征在于,该第一介电层包括其中的一气隙,该气隙位于邻接该第一接触结构。
8.根据权利要求1所述的集成电路结构,其特征在于,该第一接触结构电性连接位于该衬底中的一绝缘区域上的一栅极。
9.一种形成集成电路结构的方法,其特征在于,该方法包括:
形成一初始接触结构于一衬底上方的一第一介电层中;
形成一图案化掩膜于该初始接触结构上以及该第一介电层上,其中,该图案化掩膜包括暴露该初始接触结构的一部分的一第一开口;
使用该图案化掩膜形成通过位于由该第一开口所暴露的该初始接触结构的该部分处的该初始接触结构的一第二开口;
形成一第二介电层于该第一开口以及该第二开口中;
移除该图案化掩膜以暴露该初始接触结构的一剩余部分;以及
形成一金属线于该初始接触结构的该剩余部分上。
10.根据权利要求9所述的方法,其特征在于,该金属线的一最底部表面完全接触该初始接触结构的该剩余部分的一最顶部表面。
11.根据权利要求9所述的方法,其特征在于,在形成通过该初始接触结构的该第二开口之后,该第二开口横向隔离该接触结构的一第一部分以及该接触结构的一第二部分以形成一对接触结构。
12.根据权利要求9所述的方法,其特征在于,形成该第二介电层包括形成一气隙于该第二介电层中,该气隙位于邻接该初始接触结构的该剩余部分。
13.根据权利要求9所述的方法,其特征在于,该初始接触结构与位于该衬底上的一鳍片的一源或漏区域电性连接。
14.根据权利要求13所述的方法,其特征在于,形成该初始接触结构于该第一介电层中包括形成该初始接触结构以接触位于该衬底上的该鳍片的该源或漏区域上的一沟槽硅化物区域的一最顶部表面。
15.根据权利要求9所述的方法,其特征在于,在形成该第二开口于该初始接触结构中之前,该初始接触结构包括大约40纳米至大约80纳米的一最大宽度。
16.一种形成自对准金属线至集成电路结构的接触结构的方法,其特征在于,该方法包括:
形成一虚拟接触结构于一第一介电层中,该虚拟接触结构接触位于一衬底上的一鳍片的一源漏区域上的一沟槽硅化物区域;
形成一图案化掩膜于该虚拟接触结构上以及该第一介电层上,其中,该图案化掩膜包括至该虚拟接触结构的一暴露部分的一第一开口;
使用该图案化掩膜形成通过该虚拟接触结构至位于该暴露部分处的该沟槽硅化物区域的一第二开口;
形成一第二介电层于该第一开口以及该第二开口中;
移除该图案化掩膜以暴露该虚拟接触结构的一剩余部分;
移除该虚拟接触结构的该剩余部分的至少一部分,以暴露该沟槽硅化物区域的一最顶部表面;以及
形成一导电层于该沟槽硅化物区域的该最顶部表面上,其中,该导电层包括该自对准金属线以及该接触结构。
17.根据权利要求16所述的方法,其特征在于,移除该虚拟接触结构的该剩余部分的至少一部分包括一非各向同性蚀刻工艺。
CN201811009018.2A 2017-09-01 2018-08-31 接触结构上的自对准金属线及其形成方法 Active CN109427742B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/693,651 2017-09-01
US15/693,651 US10199271B1 (en) 2017-09-01 2017-09-01 Self-aligned metal wire on contact structure and method for forming same

Publications (2)

Publication Number Publication Date
CN109427742A CN109427742A (zh) 2019-03-05
CN109427742B true CN109427742B (zh) 2022-07-08

Family

ID=65200114

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811009018.2A Active CN109427742B (zh) 2017-09-01 2018-08-31 接触结构上的自对准金属线及其形成方法

Country Status (3)

Country Link
US (1) US10199271B1 (zh)
CN (1) CN109427742B (zh)
TW (1) TWI684258B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11121129B2 (en) * 2018-07-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
KR20210090768A (ko) 2020-01-10 2021-07-21 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20220285527A1 (en) * 2021-03-03 2022-09-08 Intel Corporation Transistor arrangements with stacked trench contacts and gate contacts without gate caps
US20220359287A1 (en) * 2021-05-05 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Recessed contacts at line end and methods forming same
US20230114507A1 (en) * 2021-10-12 2023-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101246873A (zh) * 2007-02-13 2008-08-20 台湾积体电路制造股份有限公司 集成电路的结构

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6103592A (en) * 1997-05-01 2000-08-15 International Business Machines Corp. Manufacturing self-aligned polysilicon fet devices isolated with maskless shallow trench isolation and gate conductor fill technology with active devices and dummy doped regions formed in mesas
US6258678B1 (en) * 1999-08-02 2001-07-10 Taiwan Semiconductor Manufacturing Company Use of a wet etch dip step used as part of a self-aligned contact opening procedure
JP2003060031A (ja) * 2001-08-14 2003-02-28 Oki Electric Ind Co Ltd 半導体装置及びその製造方法。
US7828450B2 (en) * 2007-09-23 2010-11-09 Lou Riley Adjustable sunshade assembly
US9054160B2 (en) * 2011-04-15 2015-06-09 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
EP3050086A4 (en) 2013-09-27 2017-05-03 Intel Corporation Previous layer self-aligned via and plug patterning for back end of line (beol) interconnects
US9093302B2 (en) * 2013-11-13 2015-07-28 Globalfoundries Inc. Methods of forming substantially self-aligned isolation regions on FinFET semiconductor devices and the resulting devices
US9111986B2 (en) * 2014-01-09 2015-08-18 International Business Machines Corporation Self-aligned emitter-base-collector bipolar junction transistors with a single crystal raised extrinsic base
US9257529B2 (en) * 2014-03-11 2016-02-09 Tokyo Electron Limited Method of forming self-aligned contacts using a replacement metal gate process in a semiconductor device
US9640625B2 (en) * 2014-04-25 2017-05-02 Globalfoundries Inc. Self-aligned gate contact formation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101246873A (zh) * 2007-02-13 2008-08-20 台湾积体电路制造股份有限公司 集成电路的结构

Also Published As

Publication number Publication date
TWI684258B (zh) 2020-02-01
CN109427742A (zh) 2019-03-05
US10199271B1 (en) 2019-02-05
TW201913941A (zh) 2019-04-01

Similar Documents

Publication Publication Date Title
US10211095B2 (en) High performance middle of line interconnects
CN109427742B (zh) 接触结构上的自对准金属线及其形成方法
US7719035B2 (en) Low contact resistance CMOS circuits and methods for their fabrication
US10312188B1 (en) Interconnect structure with method of forming the same
US10153232B2 (en) Crack stop with overlapping vias
US20190081145A1 (en) Contact to source/drain regions and method of forming same
US10109524B2 (en) Recessing of liner and conductor for via formation
US10109636B2 (en) Active contact and gate contact interconnect for mitigating adjacent gate electrode shortages
US11107880B2 (en) Capacitor structure for integrated circuit, and related methods
US10741497B2 (en) Contact and interconnect structures
US20150262911A1 (en) Tsv with end cap, method and 3d integrated circuit
US10825811B2 (en) Gate cut first isolation formation with contact forming process mask protection
US10790363B2 (en) IC structure with metal cap on cobalt layer and methods of forming same
US10062647B2 (en) Interconnect structure having tungsten contact copper wiring
US10797046B1 (en) Resistor structure for integrated circuit, and related methods
US20180108607A1 (en) Ic structure including tsv having metal resistant to high temperatures and method of forming same
US9899257B1 (en) Etch stop liner for contact punch through mitigation in SOI substrate
US10943814B1 (en) Etch stop member in buried insulator of SOI substrate to reduce contact edge punch through
US11488950B2 (en) Integrated circuit structure and method for bipolar transistor stack within substrate
US10923469B2 (en) Vertical resistor adjacent inactive gate over trench isolation
US10707206B2 (en) Gate cut isolation formed as layer against sidewall of dummy gate mandrel
US20220189821A1 (en) Heat spreading isolation structure for semiconductor devices
US10014180B1 (en) Tungsten gate and method for forming
CN114914226A (zh) 在第二电介质材料内具有器件的局部互连层及相关方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20210408

Address after: Hsinchu City, Taiwan, China

Applicant after: Taiwan Semiconductor Manufacturing Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Applicant before: GLOBALFOUNDRIES INC.

GR01 Patent grant
GR01 Patent grant