JP2006066914A - セル・ライブラリから選択された信号スキュー調整セルを備えた集積回路 - Google Patents

セル・ライブラリから選択された信号スキュー調整セルを備えた集積回路 Download PDF

Info

Publication number
JP2006066914A
JP2006066914A JP2005242010A JP2005242010A JP2006066914A JP 2006066914 A JP2006066914 A JP 2006066914A JP 2005242010 A JP2005242010 A JP 2005242010A JP 2005242010 A JP2005242010 A JP 2005242010A JP 2006066914 A JP2006066914 A JP 2006066914A
Authority
JP
Japan
Prior art keywords
cell
integrated circuit
skew
digital
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005242010A
Other languages
English (en)
Inventor
Martin J Gasper Jr
ジェー.ガスパー,ジュニヤ マーチン
Bernard L Morris
エル.モリス バーナード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Agere Systems LLC
Original Assignee
Agere Systems LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agere Systems LLC filed Critical Agere Systems LLC
Publication of JP2006066914A publication Critical patent/JP2006066914A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/003Modifications for increasing the reliability for protection
    • H03K19/00323Delay compensation
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/156Arrangements in which a continuous pulse train is transformed into a train having a desired pattern
    • H03K5/1565Arrangements in which a continuous pulse train is transformed into a train having a desired pattern the output pulses having a constant duty cycle

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Nonlinear Science (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Pulse Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

【課題】少なくとも1つのデジタル論理セルおよび少なくとも1つのスキュー調整セルを有するデジタル回路機構を備えた集積回路を提供すること。
【解決手段】スキュー調整セルは、集積回路のデジタル回路機構における信号のスキューを所望の量に調整するように構成される。デジタル論理セルおよびスキュー調整セルはセル・ライブラリから選択される。
【選択図】図2

Description

本発明は、一般的に集積回路の分野に関し、さらに詳しくは、集積回路のデジタル回路機構における信号のスキュー調整に関する。
集積回路の設計は一般的に、「配置配線」CADシステムのようなコンピュータ支援設計機器を通して実行される。そのようなシステムでは、集積回路のレイアウトは、回路素子の様々な配置、方位、および配線を生成するために必要なマスクの幾何学的構成を記述する標準セルによって定義される。所与の製造技術に従って集積回路を設計するのに利用可能な標準セル群は、一般的に標準セル・ライブラリと呼ばれる。標準セル・ライブラリは一般的に、タイミング、ノイズ、信頼性等について完全に特徴付けられた所定の組の論理ブロックを含む。
市販の標準セル・ライブラリはブール論理に基づいている。この組合せ論理は主として、論理「and」、「or」、および「invert」機能から形成される。メモリ素子は一般的にフリップフロップおよびラッチにより実現される。通常、ライブラリはこれらの基本的セルの数百のバリエーションを含む。加算器、乗算器のような算術ブロックを含む高レベル論理は、これらの標準セルの組合せから構成される。
設計者は通常、ライブラリの頑健性および柔軟性のため、標準セル・ライブラリを使用する。これは、製品開発サイクル時間を短縮することによって、時間および金を節約する。加えて、予め設計され、予め試験され、かつ予め特徴付けられた標準セル・ライブラリを使用することによって、リスクが低減される。
しかし、標準セルで設計された集積回路はしばしば、望ましくない信号スキューを結果的に生じる。例えば、理想的信号波は50/50または50%のデューティ・サイクルを持つことができ、所与の周期で信号が高レベルである時間の量は、信号が低レベルである時間の量に等しい。本明細書ではデューティ・サイクル歪みともいう信号スキューは、所与の波形周期で信号が高レベルである時間の量が、信号が低レベルである時間の量よりかなり大きいかまたは小さいときに発生する。例えば、10ns(ナノ秒)の周期を有する理想的波形は5ns高レベル/5ns低レベルのパターンを持つことができ、スキューした波形は6ns高レベル/4ns低レベルのパターンを持つことができ、結果的に2nsの信号スキューを生じる。
この問題を解決しようとする従前の試みは、カスタマイズされたセルを作成したり、既存のセルを手動修正したり、手動の配置配線修正を利用して所与の回路を調整することを含んでいた。米国特許第6690202号および第6507220号は、信号電圧を集積回路の論理しきい電圧に一致させることによりデューティ・サイクル歪みを補正または防止するための回路機構を記載している。米国特許第6411145号は、集積回路の入力のDCレベルを変更するように構成された差動対のトランジスタを通して、デューティ・サイクルを補正するように構成された回路を記載している。米国特許第5757218号は、コンパレータ回路および制御回路を有するデューティ・サイクル補正回路を記載している。特許公開公報第2003−152078号および第08−077227号は、セルの総遅延の変更におけるRC効果の使用を記載している。
これらの技術は、集積回路設計者が標準セル集積回路設計で使用される標準設計フロー方法から逸脱することを余儀なくさせる。セルを手動的にカスタマイズしあるいは回路レイアウトを修正する必要性は、設計サイクル時間を長引かせかつ複雑化し、おそらく余分なリスク、コスト、およびスケジュールの遅れを追加する。したがって、集積回路のデジタル回路機構における信号スキュー調整の更なる改善が依然として必要である。
米国特許第6690202号 米国特許第6507220号 米国特許第6411145号 米国特許第5757218号 特開2003−152078 特開平08−077227
本発明は例示的実施形態で、集積回路の設計でセル・ライブラリから選択された1つまたは複数の信号スキュー調整セルを使用して、集積回路のデジタル回路機構における信号のスキューを調整するための技術を提供する。
本発明の一態様では、集積回路のデジタル回路機構は、少なくとも1つのデジタル論理セルと、少なくとも1つのスキュー調整セルとを備える。スキュー調整セルは、集積回路のデジタル回路機構の信号のスキューを所望の量に調整するように構成される。デジタル論理セルおよびスキュー調整セルはセル・ライブラリから選択される。
セル・ライブラリのスキュー調整セルは、基本的組合わせ論理機能を含むことができ、トランジスタ・レベルで、同様のコア論理セルのスキューとは異なるスキューを提供するように設計することができる。回路設計者は、ライブラリの通常の標準セルの代わりに、またはそれに加えて、これらの特殊スキュー調整セルを使用して、集積回路のデジタル回路機構のクリティカル・パスのスキューを低減することができる。
本発明の別の態様では、信号のスキューを調整することのできる集積回路を設計する方法を記載する。セル・ライブラリからの少なくとも1つのデジタル論理セルを有する集積回路のデジタル回路機構における信号のスキューが決定される。セル・ライブラリからの少なくとも1つのスキュー調整セルは、集積回路に組み込まれる。少なくとも1つのスキュー調整セルは、集積回路のデジタル回路機構における信号のスキューを所望の量に調整するように構成される。
本発明のさらなる態様では、集積回路のデジタル回路機構における信号のスキューを調整する方法を記載する。信号は集積回路のデジタル回路機能に入力され、集積回路のデジタル回路機構の少なくとも1つのデジタル論理セルおよび少なくとも1つのスキュー調整セルを通して伝達される。デジタル論理セルおよびスキュー調整セルはセル・ライブラリから選択される。デジタル論理セルは信号にスキューを引き起こし、スキュー調整セルは集積回路のデジタル回路機構における信号のスキューを所望の値に調整するように構成される。所望の量のスキューを有する信号が、集積回路のデジタル回路機構から出力される。
本発明の例示的実施形態は、回路設計者が、スケジュール上の影響をほとんどまたは全く受けずに、標準論理セルを使用する推薦集積回路設計フローを維持しながら、集積回路のデジタル回路機構のクリティカル・パスにおける信号スキューを最小化することを可能にするので、好都合である。
本発明のこれらおよび他の目的、特長、および利点は、添付の図面に関連して読むべき、その例示的実施形態の以下の詳細な説明から明らかになるであろう。
以下に詳述するように、本発明は例示的実施形態で、セル・ライブラリの1つまたは複数のスキュー調整セルを使用することにより、集積回路のデジタル回路機構における信号スキュー調整を達成する。
最初に図1を参照すると、図は信号スキューまたはデューティ・サイクル歪みの概念を示す。50/50(50%)のデューティ・サイクル(零スキュー)を持つ理想的波形102は、集積回路のデジタル回路機構104への入力Aである。波形102は、所与の周期で信号が高レベルの時間の量と信号が低レベルの時間の量が実質的に等しいので、零スキューを持つ。デジタル回路機構104は1つまたは複数の論理演算を実行し、結果的に出力波形106として図示された出力信号Zを生じる。出力波形106は60/40デューティ・サイクルを有し、信号が高レベルである時間の量は、信号が低レベルである時間の量より大きい。これが10nsの周期を持つ100MHzの信号であるならば、理想的波形102は5ns高レベル/5ns低ベルのパターンを有する。出力波形106は6ns高レベル/4ns低レベルのパターンを持ち、結果的に2nsのスキューを生じる。
図2を参照すると、図は集積回路における一連のデジタル回路機構の一例を示す。入力信号Aは6個の論理ゲート、すなわちORゲート202、NORゲート204、NANDゲート206、MUXゲート208、ならびにインバータ210および212を通して伝達される。インバータ212は出力信号Zを生成する。図2に示し、かつ本明細書に記載する他の回路機構の構成に示す素子の特定の配置は、単に例示的実施形態として提示するものであることを理解されたい。他の集積回路は、例えばメモリ素子およびフリップフロップまたは他の型の論理ゲートのような、代替的または追加的素子を含むことができる。完全なる設計では、各回路素子は一般的に零スキューとなるように設計される。しかし、実際には、高速および小サイズ要件がしばしば結果的に、かなりのスキューを有する回路素子をもたらす。多くのそのような素子が、図2に示すように、所与の回路パスに沿って直列に配置される場合、結果的に生じるスキューは望ましくないほど大きくなることがある。
MOSインバータのトランジスタ実現を示す図を図3に示す。図3のMOSインバータは、例えば図2のインバータ210または212として利用可能である。MOSインバータにおいて、Pチャネル・トランジスタ302は、Nチャネル・トランジスタ304と相互接続される。この共通ゲートはインバータの入力Aであり、それらの共通ドレイン接続は出力Zである。VSSは接地または回路の低位供給電圧を表し、VDDは上位供給電圧を表す。出力信号Zの高レベルから低レベルへの遷移にかかる速度または時間の量は、Nチャネル・トランジスタ304の強度に依存し、出力信号Zの低レベルから高レベルへの遷移にかかる速度または時間の量は、Pチャネル・トランジスタ302の強度に依存する。したがって、Pチャネル・トランジスタ302およびNチャネル・トランジスタ304の強度の比は、このインバータが入力信号Aから生じる信号Zのスキューを決定する。
ほとんどの標準セルでは、トランジスタの強度はそのサイズに依存し、さらに詳しくは、トランジスタの幅/長さ比に依存する。多くの場合、Pチャネル・トランジスタおよびNチャネル・トランジスタのサイズは、セルのサイズおよび速度の考慮事項によって決定される。最新の高速CMOS技術で標準セルで使用されるNチャネルおよびPチャネル・トランジスタは一般的に、約0.4μmから2μmの間の幅、および約0.1μmから0.2μmの間のゲート長を有する。プロセスにもよるが、Pチャネル・トランジスタの幅/長さとNチャネル・トランジスタの幅/長さの比が約2から4の間の場合に、最小スキューが得られる。しかし、ほとんどの標準セルでは、サイズおよび速度の考慮事項のため、この比は2未満であり、その結果スキューした出力信号Zが生じる。
図4を参照すると、流れ図は、本発明の一実施形態に係る信号スキュー調整を達成する集積回路設計方法論を示す。該方法論はブロック402から始まり、そこでセル・ライブラリからの少なくとも1つのデジタル論理セルを有する集積回路のデジタル回路機構における信号のスキューが決定される。ブロック404で、セル・ライブラリからの少なくとも1つのスキュー調整セルが、集積回路のデジタル回路機構に組み込まれる。少なくとも1つのスキュー調整セルは、デジタル回路機構における信号のスキューを所望の量に調整するように構成される。この組込み工程は、デジタル回路機構に少なくとも1つのスキュー調整セルを追加すること、または集積回路のデジタル回路機構で少なくとも1つのデジタル論理セルを少なくとも1つのスキュー調整セルに置換することとすることができる。
一例として、図1のスキューした出力波形106が、図2の一連のデジタル回路機構によって生成されると考えた場合、スキューを低減するために、低レベルから高レベルへの遷移の速度を、高レベルから低レベルへの遷移の速度に比較して低減しなければならない。これは、本発明の実施形態に従って、図5に示すように、既存の回路パスの端部に配置された2つの新しいインバータ502および504の追加を通して達成することができる。インバータ502は、通常のインバータ210または212のそれより小さい幅/長さ比を有するNチャネル・トランジスタで作成される。Nチャネル・トランジスタの幅/長さ比が低減するので、その強度も低下し、よってその速度が低下し、図1の出力信号106の反転形の高レベルから低レベルへの遷移にかかる時間が長くなる。
インバータ504は、インバータ210または212のそれより小さい幅/長さ比を有するPチャネル・トランジスタにより作成される。Pチャネル・トランジスタの幅/長さ比は低減するので、その強度も低下し、よってその速度が低下し、インバータ502から受け取った信号の反転形の低レベルから高レベルへの遷移にかかる時間が長くなる。インバータ502および504を図5に示すように直列に接続すると、結果的に得られる出力は、信号の低レベルから高レベルへの遷移は、その高レベルから低レベルへの遷移に比較して遅くなる。この遅くなる低レベルから高レベルへの遷移は出力Zのスキューを低減するので、好都合である。
インバータ502および504は、本明細書でより一般的にスキュー調整セルと呼ぶものの例である。言うまでもなく、本発明は多種多様な他の型のそのようなセルを任意の組合せで利用することができる。
本実施例におけるスキュー補正の代替的解決策は、図2の既存のインバータ210および212を、図6に示すインバータ602および604に置換することである。実際には、セルの置換およびセルの追加の何らかの組合せが必要になるかもしれない。再び、本明細書に提示する実施例はインバータを含むが、本発明に係るスキュー調整技術の実現には、NAND、NOR、および他のゲートのような他の素子を利用することもできることを、回路設計業者は理解されるであろう。
本明細書に記載したスキュー調整セルは、組合せ論理機能を実行することもできる。しかし、スキュー調整セルから生じるスキューは、実質的に同様の論理機能を有するセル・ライブラリのデジタル論理セルのそれとは異なる。例えば+/−100ps(ピコ秒)刻みまたは他の刻み間隔である範囲の設計組込みスキューを網羅する一系列のそのような標準セル論理ゲートが開発されると、物理的レイアウト、スケジュール、またはコストにほとんどまたは全く影響を及ぼすことなく、そのようなセルの1つまたは複数を、集積回路の最終設計段階中に追加することができる。
図7を参照すると、流れ図は本発明の実施形態に係る信号スキュー調整プロセスを示している。プロセスはブロック702から始まり、信号が集積回路のデジタル回路機構に入力される。ブロック704で、信号は、集積回路のデジタル回路機構における少なくとも1つのデジタル論理セルおよび少なくとも1つのスキュー調整セルを通して伝達される。デジタル論理セルおよびスキュー調整セルはセル・ライブラリから選択される。デジタル論理セルは、信号のスキューまたはデューティ・サイクル歪みを引き起こし、スキュー調整セルは信号のスキューを所望の量だけ調整するように構成される。デジタル論理セルおよびスキュー調整セルは、デジタル論理セルをスキュー調整セルの前または後に配置して、あるいは他の配置で、直列に接続することができる。次いで信号は、ブロック706で集積回路のデジタル回路機構から所望のスキューで出力される。多くの場合、この所望のスキューは実質的に零に等しいスキューであるが、記載した技術は言うまでもなく他の所望量のスキューを生成するように利用することができる。
図8を参照すると、ブロック図は、本発明の信号スキュー調整技術を実現することのできる、コンピュータ支援設計機器800の一例を示す。図示するとおり、コンピュータ支援設計機器800はプロセッサ802およびメモリ804を有する。図4に示したブロックの1つまたは複数は少なくとも部分的に、プロセッサ802によって実行されかつメモリ804に格納されたソフトウェアを利用して実行することができる。
したがって、本明細書で記載したように、本発明の例示的実施形態は、セル・ライブラリから選択されたスキュー調整セルを使用して、集積回路のデジタル回路機構における信号のスキューを調整するための技術を提供する。
本発明の追加の実施形態は、所与の集積回路に様々な個数、組合せ、および配置のデジタル論理セル、スキュー調整セル、およびデジタル回路機構を組み込むことができる。追加の実施形態はまた、様々な程度の調整および様々な論理機能を有するスキュー調整セルを組み込むこともできる。さらに、集積回路はデジタル回路機構のみならずアナログ回路機構をも組み込むことができる。
集積回路一般に関して、半導体ウェハの表面に複数の同一ダイが一般的に反復パターンで形成される。各ダイは、本明細書で記述したように、少なくとも1つのデジタル論理セルおよび少なくとも1つのスキュー調整セルを有するデバイスを含むことができ、他の構造または回路を含むことができる。個々のダイは、ウェハから裁断またはダイシングされ、次いで集積回路として実装される。ウェハをダイシングし、ダイを実装して集積回路を製造する方法は、当業者には周知である。そのように製造された集積回路は、本発明の一部とみなされる。
したがって、本明細書では添付の図面を参照しながら本発明の例示的実施形態について説明したが、本発明はこれらの厳密な実施形態に限定されず、本発明の範囲または精神から逸脱することなく、様々な他の変更および変形を当業者が施すことができることを理解されたい。
集積回路のデジタル回路機構における信号スキューを示す図である。 本発明を実現することができる集積回路のデジタル回路機構の一例を示す図である。 本発明と共に利用可能なインバータのトランジスタ実現を示す図である。 本発明の実施形態に係る信号スキュー調整を達成する集積回路設計方法論を示す流れ図である。 図2の回路パスに加えられたスキュー調整ステージを有する、本発明の実施形態に係る集積回路のデジタル回路機構を示す図である。 図2の回路パスのインバータをスキュー調整インバータに置換した、本発明の実施形態に係る集積回路のデジタル回路機構を示す図である。 本発明の実施形態に係る信号スキュー調整方法論を示す流れ図である。 本発明の実施形態に係る信号スキュー調整技術を実現するのに適した例示的コンピュータ支援設計機器を示すブロック図である。

Claims (10)

  1. 少なくとも1つのデジタル論理セルと、
    集積回路のデジタル回路機構における信号のスキューを所望の量に調整するように構成された少なくとも1つのスキュー調整セルと、を備えたデジタル回路機構を有する集積回路であって、
    前記少なくとも1つのデジタル論理セルおよび前記少なくとも1つのスキュー調整セルがセル・ライブラリから選択される、集積回路。
  2. 前記少なくとも1つのスキュー調整セルが1つまたは複数の組合せ論理機能を実現する、請求項1に記載の集積回路。
  3. 信号スキュー調整が可能なデジタル回路機構を備えた集積回路を設計する方法において、
    セル・ライブラリからの少なくとも1つのデジタル論理セルを有する集積回路のデジタル回路機構における信号のスキューを決定する工程と、
    前記セル・ライブラリからの少なくとも1つのスキュー調整セルであって、前記集積回路における信号のスキューを所望の量に調整するように構成されたスキュー調整セルを前記集積回路の前記デジタル回路機構に組み込む工程と、を含む方法。
  4. 少なくとも1つのスキュー調整セルを組み込む前記工程が、前記集積回路の少なくとも1つのデジタル論理セルを前記少なくとも1つのスキュー調整セルに置換する工程を含む、請求項3に記載の方法。
  5. 実質的に同様の論理機能を有する前記セル・ライブラリの少なくとも1つのデジタル論理セルのそれとは異なる、少なくとも1つのスキュー調整セルによるスキューを提供する工程をさらに含む、請求項3に記載の方法。
  6. 最小量のスキューを有する集積回路のデジタル回路機構の少なくとも1つの信号経路で信号を発生する工程をさらに含む、請求項3に記載の方法。
  7. 前記セル・ライブラリから少なくとも1つのスキュー調整セルを組み込む前記工程が、ある範囲の設計組込みスキューを網羅するセル・ライブラリ内の1群のスキュー調整セルから少なくとも1つのスキュー調整セルを選択する工程を含む、請求項3に記載の方法。
  8. 信号スキュー調整が可能なデジタル回路機構を備えた集積回路を設計するためのコンピュータ支援設計機器であって、
    メモリと、
    前記メモリに結合され、(i)セル・ライブラリからの少なくとも1つのデジタル論理セルを有する前記集積回路の前記デジタル回路機構における信号のスキューを決定する工程と、(ii)前記セル・ライブラリからの少なくとも1つのスキュー調整セルであって、前記集積回路の前記デジタル回路機構における信号のスキューを所望の量に調整するように構成された少なくとも1つのスキュー調整セルを前記集積回路の前記デジタル回路機構に組み込む工程とを実行するように動作する、少なくとも1つのプロセッサと、を備えたコンピュータ支援設計機器。
  9. 1つまたは複数のプログラムを含む機械可読媒体を備えたコンピュータ支援設計機器を利用して、信号スキュー調整が可能なデジタル回路機構を備えた集積回路を設計するための製造品であって、前記プログラムが実行されたときに、
    セル・ライブラリからの少なくとも1つのデジタル論理セルを有する前記集積回路の前記デジタル回路機構における信号のスキューを決定する工程と、
    前記セル・ライブラリからの少なくとも1つのスキュー調整セルであって、前記集積回路の前記デジタル回路機構における信号のスキューを所望の量に調整するように構成された少なくとも1つのスキュー調整セルを前記集積回路の前記デジタル回路機構に組み込む工程と、を実現するように構成された、製造品。
  10. 集積回路のデジタル回路機構における信号のスキューを調整する方法であって、
    前記集積回路の前記デジタル回路機構に信号を入力する工程と、
    前記集積回路の前記デジタル回路機構で少なくとも1つのデジタル論理セルと、前記集積回路の前記デジタル回路機構における信号のスキューを所望の量に調整するように構成された少なくとも1つのスキュー調整セルとを通して信号を伝達する工程と、
    所望の量のスキューを有する信号を前記集積回路の前記デジタル回路機構から出力する工程と、を含み、
    前記少なくとも1つのデジタル論理セルおよび前記少なくとも1つのスキュー調整セルがセル・ライブラリから選択される、方法。
JP2005242010A 2004-08-24 2005-08-24 セル・ライブラリから選択された信号スキュー調整セルを備えた集積回路 Pending JP2006066914A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/925,185 US20060044016A1 (en) 2004-08-24 2004-08-24 Integrated circuit with signal skew adjusting cell selected from cell library

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012151026A Division JP5614781B2 (ja) 2004-08-24 2012-07-05 セル・ライブラリから選択された信号スキュー調整セルを備えた集積回路

Publications (1)

Publication Number Publication Date
JP2006066914A true JP2006066914A (ja) 2006-03-09

Family

ID=35942215

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2005242010A Pending JP2006066914A (ja) 2004-08-24 2005-08-24 セル・ライブラリから選択された信号スキュー調整セルを備えた集積回路
JP2012151026A Expired - Fee Related JP5614781B2 (ja) 2004-08-24 2012-07-05 セル・ライブラリから選択された信号スキュー調整セルを備えた集積回路

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012151026A Expired - Fee Related JP5614781B2 (ja) 2004-08-24 2012-07-05 セル・ライブラリから選択された信号スキュー調整セルを備えた集積回路

Country Status (4)

Country Link
US (2) US20060044016A1 (ja)
JP (2) JP2006066914A (ja)
KR (1) KR101164683B1 (ja)
TW (1) TWI351769B (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8042075B2 (en) * 2009-03-25 2011-10-18 International Business Machines Corporation Method, system and application for sequential cofactor-based analysis of netlists
US8762904B2 (en) 2012-03-28 2014-06-24 Synopsys, Inc. Optimizing logic synthesis for environmental insensitivity
US8595668B1 (en) 2012-09-26 2013-11-26 Lsi Corporation Circuits and methods for efficient clock and data delay configuration for faster timing closure

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0766373A (ja) 1993-08-26 1995-03-10 Olympus Optical Co Ltd マスタースライス方式の半導体集積回路装置
JPH0923149A (ja) 1995-06-30 1997-01-21 At & T Ipm Corp 電子システムの異なる負荷素子へ信号を伝達する回路
JPH10285011A (ja) 1997-04-04 1998-10-23 Citizen Watch Co Ltd 出力ドライバ回路
JPH11232311A (ja) 1998-02-10 1999-08-27 Nec Ic Microcomput Syst Ltd クロックツリー及びその合成方法
JPH11312965A (ja) 1998-04-28 1999-11-09 Hitachi Ltd 遅延回路
WO2000025425A1 (en) 1998-10-27 2000-05-04 Evsx, Inc. Method and apparatus for logic synchronization
JP2000235429A (ja) 1999-02-17 2000-08-29 Toshiba Corp 同期回路とその遅延回路
JP2001332693A (ja) * 2000-05-23 2001-11-30 Nec Corp バッファ回路ブロック及びこれを用いた半導体集積回路装置の設計方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0877227A (ja) 1994-09-02 1996-03-22 Fujitsu Ltd スタンダードセル方式のレイアウト手法
US5528177A (en) * 1994-09-16 1996-06-18 Research Foundation Of State University Of New York Complementary field-effect transistor logic circuits for wave pipelining
JP2701779B2 (ja) * 1995-03-30 1998-01-21 日本電気株式会社 クロックスキュー低減方法
US5570045A (en) * 1995-06-07 1996-10-29 Lsi Logic Corporation Hierarchical clock distribution system and method
US5757218A (en) * 1996-03-12 1998-05-26 International Business Machines Corporation Clock signal duty cycle correction circuit and method
US6088415A (en) * 1998-02-23 2000-07-11 National Semiconductor Corporation Apparatus and method to adaptively equalize duty cycle distortion
US6069511A (en) * 1998-08-26 2000-05-30 National Semiconductor Corporation Digital slew rate and duty cycle control circuit and method
JP2954194B1 (ja) * 1998-09-18 1999-09-27 日本電気アイシーマイコンシステム株式会社 クロックスキュー低減方法及びシステム
US6467074B1 (en) * 2000-03-21 2002-10-15 Ammocore Technology, Inc. Integrated circuit architecture with standard blocks
US6594807B1 (en) * 2001-03-06 2003-07-15 Lsi Logic Corporation Method for minimizing clock skew for an integrated circuit
US6910199B2 (en) * 2001-04-23 2005-06-21 Telairity Semiconductor, Inc. Circuit group design methodologies
US6442737B1 (en) * 2001-06-06 2002-08-27 Lsi Logic Corporation Method of generating an optimal clock buffer set for minimizing clock skew in balanced clock trees
US6411145B1 (en) * 2001-06-14 2002-06-25 Lsi Logic Corporation Feedback control of clock duty cycle
US6507220B1 (en) * 2001-09-28 2003-01-14 Xilinx, Inc. Correction of duty-cycle distortion in communications and other circuits
JP2003152078A (ja) 2001-11-12 2003-05-23 Fujitsu Ltd 半導体回路の遅延時間調整方法および装置
US6754877B1 (en) * 2001-12-14 2004-06-22 Sequence Design, Inc. Method for optimal driver selection
US6698006B1 (en) * 2001-12-14 2004-02-24 Sequence Design, Inc. Method for balanced-delay clock tree insertion
US6701507B1 (en) * 2001-12-14 2004-03-02 Sequence Design, Inc. Method for determining a zero-skew buffer insertion point
JP4118578B2 (ja) * 2002-03-14 2008-07-16 富士通株式会社 半導体集積回路の設計方法および設計プログラム
US6700420B2 (en) * 2002-04-18 2004-03-02 Koninklijke Philips Electronics N.V. Differential output structure with reduced skew for a single input
JP2004078804A (ja) * 2002-08-22 2004-03-11 Renesas Technology Corp クロック信号伝搬ゲート及びそれを含む半導体集積回路
US7017132B2 (en) * 2003-11-12 2006-03-21 Taiwan Semiconductor Manufacturing Company Methodology to optimize hierarchical clock skew by clock delay compensation
US7042269B2 (en) * 2004-07-06 2006-05-09 Princeton Technology Corporation Method for dynamic balancing of a clock tree
US7191418B2 (en) * 2004-07-12 2007-03-13 Chang Gung University Method and apparatus for rapidly selecting types of buffers which are inserted into the clock tree for high-speed very-large-scale-integration

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0766373A (ja) 1993-08-26 1995-03-10 Olympus Optical Co Ltd マスタースライス方式の半導体集積回路装置
JPH0923149A (ja) 1995-06-30 1997-01-21 At & T Ipm Corp 電子システムの異なる負荷素子へ信号を伝達する回路
JPH10285011A (ja) 1997-04-04 1998-10-23 Citizen Watch Co Ltd 出力ドライバ回路
JPH11232311A (ja) 1998-02-10 1999-08-27 Nec Ic Microcomput Syst Ltd クロックツリー及びその合成方法
JPH11312965A (ja) 1998-04-28 1999-11-09 Hitachi Ltd 遅延回路
WO2000025425A1 (en) 1998-10-27 2000-05-04 Evsx, Inc. Method and apparatus for logic synchronization
JP2000235429A (ja) 1999-02-17 2000-08-29 Toshiba Corp 同期回路とその遅延回路
JP2001332693A (ja) * 2000-05-23 2001-11-30 Nec Corp バッファ回路ブロック及びこれを用いた半導体集積回路装置の設計方法

Also Published As

Publication number Publication date
KR20060050564A (ko) 2006-05-19
JP2012239185A (ja) 2012-12-06
KR101164683B1 (ko) 2012-07-11
JP5614781B2 (ja) 2014-10-29
TW200608586A (en) 2006-03-01
US20070256047A1 (en) 2007-11-01
TWI351769B (en) 2011-11-01
US20060044016A1 (en) 2006-03-02
US7590961B2 (en) 2009-09-15

Similar Documents

Publication Publication Date Title
US8222921B2 (en) Configurable time borrowing flip-flops
US6140856A (en) Parametric tuning of an intergrated circuit after fabrication
US6593792B2 (en) Buffer circuit block and design method of semiconductor integrated circuit by using the same
CN112751560A (zh) 时钟门控单元及集成电路
US6643828B2 (en) Method for controlling critical circuits in the design of integrated circuits
JP2008278482A (ja) マルチスピードリングオシレータ
US8561006B2 (en) Signal transmission circuit for increasing soft error tolerance
US6331800B1 (en) Post-silicon methods for adjusting the rise/fall times of clock edges
JP5614781B2 (ja) セル・ライブラリから選択された信号スキュー調整セルを備えた集積回路
EP1408610A1 (en) Ring oscillator with a digitally programmable frequency
US6989691B2 (en) Dynamic to static converter with noise suppression
JP2007124343A (ja) データ保持回路
WO2017199790A1 (ja) 半導体集積回路
US8667449B2 (en) Flip-flop library development for high frequency designs built in an ASIC flow
US9569570B2 (en) Configurable delay cell
US9537474B2 (en) Transforming a phase-locked-loop generated chip clock signal to a local clock signal
JP2001210718A (ja) 半導体集積回路及びその設計方法
JP7052971B2 (ja) 半導体集積回路
JP2002368590A (ja) プログラマブル遅延クロックゲート
JP2006352886A (ja) タイミング感知用回路のための方法及びシステム
JP2010093435A (ja) 半導体集積回路
JP2006166254A (ja) 入力回路
JPH088700A (ja) クロック信号発生回路

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080423

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110719

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111019

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111024

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120119

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120305

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130712