JP2006010351A - テストパターン作成装置、テストパターン作成方法及びテストパターン作成プログラム - Google Patents

テストパターン作成装置、テストパターン作成方法及びテストパターン作成プログラム Download PDF

Info

Publication number
JP2006010351A
JP2006010351A JP2004184177A JP2004184177A JP2006010351A JP 2006010351 A JP2006010351 A JP 2006010351A JP 2004184177 A JP2004184177 A JP 2004184177A JP 2004184177 A JP2004184177 A JP 2004184177A JP 2006010351 A JP2006010351 A JP 2006010351A
Authority
JP
Japan
Prior art keywords
weight
failure
fault
test pattern
information
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004184177A
Other languages
English (en)
Other versions
JP4602004B2 (ja
Inventor
Yasuyuki Nozuyama
泰幸 野津山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2004184177A priority Critical patent/JP4602004B2/ja
Priority to US11/158,261 priority patent/US7406645B2/en
Publication of JP2006010351A publication Critical patent/JP2006010351A/ja
Application granted granted Critical
Publication of JP4602004B2 publication Critical patent/JP4602004B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318342Generation of test inputs, e.g. test vectors, patterns or sequences by preliminary fault modelling, e.g. analysis, simulation
    • G01R31/31835Analysis of test coverage or failure detectability

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】 複数の故障モデル及びレイアウト要素情報の組合わせに対して適切なテストパターンを作成可能なテストパターン作成装置を提供する。
【解決手段】 テスト対象回路のゲートネット情報及びレイアウト情報に基づいてレイアウト要素を抽出し、ゲートネットの要素で表現可能な故障モデルの故障に対応付けるテスト対象解析部21、抽出された故障モデルの未検出故障と、テストパターンによって追加検出された故障に対し、プロセス不良情報及びレイアウト要素情報に基づいてレイアウト要素にリンクされた故障モデル毎に故障の重みを算出する重み算出部23、レイアウト要素にリンクされた各故障モデルの故障の重みの大きさに応じてテストパターンを発生するテストパターン発生部22、及び算出された重みに基づき、発生されたテストパターンから重みの大きい有効なテストパターンを選択するテストパターン選択部24を備える。
【選択図】 図1

Description

本発明は、半導体集積回路のテスト技術に関し、特に、テストパターンを自動的に作成するテストパターン作成装置、テストパターン作成方法及びテストパターン作成プログラムに関する。
最近、SOC(System On a Chip)と呼ばれる、大規模な半導体集積回路(以下、LSI)の開発が盛んに行なわれている。これらLSIは、0.2μm〜0.1μm以下の配線幅のディープサブミクロン(DSM)プロセスを利用して製造されることが多い。こうしたプロセスで製造されるLSIでは、従来のハーフサブミクロン程度までは問題とならなかったことが大きな問題となることがしばしば起こるが、本発明の主な対象であるLSIのランダムロジック部(組合わせ論理ゲートやフリップフロップ・ラッチ等のメモリ素子の接続によって構成される論理回路ブロック)のテスト品質もその例外ではない。従来、こうしたブロック(以下、テスト対象デバイスということでDUT(Device Under Test)と呼ぶ)に対するテストパターンの作成方法としては、例えば人手による作成(「ファンクションテスト」として実行)、スキャンテスト手法を適用して自動発生(Automatic Test Pattern Generation)する方法、LSI内部に自動実行可能なテスト回路を組込む「組込み自己テスト(BIST)」を利用する方法等が知られている。これらのテストパターンのテスト品質については、従来、DUTの内部に、DUT内部の接続ノード又は基本セルの入出力端子の各1個が電源(VDD)また接地(GND)の電位に固定されたという単一縮退故障(を有する故障DUT)を仮定し(縮退故障モデル:故障数としては、対象のノード又は入出力端子がN個あるとすれば2N個)、印加するテストパターンによってそれら故障をどの程度検出できたかという故障検出率によって評価するようになっており、この故障検出率を要求されるレベル(例えば95%以上)まで高めることで必要なテスト品質が達成されたと見なしていた。DSMプロセス化への一つの対応としては、要求故障検出率を100%近く(例えば98%)まで高めることがある。しかしながら、DSMプロセスで製造されたLSIにおいては、こうした縮退故障モデルに従ったテストだけで十分なテスト品質が達成される可能性は低くなってきている。
まず、従来の縮退故障モデルでの故障検出率という概念の本質的な問題として、故障検出率はレイアウト情報を持たないLSIのgate netに対して仮定された故障の内、何個を検出したかという個数での比率しか考慮していないという点がある。このため、得られた故障検出率(テスト品質)は実際のLSIの不良発生との相関をある程度は期待できるものの、高い精度での相関を得ることができないため、テスト品質基準の適切な設定ができず、オーバースペックになり勝ちとなり、また、レイアウト情報から意味のある故障に対して優先的にテストパターンを追加するということができないため、テストパターンの作成・追加のリソースを費やした割には期待したテスト品質が得られない恐れが大きかった。この問題については、適当なレイアウト情報(レイアウト要素)を故障に結び付けて重み付けし、故障シミュレーション結果を重み付け故障検出率として評価する手法が提案されている(例えば、特許文献1参照。)。これにより、主に縮退故障モデルに従う仮定故障に対し、適当なレイアウトの重みを付加して故障シミュレーションを実行し、重み付きの故障検出率を求め、プロセスの不良率との相関精度を向上させたり、未検出故障を追加検出するための明確な優先度付けを行ない、テストパターン追加のリソースを有効に削減させることができる。
次に、縮退故障モデルのテストに関連したもう一つの本質的な問題は、DUT内部の接続ノード又は基本セルの入出力端子が1又は0に固定されるという仮定から、それ自身の故障の検出有無はチェック可能だが、例えば隣合う配線同士のshort故障(bridge故障)といったLSIでは基本的な故障についてどの程度検出できたか不明という点である。縮退故障での検出率を向上させることによってこうした故障も副次的に検出できるという報告も幾つかなされているが、DSMプロセスにおいてはテスト品質向上は極めて困難になるため、こうした推定レベルの話では、所定のテスト品質を得るためのテストパターン発生努力のロスが大きくなる恐れが大きい。これに対しては、最近、レイアウト情報からbridge故障を抽出し、故障シミュレーション、ATPGを実施するというツールも市販されはじめている。更に、DSMプロセスではオープン故障モデル、ディレイ(遅延)故障モデルを考慮する必要がある。
以上のようなDSMプロセスで製造されるLSIの品質確保のために必要となる複数の故障モデル、レイアウト要素の組合わせを単純に積み重ねていくだけでは、実質的には個別にテストパターンを作成していくこととなり、テストパターンサイズ(テストパターン長)が膨大になり、出荷テストでのテスト時間が長くなり、テストコストが大幅に増加してしまう恐れがある。しかしながら、従来はこうした複数の故障モデル、レイアウト要素の組に対し、テストパターンサイズを有効に削減する手法の提案はなされていなかった。
特開2000−276500号公報
本発明は、複数の故障モデル及びレイアウト要素情報の組合わせに対して適切なテストパターンを作成可能なテストパターン作成装置、テストパターン作成方法及びテストパターン作成プログラムを提供することを目的とする。
本発明の第1の特徴は、(イ)ネット情報及びレイアウト情報に基づいてレイアウト要素を抽出し、ゲートネットの要素で表現可能な故障モデルの故障に対応付けるテスト対象解析部と、(ロ)テスト対象解析部により抽出された故障モデルの未検出故障と、テストパターンによって追加検出された故障に対し、プロセス不良情報及びレイアウト要素情報に基づいてレイアウト要素にリンクされた故障モデル毎に故障の重みを算出する重み算出部と、(ハ)レイアウト要素にリンクされた故障モデル毎の故障の重みの大きさに応じてテストパターンを追加的に発生するテストパターン発生部と、(ニ)重み算出部において算出された重みに基づき、追加的に発生されたテストパターンから重みの大きい有効なテストパターンを選択するテストパターン選択部と、(ホ)レイアウト要素情報に対して設定された要求故障検出率に対し、選択された全テストパターンによる故障検出率が要求故障検出率を満たしているか否かを判定する要求検出率判定部とを備えるテストパターン作成装置であることを要旨とする。
本発明の第2の特徴は、(イ)テスト対象回路のゲートネット情報及びレイアウト情報に基づいてレイアウト要素を抽出し、ゲートネットの要素で表現可能な故障モデルの故障に対応付けるステップと、(ロ)抽出された故障モデルの未検出故障と、テストパターンによって追加検出された故障に対し、プロセス不良情報及びレイアウト要素情報に基づいてレイアウト要素にリンクされた故障モデル毎に故障の重みを算出するステップと、(ハ)レイアウト要素にリンクされた故障モデル毎の故障の重みの大きさに応じてテストパターンを追加的に発生するステップと、(ニ)重みを算出するステップにおいて算出された重みに基づき、追加的に発生されたテストパターンから重みの大きい有効なテストパターンを選択するステップと、(ホ)レイアウト要素情報に対して設定された要求故障検出率に対し、選択された全テストパターンによる故障検出率が要求故障検出率を満たしているか否かを判定するステップとを含むテストパターン作成方法であることを要旨とする。
本発明の第3の特徴は、コンピュータに、(イ)テスト対象回路のゲートネット情報及びレイアウト情報に基づいてレイアウト要素を抽出し、ゲートネットの要素で表現可能な故障モデルの故障に対応付ける手順と、(ロ)抽出された故障モデルの未検出故障と、テストパターンによって追加検出された故障に対し、プロセス不良情報及びレイアウト要素情報に基づいてレイアウト要素にリンクされた故障モデル毎に故障の重みを算出する手順と、(ハ)レイアウト要素にリンクされた故障モデル毎の故障の重みの大きさに応じてテストパターンを追加的に発生する手順と、(ニ)重みを算出する手順において算出された重みに基づき、追加的に発生されたテストパターンから重みの大きい有効なテストパターンを選択する手順と、(ホ)レイアウト要素情報に対して設定された要求故障検出率に対し、選択された全テストパターンによる故障検出率が要求故障検出率を満たしているか否かを判定する手順とを実行させるためのテストパターン作成プログラムであることを要旨とする。
本発明によれば、複数の故障モデル及びレイアウト要素情報の組合わせに対して適切なテストパターンを作成可能なテストパターン作成装置、テストパターン作成方法及びテストパターン作成プログラムを提供できる。
次に、図面を参照して、本発明の第1〜第3の実施の形態を説明する。この第1〜第3の実施の形態における図面の記載において、同一又は類似の部分には同一又は類似の符号を付している。
(第1の実施の形態)
本発明の第1の実施の形態に係るテストパターン作成装置1aは、図1に示すように、処理装置2a、処理装置2aに接続された入力装置3、出力装置4、補助記憶装置5、主記憶装置6、及びデータ記憶装置7aを備える。処理装置2aは、テスト対象解析部21、テストパターン発生部22、重み算出部23、テストパターン選択部24、及び要求検出率判定部25を備える。テスト対象解析部21は、テスト対象回路のゲートネット情報及びレイアウト情報に基づいてレイアウト要素情報を抽出し、ゲートネットの要素で表現可能な故障に対応づける。テストパターン発生部22は、未検出故障の重みの大きさに応じて故障モデル毎にテストパターンを発生する。重み算出部23は、プロセス不良情報と、レイアウト要素とリンクされた各故障モデルの故障の検出・未検出情報に基づいて、各故障モデル毎および総合的な重み付き故障検出率を算出し、個々の未検出故障の重みを算出する。個々の未検出故障については、更に、検出期待故障からの寄与分、要求故障検出率との差による重みも考慮して重みを算出し、テストパターン発生部22で優先的にテストパターン発生対象とすべき故障の順位付けを行なう。テストパターン選択部24は、重み検出部23において算出された重みに基づき、発生されたテストパターンから最終的に利用するテストパターンを選択する。要求検出率判定部25は、レイアウト要素情報に対して設定された要求故障検出率に対し、選択された全テストパターンの故障検出率が要求故障検出率を満すか否か判定する。ここで、「要求検出率」とは、テストパターンに対する各故障モデルの(重み付き)故障検出率の目標とする値を意味する。
テスト対象解析部21は、図2に示すように、レイアウト解析部21a、故障抽出部21b、及び故障・レイアウトリンク部21cを備える。レイアウト解析部21aは、レイアウト情報に基づいてテスト対象回路のレイアウト全体を解析してレイアウト要素情報を抽出する。故障抽出部21bは、ゲートネット情報及びレイアウト情報から故障を抽出し、未検出故障リストを作成する。故障・レイアウトリンク部21cは、レイアウト要素情報と検出・未検出故障リストとをリンク(対応付け)する。
故障及びレイアウト要素間の対応付けの説明のため、図3に第1〜第4基本セルC1〜C4を接続するレイアウト例を示す。図3に示すレイアウト例においては、例えば「C|P|M|VLayer(Layer)_通し番号」といったパス表記が適用される。ここで、「C」は基本セル、「P」は端子、「M」は(メタル)配線要素、「V」は最小サイズのヴィア(以下において単に「ヴィア」と記載する。)、「Layer」は配線要素の層をそれぞれ表す。第2基本セルC2の入力端子P1_2は、配線要素M1_1、M1_2により第1基本セルC1の出力端子P1_1と接続される。ヴィアV12_1は、配線M1_1及びM1_2の結合点に存在するが、端子P1_1及びP1_2間の接続には無関係であり含まれない。第3基本セルC3の入力端子P1_3は、配線要素M1_1、M2_1、M3_2、M2_3、M1_3及びヴィアV12_1、V23_1、V32_1、V21_1により第1基本セルC1の出力端子P1_1と接続される。第4基本セルC4の入力端子P2_4は、配線要素、M1_1、M2_1、M2_2、M3_3、M2_4及びヴィアV12_1、V23_2、V32_2により第1基本セルC1の出力端子P1_1と接続される。ヴィアV12_1、V23_2、V32_1、V21_1、及びV32_2は、上下に隣合う配線層に存在する配線間を接続するために形成される。
図2に示すレイアウト解析部21aは、上述したパス表記を利用し、パス毎に対応する配線要素の配線長、ヴィア数、及びパスに出力端子が接続される基本セルの面積をレイアウト要素情報として算出する。また、故障抽出部21bは、例えば入力端子P1_1及び出力端子P1_2、P1_3、P2_4に対して定義される縮退故障、及び配線要素M1_2、M3_3に対して定義されるブリッジ故障B1、B2を故障としてそれぞれ抽出する。ここで「(pin)縮退故障」とは、入力端子(pin)P1_1及び出力端子(pin)P1_2、P1_3、P2_4のいずれかが電源電位又は接地電位に固定されると仮定する故障である。論理が”0”である接地電位に固定される縮退故障を「0縮退故障」、論理が”1”である接地電位に固定される縮退故障を「1縮退故障」と呼ぶ。「ブリッジ故障」とは、隣合う配線間がショートすることにより発生する故障である。縮退故障に重み付けする配線長として着目する配線に隣接する配線部分の長さの総和を用いるようにしても良い。また、仮定する縮退故障と同じ電位の電源配線と隣接する配線部分とその他の部分に分けて重み付けするようにしてもよい。
一方、図1に示したテストパターン発生部22は、図4に示すように、各故障モデルに対応した自動テストパターン発生ツール22a1,22a2,・・と故障シミュレーションツール(故障シミュレータ)22b1,22b2,・・とを備える。図では、故障モデル1に自動テストパターン発生ツール22a1、故障シミュレータ22b1が対応し、故障モデル2に自動テストパターン発生ツール22a2、故障シミュレータ22b2が対応している。自動テストパターン発生ツールは、対応する故障モデルの未検出故障の重みに応じ、所定の故障を検出対象として追加テストパターンを自動発生する。故障モデル毎に追加発生されたテストパターンにより、その他の故障モデルの故障をどの程度検出できたかを故障シミュレーションによって評価する。こうすることにより、各自動テストパターン発生ツールにより発生されたテストパターンの、他の故障モデルも考慮した総合的な重み(効果)が評価されることになる。
また、図1に示した重み算出部22は、図5に示すように、相対重み算出部23a、達成度重み算出部23b、検出期待故障抽出部23c、重み計算部23d、及び優先度付け部23eを備える。相対重み算出部23aは、発生頻度も含めたプロセスの不良情報に基づき、対象とするレイアウト要素情報をリンクした故障モデルの決定又は選択、及びこれらレイアウト要素情報をリンクした故障モデル間の相対的な重みの算出を行ない、要求重み付き故障検出率を算出する。これら相対重み及び要求重み付き故障検出率の算出については、自動的に故障モデルを選択し、算出を行なうことは必ずしも容易ではないため、予め使用の可能性のあるレイアウト要素情報をリンクされた故障モデルに対応した重み付きテストパターン生成装置を処理装置2a内に組込んでおき、対象LSIに応じて故障モデルを選択し、それぞれの相対重み及び要求重み付き故障検出率は入力装置3から直接入力する方式としても良い。達成度重み算出部23bは、レイアウト要素情報とリンクされた故障モデル毎の達成度重みを算出する。「達成度重み」とは検出対象の故障がどの程度未検出で残されているかを表す重みである。検出期待故障抽出部23cは、各故障の検出と同時に検出されるか検出される可能性のある他の故障をその故障自身も含めて「検出期待故障」として抽出する。重み計算部23dは、個々の未検出故障のレイアウト要素に対応した重みを、その検出期待故障の重みを含めて算出する。優先度付け部23eは、検出期待故障を含めた個々の未検出故障のレイアウト要素に対応した重みに基づき、相対重み及び達成度重みを考慮した重みを算出し、テストパターン発生の優先度を決定する。場合によっては、上記相対重み及び達成度重みに対し、更にテストパターンコストを重みとして付加した重みを算出しテストパターン発生の優先度を算出しても良い。ここでテストパターンコストとは、特定のテストの1パターンを対象LSIに印加する場合に必要となるテストコストを意味する。
表1に故障モデルと対応付け可能なレイアウト要素及び実際の不良、相対重み及び要求重み付き故障検出率の例を示す:
Figure 2006010351
相対重みは、不良発生頻度に応じ、不良発生頻度が高いときは高い値に設定し、低いときは低い値に設定する。要求重み付き検出率は、対応する不良の発生頻度が低く、相対重みが低い故障については、比較的低い値でも差し支えない。なお、相対重みは、表中の遷移故障の例のように、対応するプロセス不良が複数ある場合、例えばw1とw2(w1+w2=1)のように、遷移故障の範囲で相対重みを設定しておき、全体として相対重みW5となるよう階層的に相対重みを構成しても良い。
図4に示す重み計算部23dの入力端子P1_2、P1_3、P2_4に仮定されるpin縮退故障に対するレイアウト要素重みとしては、出力端子P1_1から上記各入力端子の至るパス上のヴィア数及び配線長を対応させることが適当である(配線長については上述のように幾つかの選択が可能)。これらは、プロセスの不良としてはそれぞれヴィアのオープン及び配線の切断と対応付けされる。また、出力端子P1_1に仮定されるpin縮退故障は、レイアウト要素重みとしては、第1基本セルC1の面積および端子P1_1に接続される配線の総配線長を対応させることが適当であり、プロセスの不良としてはそれぞれ基本セル内部の種々のレイアウト要素の不良、配線上に発生する他のレイアウト要素とのショート不良全般に対応付けされる。より精度を高めるため、基本セル内のより詳細なレイアウト要素を対応付けしてもよい。bridge故障B1に対しては、レイアウト要素重みとして、配線要素M1_2ともう一方の隣接配線との隣接部分の配線長(隣接配線長)を対応させることが適当である。これは、プロセスの不良としては、近接配線要素間ショートに対応付けされる。また、検出期待故障抽出部23cは、例えば図3の入力端子P1_2の0縮退故障が未検出であったとすると、ブリッジ故障B1を検出期待故障として抽出する。この場合、ブリッジ故障の検出のためにはもう一方の配線の値は1である必要があるため、レイアウト要素の重みに1/2を掛けた値をこのブリッジ故障の重みとして計算することが適当である。より簡単な例としては、等価故障と代表故障の例が挙げられる。等価故障とは、すべての可能なテストパターンに対し、論理的に全く同一の出力を行なう複数の故障を示す。代表故障とは、等価故障の中からその集合を代表するために選別された故障であり、代表故障が検出されると、対応する等価故障はすべて検出される。優先度付け部23eは、特に、出力端子が1個だけの信号配線の場合(大部分がそうなっている)、各入力端子が検出される時、自動的に同時に検出されることを考慮し、出力端子に仮定された未検出故障に付加されたレイアウト要素重み(基本セルの面積)は、基本的に各入力端子に仮定された未検出故障の重みに移し変えて付加するようにする。例えば図3の出力端子P1_1のpin縮退故障が未検出の場合、第1基本セルC1の面積は、各入力端子P1_2、P1_3、P2_4の未検出のpin縮退故障に重みとして付加されることになる。なお、遅延故障は、低クロック周波数のテストでは正常動作するが、より高いクロック周波数の対象LSIの実動作速度では誤動作するという故障である。「遷移遅延故障」とは、基本セル又は基本セルの入出力に比較的大きな遅延を仮定する遅延故障であり、入力端子に仮定されるものについては、pin縮退故障と類似しており、これと同様なレイアウト要素を対応させることが適当である。これらは、それぞれヴィアの高抵抗不良と配線の極端な細りによる高抵抗化不良に対応付けられる。また、基本セルの出力端子に仮定される遷移故障に重み付けされるレイアウト要素は基本セル面積が適当であり、これは基本セル内部の種々のレイアウト要素の抵抗性不良に対応付けされる。「パス遅延故障」とは、複数の組合せ基本セルを経由する論理パス上に仮定される遅延故障である。レイアウト要素としては、論理パスに対応する配線長、論理パス上のヴィア数および基本セルの面積を対応させることが適当であり、これらはプロセスの不良としてはそれぞれ極端な細りによる高抵抗化不良、ヴィアの高抵抗不良および基本セル内部の種々のレイアウト要素の抵抗性不良に対応付けられる。遷移遅延故障とパス遅延故障は、遅延故障のモデル化による違いであり、いずれかを選択するか、これらを混在して利用することになる。
更に、図1に示すデータ記憶装置7aは、図6に示すように、ゲートネット情報格納部71、レイアウト情報格納部72、レイアウト要素情報格納部73、検出・未検出故障リスト格納部74、要求重み付き故障検出率リスト格納部75、プロセス不良情報格納部76、相対重み格納部77、ヴィア数重み格納部78、配線長重み格納部79、セル面積重み格納部80、テストパターン格納部81、達成故障検出率格納部82、故障・レイアウトリンク情報格納部91、隣接配線長重み格納部92、クリティカルパス上ヴィア数・配線長重み格納部93、及び故障リスト格納部83等を備える。レイアウト要素情報格納部73は、例えばレイアウトパターン情報、配線接続情報、配線要素の両端部の位置情報、ヴィアの位置情報、配線数、及びヴィア数等の情報を格納する。プロセス不良情報格納部76は、テスト対象回路のヴィアオープン、配線切れ、基本セル内トランジスタ不良、配線間ショート、及び遅延故障等の発生頻度情報を格納する。相対重み格納部77は、レイアウト要素にリンクされた各故障モデルの相対重み情報を格納する。ヴィア数重み格納部78は、レイアウト要素情報としてのヴィア数の(各故障に対する)重み、検出期待故障に対する重み、及び達成度重みを格納する。配線長重み格納部79は、配線長の各故障に対する重み、検出期待故障に対する重み、及び達成度重みを格納する。セル面積重み格納部80は、セル面積の各故障に対する重み、検出期待故障に対する重み、及び達成度重みを格納する。隣接配線長重み格納部92は、隣接配線長の各故障に対する重み、検出期待故障に対する重み、及び達成度重みを格納する。クリティカルパス上ヴィア数・配線長重み格納部93は、クリティカルパス上ヴィア数・配線長の各故障に対する重み、検出期待故障に対する重み、及び達成度重みを格納する。テストパターン格納部81は、テストパターン発生部22の各自動テストパターン発生ツールが発生し、重み算出部23の結果に基づきテストパターン選択部24において選択された全テストパターンと、少なくともテストパターンを追加する各ステップにおいて発生されたテストパターンを格納する。
なお、図1に示す処理装置2aは、図示を省略するデータベース管理手段及び入出力管理手段を備える。処理装置2aとデータ記憶装置7aとの入出力が必要な場合は、データベース管理手段を介して必要なファイルの格納場所を探し、ファイルの読み出し及び書き込み処理が行われる。また、処理装置2aへの入力が必要な場合は、入出力管理手段を介して入力装置3からの入力信号を受け取る。処理装置2aからの出力が必要な場合は、処理装置2aからの出力信号を入出力管理手段を介して出力装置4、補助記憶装置5等へ伝達される。更に、データ記憶装置7aに格納される情報は、適宜補助記憶装置5に含まれる構成になっていても良い。
図1に示す入力装置3としては、例えば、キーボード、マウス、光学式文字読取装置(OCR)等の認識装置、イメージスキャナ等の図形入力装置、及び音声認識装置等の特殊入力装置が使用できる。出力装置4としては、例えば、液晶ディスプレイ、CRTディスプレイ等の表示装置、インクジェットプリンタ、レーザープリンタなどの印刷装置が使用できる。図示を省略した入出力制御装置は、入力装置、出力装置、或いはCD−ROM、光磁気ディスク(MO)、フレキシブルディスク等の補助記憶装置の読取装置又は書き込み装置等を処理装置2aに接続するインターフェイスである。データの流れから見ると、入出力制御装置は、入力装置3、出力装置4、補助記憶装置5、外部記憶装置の読取・書き込み装置と主記憶装置6のインターフェイスとなる。主記憶装置6には、ROM及びRAMが組込まれている。ROMは、処理装置2aにおいて実行されるプログラムを格納しているプログラム記憶装置等として機能する。これに対してRAMは、処理装置2aにおいて実行されるプログラムを格納するプログラム記憶装置として機能すると共に、処理装置2aにおけるプログラム実行処理中に利用されるデータ等を一時的に格納したり、作業領域として利用される一時的なデータメモリ等として機能する。
次に、図1〜図7を用いて、第1の実施の形態に係るテストパターン作成方法を説明する。
(イ)先ず、図7に示すステップS101において、図2に示すレイアウト解析部21aは、図6に示すレイアウト情報格納部72に格納されたレイアウト情報に基づき、テスト対象回路のレイアウト全体を解析してレイアウト要素情報を抽出する。レイアウト要素情報はレイアウト要素情報格納部73に格納される。レイアウト解析部21aは、テスト対象回路に対してタイミング解析を行い、レイアウト要素情報としてクリティカルパス情報を更に抽出する。
(ロ)次に、ステップS102において、図2に示す故障抽出部21bは、ゲートネット情報格納部71に格納されたゲートネット情報からテスト対象回路の縮退故障又は遷移遅延故障を抽出する。また、故障抽出部21bは、レイアウト情報及びレイアウト要素情報からブリッジ故障を抽出する。更に、故障抽出部21bは、クリティカルパス情報に基づいてパス遅延故障を抽出する。故障抽出部21bが抽出した縮退故障、ブリッジ故障、及び遅延故障等の故障は、図6に示す故障リスト格納部83に格納される。故障リスト格納部83の故障はテストパターン発生以前のものあり、これらに対しテストパターン発生部22の自動テストパターン発生ツールがテストパターンを発生し、故障の検出・未検出結果を含んだ故障・未検出故障リストは、図6に示す検出・未検出故障リスト格納部74に格納され、以後はこのリストが更新されることになる。
(ハ)次に、ステップS103において、図2に示す故障・レイアウトリンク部21cは、レイアウト要素情報格納部73に格納されたレイアウト要素情報と検出・未検出故障リスト格納部74に格納された検出・未検出故障リストとをリンク(対応付け)して故障・レイアウトリンク情報を生成する。故障・レイアウトリンク情報は、図6に示す故障・レイアウトリンク情報格納部91に格納される。なお、ステップS101からS103までは、実際のプログラム上は故障の抽出とレイアウト要素の抽出は同様の処理となるため、同一のプログラム上で一括して実現することもできる。この場合、レイアウト要素リンク情報格納部73をことさら設けないで、故障・レイアウト要素リンク情報格納部91に直接故障・レイアウト要素リンク情報を格納するようにしても良い。
(ニ)次に、ステップS104において、図5に示す相対重み算出部23aは、プロセス不良情報格納部76に格納されたプロセス不良情報に基づき、ヴィア数、配線長、セル面積、隣接配線長、及びクリティカルパス上のヴィア数及び配線長のそれぞれの相対重みを算出する。即ち、テスト対象回路のヴィアオープン、配線切れ、基本セル内トランジスタ不良、配線間ショート、及び遅延故障の発生頻度と比例させて相対重みの値を設定する。相対重みは、図6に示す相対重み格納部77に格納される。なお、既に述べたように、これら相対重み及び要求重み付き故障検出率の算出については、自動的に故障モデルを選択し、算出を行なうことは必ずしも容易ではないため、予め使用の可能性のあるレイアウト要素情報をリンクされた故障モデルに対応した重み付きテストパターン生成装置を処理装置2a内に組込んでおき、対象LSIに応じて故障モデルを選択し、それぞれの相対重み及び要求重み付き故障検出率は入力装置3から直接入力する方式としても良い。
(ホ)次に、ステップS105において、相対重み算出部23aは、更に、プロセス不良情報格納部76に格納されたプロセス不良情報に基づき、各故障モデルにリンクされるレイアウト要素情報に対応する要求重み付き故障検出率を算出し、図6に示す要求重み付き故障検出率格納部75に格納する。図1に示す要求検出率判定部25は、図6に示す要求重み付き故障検出率リスト格納部75から故障モデルにリンクしたレイアウト要素情報に対応する要求故障検出率を選択する。レイアウト要素情報が、pin縮退故障のヴィア数、配線長、セル面積、ブリッジ故障の隣接配線長、及びパス遅延故障のクリティカルパス上のヴィア数及び配線長である場合、要求重み付き検出率は、例えば99%、99%、98%、98%、及び98%にそれぞれ設定される。
(ヘ)次に、ステップS106において、図5に示す達成度重み算出部23bは、各故障モデルにリンクしたヴィア数、配線長、セル面積、隣接配線長、及びクリティカルパス上のヴィア数及び配線長のそれぞれ(i)について故障・レイアウトリンク情報格納部91の情報に基づいて達成度重みを算出する。ここで、検出・未検出故障リスト格納部74に格納された未検出故障リストに記載された故障の総重みをa、ステップS102、S103で抽出・リンクされ、冗長でない故障の総重みb、及び重み無し要求検出率をγとすると、達成度重みAiは、例えば:

Ai={a-(1-γ)b}/(bγ) (a≧(1-γ)bの時)
0 (a<(1-γ)bの時) ・・・・・(1)

で定義される。達成度重みは、要求故障検出率への到達度が低いほど高い値になる。なお、総重みbについては、1回計算した後、適当な記憶領域に格納しておくと良い。達成度重み算出部23bが算出した達成度重みは、図6に示す達成度重み格納部81に格納される。更に、ステップS107において、図5に示す検出期待故障抽出部23cは、故障の検出と同時に検出が期待される検出期待故障を抽出する。抽出された検出期待故障には、例えばステップS103で得られた各故障モデルにリンクしたレイアウト要素に応じた重みに所定の検出期待値を掛けた重みが与えられる。
(ト)次に、ステップS108において、ステップS103で作成された故障・レイアウトリンク情報、ステップS104で算出された相対重み、ステップS106で算出された達成度重み、及びステップS107で得られた検出期待故障の情報を用いて、各未検出故障に対して総合的な重みが重み計算部23dにおいて計算される。ここで、pin縮退故障のヴィア数に対する相対重みW1、ヴィア数に対する達成度重みA1、及びヴィア数に対する検出期待故障の重みB1、配線長に対する相対重みW2、配線長に対する達成度重みA2、及び配線長に対する検出期待故障の重みB2、セル面積に対する相対重みW3、セル面積に対する達成度重みA3、及びセル面積に対する検出期待故障の重みB3について、pin縮退故障の未検出故障に対する重みW(pin)は:

W(pin)=W1・A1・B1+W2・A2・B2+W3・A3・B3 ・・・・・(2)

により算出される。また、隣接配線長に対する相対重みW4、隣接配線長に対する達成度重みA4、及び隣接配線長に対する検出期待故障の重みB4について、ブリッジ故障の未検出故障に対する重みW(bridge)は:

W(bridge)=W4・A4・B4 ・・・・・(3)

により算出される。更に、クリティカルパス上ヴィア数・配線長に対する相対重みW5、クリティカルパス上ヴィア数・配線長に対する達成度重みA5、及びクリティカルパス上ヴィア数・配線長に対する検出期待故障の重みB5について、遅延故障の未検出故障に対する重みW(delay)は:

W(delay)=W5・A5・B5 ・・・・・(4)

により算出される。以上において注意すべき点は、ヴィア数に対する検出期待故障の重みB1、配線長に対する検出期待故障の重みB2、セル面積に対する検出期待故障の重みB3、隣接配線長に対する検出期待故障の重みB4、及びクリティカルパス上ヴィア数・配線長に対する検出期待故障の重みB5は、個別の故障について算出される重みであり、各故障に対応する冗長を除く故障の総重みで除算した「規格化された」重みであり、また、ある故障モデル(例えば縮退故障)の故障であっても他の故障モデルの重みを有する可能性があるということである。したがって、ある故障についての総合的な重みは、一般に:

W(pin)+W(bridge)+W(delay)+・・・ ・・・・・(5)

と書かれることになる。なお、重みB1〜B5の具体的な算出については、例えば特開2004−125670号公報に開示されている方法がある。
(チ)次に、ステップS109において、図5に示す優先度付け部23eは、ステップS108で得られた各故障モデルの各未検出故障に対する総合的重みW(pin)+W(bridge)+W(delay)+・・・の大きさに応じて各未検出故障に対するテストパターン発生の優先度を設定する。なお、上記優先度付けにおいては、テストパターンコストを考慮することも可能である。テストパターンコストは、基本的にはテストパターンサイズ及びテスター上で実行可能なクロック周波数であり、例えばフルスキャン設計を前提とした場合、縮退故障、ブリッジ故障は1個のテストパターンで検出可能であるのに対し、遅延故障は検出に2個のテストパターンが必要であり、検出により多くのテストパターンが必要となる。このテストパターンコストに関するデータは、幾つかの製品に対するテストパターン発生結果により得ることができる。テストパターンコストの取り込みは、例えばレイアウト要素にリンクされた各故障モデルの相対重みW1、W2、・・に対し、それぞれTPC1、TPC2、・・のように設定し、新しい相対重みをW1’=W1・TPC1、W2’=W2・TPC2、・・と定義すれば行なうことができる。より精度を高めるには、当初は幾つかの製品からの経験値を用い、対象製品でのテストパターン発生がある程度進んだ段階からは、対象製品での発生実績データを随時利用する構成とすれば良い。
(リ)次に、ステップS110において、図1に示すテストパターン発生部22は、ステップS109で設定された優先度に応じて所定の数又は比率の未検出故障を対象にテストパターンを発生する。例えば総合的重みの大きい順に、縮退故障、ブリッジ故障、遅延故障と優先度付けがなされた場合、縮退故障用の自動テストパターン発生ツール、ブリッジ故障用の自動テストパターン発生ツール、及び遅延故障用の自動テストパターン発生ツールをそれぞれ利用してテストパターンを発生させる。テストパターン発生部22が発生するテストパターンにより派生的に検出される故障を調べるため、各故障モデルに対応した故障シミュレーションが実行されて追加された各テストパターンで追加検出される故障が確定される。テストパターン発生及び故障シミュレーションの結果は、検出・未検出故障リスト格納部74に格納される。なお、テストパターン発生対象の故障としては、上記のように1個ずつの指定でなく、所定の数又は比率の総合重み上位の未検出故障を選択し、これらを個別の故障モデル毎に分けて対応する自動テストパターン発生ツールの対象故障とするようにした方が全体的な発生効率が上がる可能性がある。
(ヌ)次に、ステップS111において、図1に示すテストパターン選択部24は、ステップS110で追加発生されたテストパターンの中から、有効性の高いテストパターンを選択する。選択された結果のテストパターンはテストパターン格納部81に格納され、それらテストパターンによる故障の検出・未検出の結果は、検出・未検出故障リストを更新した上で、検出・未検出故障リスト格納部74に格納される。有効性の判定は、そのテストパターンの追加により、対象とする故障に関する相対重みも考慮した重み(冗長故障を除いた同種の全故障による総重みに対する比率)が予め設定した値より大きくなるか否かによって行なう。一般に、1個のテストパターンを選択すると、そのテストパターンでの検出故障により、残りのテストパターンで追加検出される故障及び重みは影響を受けるため、この影響を考慮した選択方法を用いることが望ましい。こうした選択方法に関しては、例えば特開2004−125670号公報及び特開2001−273160号公報に開示されている。
(ル)次に、要求検出率判定部25は、ステップS110、S111で得られたテストパターンの重み付き故障検出率が要求故障検出率を満すか判定する。重み付き故障検出率が要求故障検出率を満さないと判断された場合、ステップS106に処理が戻る。これに対してレイアウトにリンクされた各故障モデルの重み付き故障検出率がすべてそれぞれの要求故障検出率を満すと判断された場合処理が終了する。なお、ステップS106からステップS111までの処理を所定回数繰り返しても有効なテストパターンが全く追加されず、時間ばかり浪費してしまう状態になっている場合は、取敢えず「異常終了」として強制的に終了されるように構成しておくこともできる。
このように、第1の実施の形態によれば、テスト対象回路において不良が発生しやすい故障から優先的にテストパターンを発生させることができる。よって、最小パターン数のテストパターンで最も効果的なテストパターンが得られるため、テスト時間及びテスト費用を削減できる。この結果、DSMプロセスに対しても十分高いテスト品質を確保可能なテストパターン作成装置、テストパターン作成方法及びテストパターン作成プログラムを提供できる。未検出故障を追加検出するための明確な優先度付けを行なうことができるので、テストパターン追加のリソースを有効に削減させることができる。
(第2の実施の形態)
本発明の第2の実施の形態に係るテストパターン作成装置1bは、図8(a)に示すように、図1に示したテストパターン作成装置1aと同様の構成である。但し、テスト対象解析部210は、図8(b)に示すように、データ記憶装置7bから仮テストパターンを取得する仮テストパターン取得部21dを備える。更に、データ記憶装置7bは、図9に示すように、基本的に重みと無関係に作成された仮テストパターンを格納する仮テストパターン格納部94と仮テストパターン検出・未検出故障リスト格納部95を更に備える。その他の構成については、図1に示したテストパターン作成装置1aの構成と同様である。
仮テストパターンを得る手法としては、例えばファンクションテスト、スキャンテスト、及びBIST等が利用できる。或いは、テストパターン発生部22が適当なレベルまでランダムにテストパターンを発生することにより仮テストパターンを作成しても良い。
次に、図8〜図10を用いて、第2の実施の形態に係るテストパターン作成方法を説明する。但し、第1の実施の形態に係るテストパターン作成方法と重複する説明は省略する。
(イ)先ず、図10に示すステップS201において、図8(b)に示す仮テストパターン取得部21dは、図9に示す仮テストパターン格納部94から仮テストパターンを取得する。更にステップS101において、ゲートネット情報及びレイアウト情報に基づいてテスト対象回路のレイアウト全体が解析され、レイアウト要素情報が抽出される。ステップS102において、縮退故障、ブリッジ故障、及び遅延故障等の故障が抽出され、故障リスト格納部83に格納される。故障リスト格納部83に格納された故障は、ステップS1025において仮テストパターンによる検出率等評価を行ない、結果としての検出・未検出故障を仮テストパターン検出・未検出故障リスト格納部95に格納する。仮テストパターンがスキャンテストパターンの場合、テストパターン発生部22に含まれている故障シミュレータを利用して故障シミュレーションを実行し、結果としての検出・未検出故障リストを仮テストパターン検出・未検出故障リスト格納部95に格納する。仮テストパターンがファンクションテスト、BISTの場合は、一般にテストパターン発生部22に含まれる自動テストパターン発生ツールに付随する故障シミュレータと異なる故障シミュレータを用いる必要があり、故障リスト格納部83に格納された故障とゲートネットをこれら故障シミュレータに入力して故障シミュレーションを実行させ、結果としての検出・未検出故障リストを仮テストパターン検出・未検出故障リスト格納部95に格納することになる。このため、ファンクションテスト、BISTの場合は、実際には仮テストパターンと仮テストパターン検出・未検出故障リストをそれぞれ仮テストパターン格納部94と仮テストパターン検出・未検出故障リスト格納部95に直接外部から格納する形態となる。
ステップS103において、レイアウト要素情報と検出・未検出故障リストとがリンクされ、故障・レイアウトリンク情報が作成される。
(ロ)次に、ステップS104において、プロセス不良情報に基づき、相対重みが算出される。ステップS105において、レイアウト要素情報に対応する要求故障検出率が設定される。ステップS106において、達成度重みが式(1)により算出される。ステップS107で検出期待故障が抽出される。ステップS108において、ステップS103で得られた故障・レイアウトリンク情報、ステップS104で得られた相対重み、ステップS106で得られた達成度重み、及びステップS107で得られた検出期待故障の情報を用いて、各未検出故障に対して式(2)、式(3)、及び式(4)により総合的な重みが計算される。ステップS109において、ステップS108で算出された重みにより、テストパターン発生の優先度が設定される。
(ハ)次に、ステップS110において、ステップS109で設定された優先度に応じて故障モデル毎にテストパターンが発生され、故障シミュレーションにより検出される故障が確定される。発生されたテストパターンは、更にステップS111において有効なもの(対象故障の相対重みも考慮した重みが所定の値以上になったもの)だけが選択され、図9に示すテストパターン格納部81に格納される。有効なテストパターンの選択においてはテストパターンコストを考慮することもできる。ステップS112において、ステップS111で選択されたテストパターンの重み付き故障検出率が要求検出率を満すか判定する。故障検出率が要求故障検出率を満さないと判断された場合、ステップS106に処理が戻る。これに対して故障検出率が要求故障検出率を満すと判断された場合処理が終了する。所定回数以上の処理が繰り返されても有効なテストパターンが見つからない場合は強制的に終了する。
このように、第2の実施の形態によれば、仮テストパターンにより検出されなかった故障に対してテストパターンを発生させることにより、効率的にテストパターンを生成できる。テストパターンを発生させる際、最初から重み付きでテストパターンを発生しても、必ずしも発生に成功するとは限らず、重みの期待値も正確でないため、効率的にテストパターンが発生できない可能性があるためである。特に、仮テストパターンをファンクションテストにより生成する場合、スキャン化する必要のあるフリップフロップを低減できるので、テスト対象回路のテスト用付加回路の回路規模を削減できる。
(第3の実施の形態)
本発明の第3の実施の形態に係るテストパターン作成装置1cは、図11に示すように、最終レイアウトでの処理がなされたか否かを判定する処理状況判定部26を備える点が図1に示したテストパターン作成装置1aと異なる。更に、データ記憶装置7cは、図12に示すように、仮レイアウト情報格納部96を備える。仮レイアウト情報は、例えばフロアプランナ等の概略配線ツールを利用することにより得られる。その他の構成については、図1に示したテストパターン作成装置1aの構成と同様である。
次に、図11〜図13を用いて、第3の実施の形態に係るテストパターン作成方法を説明する。但し、第3の実施の形態に係るテストパターン作成方法と重複する説明は省略する。
(イ)先ず、ステップS101において、ゲートネット情報及び図12に示す仮レイアウト情報格納部96に格納された仮レイアウト情報に基づいてテスト対象回路のレイアウト全体が解析され、レイアウト要素情報が抽出される。ステップS102において、縮退故障、ブリッジ故障、及び遅延故障等の故障が抽出される。次に、ステップS301において、仮レイアウト情報での処理か否かが判定される。仮レイアウト情報の場合は、ステップS103に進み、そうでない場合(最終レイアウトの場合)は、ステップS1025に進む((ハ)にて説明)。ステップS103において、レイアウト要素情報と検出・未検出故障リストとがリンクされ、故障・レイアウトリンク情報が作成される。
(ロ)次に、ステップS104において、プロセス不良情報に基づき、相対重みが算出される。ステップS105において、レイアウト要素情報に対応する要求故障検出率が設定される。ステップS106において、達成度重みが式(1)により算出される。ステップS107で検出期待故障が抽出される。ステップS108において、ステップS103で得られた故障・レイアウトリンク情報、ステップS104で得られた相対重み、ステップS106で得られた達成度重み、及びステップS107で得られた検出期待故障の情報を用いて、各未検出故障に対して式(2)、式(3)、及び式(4)により総合的な重みが計算される。ステップS109において、ステップS108で算出された重みにより、テストパターン発生の優先度が設定される。
(ハ)次に、ステップS110において、ステップS109で設定された優先度に応じて故障モデル毎にテストパターンが発生され、故障シミュレーションにより検出される故障が確定される。発生されたテストパターンは、ステップS111において、有効なもの(対象故障の相対重みも考慮した重みが所定の値以上になったもの)だけが選択され、図12に示す仮テストパターン格納部94に格納される。有効なテストパターンの選択においては、テストパターンコストを考慮しても良い。ステップS112において、ステップS110で発生され、ステップS111で選択されたテストパターンの重み付き故障検出率が要求検出率を満すか判定する。テストパターンの重み付き故障検出率が要求故障検出率を満すと判断された場合、ステップS302に進む。重み付き故障検出率が要求故障検出率を満さないと判断された場合、ステップS106に処理が戻る。ステップS302においては、最終レイアウトが得られたか否か判断される。最終レイアウトが得られたと判断された場合、ステップS303に進む。ステップS303においては、図11に示す処理状況判定部26は、最終レイアウトでのレイアウト解析(S101)〜テストパターン選別(S111)の処理がなされたか否かを判定する。既に処理がなされていた場合、すべての処理が終了する。これに対し、まだ処理がなされていない場合、ステップS101に処理が戻る。ステップS101では、図12に示すゲートネット情報格納部70に格納されたゲートネット情報と、レイアウト情報格納部71に格納された最終レイアウト情報に基づいて、テスト対象回路のレイアウト全体が解析され、レイアウト要素が抽出される。場合によっては、ゲートネット情報が仮レイアウト情報の時点から更新されている可能性があるが、この時は、最終レイアウトに正しく対応したものを利用する必要がある。ステップS102において、種々の故障が抽出される。ステップS301における判定では、最終レイアウトであるため、ステップS1025に進む。ステップS1025においては、仮テストパターンでの各故障モデルによる故障に対する故障検出率、検出・未検出故障リスト等を故障シミュレーションによって得る。検出・未検出故障リストは仮テストパターン検出・未検出故障リスト格納部95に格納される。(仮テストパターンを全て利用する場合は直接テストパターン検出・未検出故障リスト格納部74に格納してもよい。この場合仮テストパターン検出・未検出故障リスト格納部95は不要である。)これら検出・未検出故障リストに基づいて、ステップS103において、故障・レイアウトリンク情報を作成し、図12に示す故障・レイアウトリンク情報格納部91に格納する。これ以降の処理は、仮レイアウトの場合と同様であるが、仮レイアウト情報に基づいて重みの大きな故障の大部分については検出がなされていることになり、最終レイアウト情報での追加テストパターン発生は短いCPU時間で終了することになる。
このように、第3の実施の形態によれば、仮レイアウト情報により先行的にテストパターンを発生するので、最終レイアウトによりテストパターンを発生する際には、若干のテストパターンの削減・追加が実行される程度で済むことになる。したがって、最適なテストパターンを半導体集積回路の開発期間と同期して得ることができる。
(その他の実施の形態)
上記のように、本発明は第1〜第3の実施の形態によって記載したが、この開示の一部をなす論述及び図面はこの発明を限定するものであると理解すべきではない。この開示から当業者には様々な代替実施の形態、実施例及び運用技術が明らかとなろう。
上述した第1〜第3の実施の形態の説明においては、テストパターン発生部22は複数の故障モデルに対応可能であるとして説明した。しかし、複数の故障モデル毎に異なったテストパターン発生部を設けても良い。或いは、特定の故障モデルに対するテストパターン発生部の機能拡張として別の故障モデルに対応しても良い。故障モデルの種類によって、発生されるテストパターン数、テストパターン発生の所要時間は異なる。また、実行可能なクロック周波数も異なる。これらテストパターン数、実行可能なクロック周波数、テストパターン発生の所要時間を各故障モデルの相対重みに含め、テストパターン発生の優先度付けの基準に含めても良い。この場合、よりテストパターンコストの低いテストパターンをより短いテストパターン発生時間で得ることが期待できる。
また、第1〜第3の実施の形態の説明において、重みが大きいがテストパターンが発生できなかった故障については、テストパターン発生を失敗した故障の情報を一旦保存し、テストパターンの作成が終了した後に設定が必要な観測ポイント又は制御ポイントを挿入するようにする。或いは、各ループの都度観測ポイント又は制御ポイントを挿入し、その結果を次回以降に繰り込むようにしておけば良い。この場合、順次テスト容易性が向上していくことになるため、最終的にはより良い(テスト品質が高く、テストパターン数も小さい)テストパターンが得られる可能性がある。したがって、確実に要求されたテスト品質を達成することができる。
上述した実施の形態において、相対重みには、故障モデルのテストパターンコストを含んでも良い。既に述べた実施の形態に係るテストパターン作成方法において、仮テストパターンを取得し、重み付きの故障検出率を算出するステップをさらに備えても良い。また、仮レイアウト情報を取得し、レイアウト要素を抽出し、ゲートネットの要素で表現可能な故障モデルの故障に対応付けるステップを更に備えても良い。
このように、本発明はここでは記載していない様々な実施の形態等を包含するということを理解すべきである。したがって、本発明はこの開示から妥当な特許請求の範囲の発明特定事項によってのみ限定されるものである。
第1の実施の形態に係るテストパターン作成装置の構成を示すブロック図である。 第1の実施の形態に係るテスト対象解析部の構成を示すブロック図である。 第1の実施の形態に係るレイアウト要素の一例を示す模式図である。 第1の実施の形態に係るテストパターン発生部の構成を示すブロック図である。 第1の実施の形態に係る重み算出部の構成を示すブロック図である。 第1の実施の形態に係るデータ記憶装置のデータ構造を示すブロック図である。 第1の実施の形態に係るテストパターン作成方法を示すフローチャートである。 第2の実施の形態に係るテストパターン作成装置の構成を示すブロック図である。 第2の実施の形態に係るデータ記憶装置のデータ構造を示すブロック図である。 第2の実施の形態に係るテストパターン作成方法を示すフローチャートである。 第3の実施の形態に係るテストパターン作成装置の構成を示すブロック図である。 第3の実施の形態に係るデータ記憶装置のデータ構造を示すブロック図である。 第3の実施の形態に係るテストパターン作成方法を示すフローチャートである。
符号の説明
1a、1b、1c…テストパターン作成装置
2a、2b、2c…処理装置
7a、7b、7c…データ記憶装置
21…テスト対象解析部
22…テストパターン発生部
23…重み算出部
24…テストパターン選択部
25…要求検出率判定部

Claims (5)

  1. テスト対象回路のゲートネット情報及びレイアウト情報に基づいてレイアウト要素を抽出し、ゲートネットの要素で表現可能な故障モデルの故障に対応付けるテスト対象解析部と、
    前記テスト対象解析部により抽出された前記故障モデルの未検出故障と、テストパターンによって追加検出された故障に対し、プロセス不良情報及びレイアウト要素情報に基づいてレイアウト要素にリンクされた前記故障モデル毎に故障の重みを算出する重み算出部と、
    前記レイアウト要素にリンクされた前記故障モデル毎の故障の重みの大きさに応じてテストパターンを追加的に発生するテストパターン発生部と、
    前記重み算出部において算出された重みに基づき、追加的に発生されたテストパターンから重みの大きい有効なテストパターンを選択するテストパターン選択部と、
    前記レイアウト要素情報に対して設定された要求故障検出率に対し、選択された全テストパターンによる故障検出率が前記要求故障検出率を満たしているか否かを判定する要求検出率判定部
    とを備えることを特徴とするテストパターン作成装置。
  2. 前記重み算出部は、
    前記プロセス不良情報及び前記レイアウト要素情報に基づき、前記レイアウト要素情報をリンクした故障モデル間の相対重みを算出する相対重み算出部と、
    前記レイアウト要素にリンクされた故障モデル毎に前記故障がどの程度未検出で残されているかを表す達成度重みを算出する達成度重み算出部と、
    前記故障の検出と同時に検出される検出期待故障を抽出する検出期待故障抽出部と、
    前記未検出故障のレイアウト要素に対応した重みを、前記検出期待故障の重みを含めて計算する重み計算部と、
    前記相対重み、前記達成度重み、及び前記レイアウト要素に対応した重みに基づいて前記未検出故障の重みを計算し、優先度付けする優先度付け部
    とを備えることを特徴とする請求項1に記載のテストパターン作成装置。
  3. テスト対象回路のゲートネット情報及びレイアウト情報に基づいてレイアウト要素を抽出し、ゲートネットの要素で表現可能な故障モデルの故障に対応付けるステップと、
    抽出された前記故障モデルの未検出故障と、テストパターンによって追加検出された故障に対し、プロセス不良情報及びレイアウト要素情報に基づいてレイアウト要素にリンクされた前記故障モデル毎に故障の重みを算出するステップと、
    前記レイアウト要素にリンクされた前記故障モデル毎の故障の重みの大きさに応じてテストパターンを追加的に発生するステップと、
    前記重みを算出するステップにおいて算出された重みに基づき、追加的に発生されたテストパターンから重みの大きい有効なテストパターンを選択するステップと、
    前記レイアウト要素情報に対して設定された要求故障検出率に対し、選択された全テストパターンによる故障検出率が前記要求故障検出率を満たしているか否かを判定するステップ
    とを含むことを特徴とするテストパターン作成方法。
  4. 前記重みを算出するステップは、
    前記プロセス不良情報及び前記レイアウト要素情報に基づき、前記レイアウト要素情報をリンクした故障モデル間の相対重みを算出するステップと、
    前記レイアウト要素にリンクされた故障モデル毎に前記故障がどの程度未検出で残されているかを表す達成度重みを算出するステップと、
    前記故障の検出と同時に検出される検出期待故障を抽出するステップと、
    前記未検出故障のレイアウト要素に対応した重みを、前記検出期待故障の重みを含めて計算するステップと、
    前記相対重み、前記達成度重み、及び前記レイアウト要素に対応した重みに基づいて前記未検出故障の重みを計算し、優先度付けするステップ
    とを含むことを特徴とする請求項3に記載のテストパターン作成方法。
  5. コンピュータに、テスト対象回路のゲートネット情報及びレイアウト情報に基づいてレイアウト要素を抽出し、ゲートネットの要素で表現可能な故障モデルの故障に対応付ける手順と、
    抽出された前記故障モデルの未検出故障と、テストパターンによって追加検出された故障に対し、プロセス不良情報及びレイアウト要素情報に基づいてレイアウト要素にリンクされた前記故障モデル毎に故障の重みを算出する手順と、
    前記レイアウト要素にリンクされた前記故障モデル毎の故障の重みの大きさに応じてテストパターンを追加的に発生する手順と、
    前記重みを算出する手順において算出された重みに基づき、追加的に発生されたテストパターンから重みの大きい有効なテストパターンを選択する手順と、
    前記レイアウト要素情報に対して設定された要求故障検出率に対し、選択された全テストパターンによる故障検出率が前記要求故障検出率を満たしているか否かを判定する手順
    とを実行させるためのテストパターン作成プログラム。
JP2004184177A 2004-06-22 2004-06-22 テストパターン作成装置、テストパターン作成方法及びテストパターン作成プログラム Expired - Fee Related JP4602004B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004184177A JP4602004B2 (ja) 2004-06-22 2004-06-22 テストパターン作成装置、テストパターン作成方法及びテストパターン作成プログラム
US11/158,261 US7406645B2 (en) 2004-06-22 2005-06-20 Test pattern generating apparatus, method for automatically generating test patterns and computer program product for executing an application for a test pattern generating apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004184177A JP4602004B2 (ja) 2004-06-22 2004-06-22 テストパターン作成装置、テストパターン作成方法及びテストパターン作成プログラム

Publications (2)

Publication Number Publication Date
JP2006010351A true JP2006010351A (ja) 2006-01-12
JP4602004B2 JP4602004B2 (ja) 2010-12-22

Family

ID=35515456

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004184177A Expired - Fee Related JP4602004B2 (ja) 2004-06-22 2004-06-22 テストパターン作成装置、テストパターン作成方法及びテストパターン作成プログラム

Country Status (2)

Country Link
US (1) US7406645B2 (ja)
JP (1) JP4602004B2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7392146B2 (en) 2006-01-12 2008-06-24 Kabushiki Kaisha Toshiba Fault list and test pattern generating apparatus and method, fault list generating and fault coverage calculating apparatus and method
US7913143B2 (en) 2008-02-15 2011-03-22 Kabushiki Kaisha Toshiba Test quality evaluating and improving system for semiconductor integrated circuit and test quality evaluation and improvement method for semiconductor integrated circuit
JP2013224829A (ja) * 2012-04-19 2013-10-31 Fujitsu Semiconductor Ltd 試験支援方法、試験支援プログラム、および試験支援装置
KR20210079347A (ko) * 2019-01-22 2021-06-29 주식회사 아도반테스토 버퍼 메모리를 사용하여 하나 이상의 테스트 대상 디바이스를 테스트하기 위한 자동 테스트 장비, 하나 이상의 테스트 대상 디바이스의 자동 테스트를 위한 방법 및 컴퓨터 프로그램

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7971119B2 (en) * 2005-09-29 2011-06-28 aiwan Semiconductor Manufacturing Company, Ltd. System and method for defect-based scan analysis
US7467363B2 (en) * 2005-10-07 2008-12-16 Lsi Corporation Method for SRAM bitmap verification
JP4723987B2 (ja) * 2005-11-29 2011-07-13 株式会社東芝 故障検出率算出装置、故障検出率算出方法及び故障検出方法
US7483824B1 (en) * 2006-03-03 2009-01-27 Azul Systems, Inc. Self-checking test generator for partially-modeled processors by propagating fuzzy states
US8051352B2 (en) 2006-04-27 2011-11-01 Mentor Graphics Corporation Timing-aware test generation and fault simulation
US8499208B2 (en) * 2006-10-27 2013-07-30 Qualcomm Incorporated Method and apparatus for scheduling BIST routines
JP4805792B2 (ja) * 2006-11-21 2011-11-02 株式会社東芝 遅延故障テスト品質算出装置、遅延故障テスト品質算出方法、及び遅延故障テストパターン発生装置
JP4377926B2 (ja) * 2007-04-23 2009-12-02 株式会社東芝 テストパターン作成及び故障検出率算出装置並びにテストパターン作成及び故障検出率算出方法
US7810047B2 (en) * 2007-09-04 2010-10-05 Apple Inc. List item layouts system and method
US7971176B2 (en) * 2008-03-18 2011-06-28 International Business Machines Corporation Method for testing integrated circuits
JP5075853B2 (ja) * 2009-02-06 2012-11-21 株式会社東芝 ブリッジ故障除去装置およびブリッジ故障除去方法
WO2012124118A1 (ja) * 2011-03-17 2012-09-20 富士通株式会社 メモリ試験支援方法及びメモリ試験支援装置
US20120265515A1 (en) * 2011-04-12 2012-10-18 Reuven Weintraub Method and system and computer program product for accelerating simulations
US10338137B1 (en) * 2016-07-20 2019-07-02 Cadence Design Systems, Inc. Highly accurate defect identification and prioritization of fault locations
US10701571B2 (en) 2016-08-12 2020-06-30 W2Bi, Inc. Automated validation and calibration portable test systems and methods
US10681570B2 (en) 2016-08-12 2020-06-09 W2Bi, Inc. Automated configurable portable test systems and methods
US10158552B2 (en) * 2016-08-12 2018-12-18 W2Bi, Inc. Device profile-driven automation for cell-based test systems
US11143697B2 (en) * 2017-04-28 2021-10-12 Advantest Corporation Automated handling of different form factor devices under test in test cell
US11113443B1 (en) * 2020-06-12 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with thicker metal lines on lower metallization layer

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0553872A (ja) * 1991-08-28 1993-03-05 Nec Corp テスト網羅度測定装置
JPH1125147A (ja) * 1997-07-07 1999-01-29 Matsushita Electric Ind Co Ltd 集積回路の故障検証方法
JPH11319922A (ja) * 1998-05-06 1999-11-24 Furukawa Electric Co Ltd:The 圧延機のパススケジュール決定方法
JP2000276500A (ja) * 1999-03-23 2000-10-06 Toshiba Corp 重み付き故障検出率評装置及びその評価方法
JP2001127163A (ja) * 1999-10-29 2001-05-11 Matsushita Electric Ind Co Ltd 半導体集積回路の故障検査方法及びレイアウト方法
JP2001273160A (ja) * 2000-03-27 2001-10-05 Toshiba Corp テストパターン選別装置、テストパターン選別方法およびテストパターン選別プログラムを格納したコンピュータ読取り可能な記録媒体
JP2003107138A (ja) * 2001-10-01 2003-04-09 Toshiba Corp テストパターン選別装置及びテストパターン選別方法
JP2003345855A (ja) * 2002-05-29 2003-12-05 Internatl Business Mach Corp <Ibm> カバレージ測定方法及びその装置
JP2004125670A (ja) * 2002-10-03 2004-04-22 Toshiba Corp テストパターン選択装置、テストパターン選択方法、及びテストパターン選択プログラム
JP2004220447A (ja) * 2003-01-16 2004-08-05 Toshiba Corp 故障検出率算出装置及び故障検出率算出方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5257268A (en) * 1988-04-15 1993-10-26 At&T Bell Laboratories Cost-function directed search method for generating tests for sequential logic circuits
US5414716A (en) * 1993-09-22 1995-05-09 Mitsubishi Electronic Research Laboratories, Inc. Weighting system for testing of circuits utilizing determination of undetected faults
US5485471A (en) * 1993-10-15 1996-01-16 Mitsubishi Electric Research Laboratories, Inc. System for testing of digital integrated circuits
JPH1152030A (ja) 1997-08-08 1999-02-26 Fujitsu Ltd 論理回路用テストパターン作成方法及び装置、並びに、論理回路用試験方法及び装置

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0553872A (ja) * 1991-08-28 1993-03-05 Nec Corp テスト網羅度測定装置
JPH1125147A (ja) * 1997-07-07 1999-01-29 Matsushita Electric Ind Co Ltd 集積回路の故障検証方法
JPH11319922A (ja) * 1998-05-06 1999-11-24 Furukawa Electric Co Ltd:The 圧延機のパススケジュール決定方法
JP2000276500A (ja) * 1999-03-23 2000-10-06 Toshiba Corp 重み付き故障検出率評装置及びその評価方法
JP2001127163A (ja) * 1999-10-29 2001-05-11 Matsushita Electric Ind Co Ltd 半導体集積回路の故障検査方法及びレイアウト方法
JP2001273160A (ja) * 2000-03-27 2001-10-05 Toshiba Corp テストパターン選別装置、テストパターン選別方法およびテストパターン選別プログラムを格納したコンピュータ読取り可能な記録媒体
JP2003107138A (ja) * 2001-10-01 2003-04-09 Toshiba Corp テストパターン選別装置及びテストパターン選別方法
JP2003345855A (ja) * 2002-05-29 2003-12-05 Internatl Business Mach Corp <Ibm> カバレージ測定方法及びその装置
JP2004125670A (ja) * 2002-10-03 2004-04-22 Toshiba Corp テストパターン選択装置、テストパターン選択方法、及びテストパターン選択プログラム
JP2004220447A (ja) * 2003-01-16 2004-08-05 Toshiba Corp 故障検出率算出装置及び故障検出率算出方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7392146B2 (en) 2006-01-12 2008-06-24 Kabushiki Kaisha Toshiba Fault list and test pattern generating apparatus and method, fault list generating and fault coverage calculating apparatus and method
US7913143B2 (en) 2008-02-15 2011-03-22 Kabushiki Kaisha Toshiba Test quality evaluating and improving system for semiconductor integrated circuit and test quality evaluation and improvement method for semiconductor integrated circuit
JP2013224829A (ja) * 2012-04-19 2013-10-31 Fujitsu Semiconductor Ltd 試験支援方法、試験支援プログラム、および試験支援装置
KR20210079347A (ko) * 2019-01-22 2021-06-29 주식회사 아도반테스토 버퍼 메모리를 사용하여 하나 이상의 테스트 대상 디바이스를 테스트하기 위한 자동 테스트 장비, 하나 이상의 테스트 대상 디바이스의 자동 테스트를 위한 방법 및 컴퓨터 프로그램
KR102591340B1 (ko) 2019-01-22 2023-10-20 주식회사 아도반테스토 버퍼 메모리를 사용하여 하나 이상의 테스트 대상 디바이스를 테스트하기 위한 자동 테스트 장비, 하나 이상의 테스트 대상 디바이스의 자동 테스트를 위한 방법 및 컴퓨터 프로그램

Also Published As

Publication number Publication date
US7406645B2 (en) 2008-07-29
JP4602004B2 (ja) 2010-12-22
US20060005094A1 (en) 2006-01-05

Similar Documents

Publication Publication Date Title
JP4602004B2 (ja) テストパターン作成装置、テストパターン作成方法及びテストパターン作成プログラム
US7308660B2 (en) Calculation system of fault coverage and calculation method of the same
US7320115B2 (en) Method for identifying a physical failure location on an integrated circuit
JP3833982B2 (ja) テストパターン選択装置、テストパターン選択方法、及びテストパターン選択プログラム
US8490045B2 (en) Method and device for selectively adding timing margin in an integrated circuit
JP4881332B2 (ja) 半導体集積回路のテスト品質評価装置、および半導体集積回路のテスト品質評価方法
US7853848B2 (en) System and method for signature-based systematic condition detection and analysis
CN103455654A (zh) 基于机器学习的数据路径提取
KR102389065B1 (ko) 시스템적 물리적 고장 분석(pfa) 결함 위치 결정을 위한 시스템 및 방법
US20070113127A1 (en) Circuit design system and circuit design program
KR100740178B1 (ko) 반도체 집적회로의 고장검사방법 및 레이아웃방법
US8347260B2 (en) Method of designing an integrated circuit based on a combination of manufacturability, test coverage and, optionally, diagnostic coverage
JP2003141206A (ja) Lsiテスト・データのタイミング検証方法およびlsiテスト・データのタイミング検証プログラム
CN108073674B (zh) 集成电路芯片中的系统缺陷的故障标识数据库的早期开发
JP5625297B2 (ja) ディレイテスト装置、ディレイテスト方法及びディレイテストプログラム
JP2008293088A (ja) 半導体集積回路及びその設計方法
JP2008268062A (ja) テストパターン作成及び故障検出率算出装置並びにテストパターン作成及び故障検出率算出方法
CN115408967A (zh) 通过结构分析标识安全相关端口与其安全机制的关联
JP2005308471A (ja) パスディレイテスト方法
US7036063B2 (en) Generalized fault model for defects and circuit marginalities
CN114930339A (zh) 利用cad数据与发射显微镜图像相结合的发射位点之间的相关性
JP2002269169A (ja) 回路自動検証装置
JP3689537B2 (ja) Iddqテスト用サイクルの選択抽出装置
WO2008081227A1 (en) Method and apparatus for designing an integrated circuit
JP2008527322A (ja) 回路配置並びにその検査および/または診断方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061102

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100329

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100907

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100929

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131008

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131008

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees