JP2005520225A5 - - Google Patents

Download PDF

Info

Publication number
JP2005520225A5
JP2005520225A5 JP2003521456A JP2003521456A JP2005520225A5 JP 2005520225 A5 JP2005520225 A5 JP 2005520225A5 JP 2003521456 A JP2003521456 A JP 2003521456A JP 2003521456 A JP2003521456 A JP 2003521456A JP 2005520225 A5 JP2005520225 A5 JP 2005520225A5
Authority
JP
Japan
Prior art keywords
experimental order
basic process
experimental
change
order
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003521456A
Other languages
English (en)
Other versions
JP2005520225A (ja
Filing date
Publication date
Priority claimed from US09/928,474 external-priority patent/US6984198B2/en
Application filed filed Critical
Publication of JP2005520225A publication Critical patent/JP2005520225A/ja
Publication of JP2005520225A5 publication Critical patent/JP2005520225A5/ja
Pending legal-status Critical Current

Links

Claims (33)

  1. 自動化された処理技術に関係する実験を管理するためのコンピュータ実行方法において、
    (A)実験オーダーを受信するステップであって、前記実験オーダーは、自動化された環境において動作することができる基本プロセスからの少なくともある逸脱を含むステップと、
    (B)前記実験オーダーの承認を取得するステップと、
    (C)前記実験オーダーの少なくとも一部を、前記自動化された環境による実行のために適した処理データに変換し、格納するステップと、
    (D)前記実験を、前記処理データに従って、前記自動化された環境によって前記基本プロセスの少なくともある部分と共に実行させるステップと
    を備えることを特徴とする方法。
  2. 前記取得するステップは、前記実験オーダーを定義するデータを格納するステップと、前記実験オーダーを複数のユーザに分配するステップと、前記実験オーダーへの変更を前記ユーザの少なくとも1人から取得するステップと、前記実験オーダーについての前記承認を少なくとも1人のユーザから受信するステップとをさらに含むことを特徴とする請求項1に記載の方法。
  3. 文書を実験オーダーに添付するステップをさらに備えることを特徴とする請求項1に記載の方法。
  4. 実験オーダーに添付された文書、または、前記実験オーダーの状態における変更に応答して、実験オーダーの状態変更を示す情報を発行するステップをさらに備えることを特徴とする請求項1に記載の方法。
  5. 前記変換するステップは、前記処理データを受信するステップをさらに含むことを特徴とする請求項1に記載の方法。
  6. 前記実験は少なくとも1つのテスト製品および少なくとも1つの生産製品を生産し、
    前記処理データは、前記基本プロセスの指示、前記基本プロセスへの変更、およびコントロールセットの分割を含み、
    前記コントロールセットの分割は、前記基本プロセスに従って前記少なくとも1つの生産製品を生産し、前記基本プロセスへの変更は前記少なくとも1つのテスト製品を生産することを特徴とする請求項5に記載の方法。
  7. 前記実験の前記実行の結果を受信し、格納するステップをさらに備えることを特徴とする請求項1に記載の方法。
  8. 前記自動化された環境は半導体技術を形成することを特徴とする請求項1に記載の方法。
  9. 自動化された処理技術に関係する実験を管理するためのコンピュータ実行システムであって、
    (A)自動化された環境において動作することができる基本プロセスからの少なくともある逸脱を含む、実験オーダーと、
    (B)前記実験オーダーの受信に応答して得られた、前記実験オーダーの承認と、
    (C)前記実験オーダーの少なくとも一部から変換された、前記自動化された環境による実行のために適した処理データと
    を備え、
    (D)前記自動化された環境は、前記実験を、前記処理データに従って、前記自動化された環境によって前記基本プロセスの少なくともある部分と共に実行させる
    ことを特徴とするシステム。
  10. 前記承認は、格納された前記実験オーダーを定義するデータ、複数のユーザへの前記実験オーダーの分配、前記ユーザの少なくとも1人から格納された前記実験オーダーへの変更、および、少なくとも1人のユーザから受信された前記実験オーダーについての承認をさらに含むことを特徴とする請求項9に記載のシステム。
  11. 実験オーダーに添付された少なくとも1つの文書をさらに備えることを特徴とする請求項9に記載のシステム。
  12. 実験オーダーに添付された文書、または、前記実験オーダーの状態における変更に応答して発行された、実験オーダーの状態変更を示す情報をさらに備えることを特徴とする請求項9に記載のシステム。
  13. 前記処理データがユーザから受信されることを特徴とする請求項9に記載のシステム。
  14. 前記実験は少なくとも1つのテスト製品および少なくとも1つの生産製品を生産し、
    前記処理データは、前記基本プロセスの指示、前記基本プロセスへの変更、およびコントロールセットの分割を含み、
    前記コントロールセットの分割は、前記基本プロセスに従った前記少なくとも1つの生産製品を生産し、前記基本プロセスへの変更は前記少なくとも1つのテスト製品を生産することを特徴とする請求項13に記載のシステム。
  15. 前記実験の前記実行の結果が受信され、格納されることを特徴とする請求項9に記載のシステム。
  16. 前記自動化された環境は半導体技術を形成することを特徴とする請求項9に記載のシステム。
  17. コンピュータによって実行される命令を備えるコンピュータ可読媒体であって、前記命令は、自動化された処理技術に関係する実験を管理するためのコンピュータ実行方法を含み、前記命令は、
    (A)実験オーダーを受信するステップであって、前記実験オーダーは、自動化された環境において動作することができる基本プロセスからの少なくともある逸脱を含むステップと、
    (B)前記実験オーダーの承認を取得するステップと、
    (C)前記実験オーダーの少なくとも一部を、前記自動化された環境による実行のために適した処理データに変換し、格納するステップと、
    (D)前記実験を、前記処理データに従って、前記自動化された環境によって前記基本プロセスの少なくともある部分と共に実行させるステップとを実行するための命令であることを特徴とする媒体。
  18. 取得するステップは、前記実験オーダーを定義するデータを格納するステップと、前記実験オーダーを複数のユーザに分配するステップと、前記実験オーダーへの変更を前記ユーザの少なくとも1人から取得するステップと、前記実験オーダーについての前記承認を少なくとも1人のユーザから受信するステップとをさらに含むことを特徴とする請求項17に記載の媒体。
  19. 前記コンピュータプログラムは、文書を実験オーダーに添付するステップをさらに備えることを特徴とする請求項17に記載の媒体。
  20. 前記コンピュータプログラムは、実験オーダーに添付された文書、または、前記実験オーダーの状態における変更に応答して、実験オーダーの状態変更を示す情報を発行するステップをさらに備えることを特徴とする請求項17に記載の媒体。
  21. 変換するステップは、前記処理データを受信するステップをさらに含むことを特徴とする請求項17に記載の媒体。
  22. 前記実験は少なくとも1つのテスト製品および少なくとも1つの生産製品を生産し、
    前記処理データは、前記基本プロセスの指示、前記基本プロセスへの変更、およびコントロールセットの分割を含み、
    前記コントロールセットの分割は、前記基本プロセスに従った前記少なくとも1つの生産製品を生産し、前記基本プロセスへの変更は前記少なくとも1つのテスト製品を生産することを特徴とする請求項21に記載の媒体。
  23. 前記コンピュータプログラムは、前記実験の前記実行の結果を受信し、格納するステップをさらに備えることを特徴とする請求項17に記載の媒体。
  24. 前記自動化された環境は半導体技術を形成することを特徴とする請求項17に記載の媒体。
  25. 半導体技術に関係する実験を管理するためのコンピュータ実行方法であって、
    (A)実験オーダーを受信するステップであって、前記実験オーダーは、自動化された環境において動作することができる基本プロセスからの少なくともある逸脱を含むステップと、
    (B)前記実験オーダーの承認を取得するステップと、
    (C)前記実験オーダーの少なくとも一部を、前記自動化された環境による実行のために適した処理データに変換し、格納するステップと、
    (D)前記実験を、前記処理データに従って、前記自動化された環境によって前記基本プロセスの少なくともある部分と共に実行させるステップとを備え、
    (E)取得するステップは、前記実験オーダーを定義するデータを格納するステップと、前記実験オーダーを複数のユーザに分配するステップと、前記実験オーダーへの変更を前記ユーザの少なくとも1人から取得するステップと、前記実験オーダーについての前記承認を少なくとも1人のユーザから受信するステップとをさらに含み、
    (F)前記実験は少なくとも1つのテスト製品および少なくとも1つの生産製品を生産し、前記処理データは、前記基本プロセスの指示、前記基本プロセスへの変更、およびコントロールセットの分割を含み、前記コントロールセットの分割は、前記基本プロセスに従った前記少なくとも1つの生産製品を生産し、前記基本プロセスへの変更は前記少なくとも1つのテスト製品を生産することを特徴とする方法。
  26. 半導体技術に関係する実験を管理するためのコンピュータ実行システムであって、
    (A)自動化された環境において動作することができる基本プロセスからの少なくともある逸脱を含む、実験オーダーと、
    (B)前記実験オーダーの受信に応答して得られた、前記実験オーダーの承認と、
    (C)前記実験オーダーの少なくとも一部から変換された、前記自動化された環境による実行のために適した処理データとを備え、
    (D)前記自動化された環境は、前記実験を、前記処理データに従って、前記自動化された環境によって前記基本プロセスの少なくともある部分と共に実行させ、
    (E)前記承認は、格納された前記実験オーダーを定義するデータ、複数のユーザへの前記実験オーダーの分配、前記ユーザの少なくとも1人から格納された前記実験オーダーへの変更、および、少なくとも1人のユーザから受信された前記実験オーダーについての承認をさらに含み、
    (F)前記実験は少なくとも1つのテスト製品および少なくとも1つの生産製品を生産し、前記処理データは、前記基本プロセスの指示、前記基本プロセスへの変更、およびコントロールセットの分割を含み、前記コントロールセットの分割は、前記基本プロセスに従った前記少なくとも1つの生産製品を生産し、前記基本プロセスへの変更は前記少なくとも1つのテスト製品を生産することを特徴とするシステム。
  27. コンピュータによって実行される命令を備えるコンピュータ可読媒体であって、前記命令は、自動化された処理技術に関係する実験を管理するためのコンピュータ実行方法を含み、前記命令は、
    (A)実験オーダーを受信するステップであって、前記実験オーダーは、自動化された環境において動作することができる基本プロセスからの少なくともある逸脱を含むステップと、
    (B)前記実験オーダーの承認を取得するステップと、
    (C)前記実験オーダーの少なくとも一部を、前記自動化された環境による実行のために適した処理データに変換し、格納するステップと、
    (D)前記実験を、前記処理データに従って、前記自動化された環境によって前記基本プロセスの少なくともある部分と共に実行させるステップとを実行するための命令であり、
    (E)取得するステップは、前記実験オーダーを定義するデータを格納するステップと、前記実験オーダーを複数のユーザに分配するステップと、前記実験オーダーへの変更を前記ユーザの少なくとも1人から取得するステップと、前記実験オーダーについての前記承認を少なくとも1人のユーザから受信するステップとをさらに含み、
    (F)前記実験は少なくとも1つのテスト製品および少なくとも1つの生産製品を生産し、前記処理データは、前記基本プロセスの指示、前記基本プロセスへの変更、およびコントロールセットの分割を含み、前記コントロールセットの分割は、前記基本プロセスに従った前記少なくとも1つの生産製品を生産し、前記基本プロセスへの変更は前記少なくとも1つのテスト製品を生産することを特徴とする媒体。
  28. コンピュータによって実行される命令を備えるコンピュータ可読媒体であって、前記命令は、自動化された処理技術に関係する実験を管理するためのコンピュータ実行方法を含み、前記命令は、
    (A)実験オーダーを受信するステップであって、前記実験オーダーは、自動化された環境において動作することができる基本プロセスからの少なくともある逸脱を含むステップと、
    (B)前記実験オーダーの承認を取得するステップと、
    (C)前記実験オーダーの少なくとも一部を、前記自動化された環境による実行のために適した処理データに変換し、格納するステップと、
    (D)前記実験を、前記処理データに従って、前記自動化された環境によって前記基本プロセスの少なくともある部分と共に実行させるステップとを有することを特徴とするコンピュータ可読媒体。
  29. 請求項1に記載の方法において、前記処理データは少なくとも、前記基本プロセスそれ自身に対する変更、前記基本プロセスに対する付加および前記基本プロセスからの脱落の中の1つに関連することを特徴とする方法。
  30. 請求項9に記載のシステムにおいて、前記処理データは少なくとも、前記基本プロセスそれ自身に対する変更、前記基本プロセスに対する付加および前記基本プロセスからの脱落の中の1つに関連することを特徴とするシステム。
  31. 請求項17に記載の媒体において、前記処理データは少なくとも、前記基本プロセスそれ自身に対する変更、前記基本プロセスに対する付加および前記基本プロセスからの脱落の中の1つに関連することを特徴とする媒体。
  32. 自動化された処理技術に関係する実験を管理するためのコンピュータ実行方法において、
    (A)実験オーダーを受信するステップであって、前記実験オーダーは、自動化された環境において動作することができる基本プロセスからの少なくともある逸脱を含むステップと、
    (B)前記実験オーダーの少なくとも一部を、前記自動化された環境による実行のために適した処理データに変換し、格納するステップと、
    (C)前記実験を、前記処理データに従って、前記自動化された環境によって前記基本プロセスの少なくともある部分と共に実行させるステップとを有することを特徴とする方法。
  33. 請求項32に記載の方法において、前記実験オーダーに添付された文書または前記実験オーダーの状態の変更に応答して、前記実験オーダーの変更状態を示す情報を発行するステップを更に備えたことを特徴とする方法。
JP2003521456A 2001-08-14 2002-08-06 実験管理システム、方法および媒体 Pending JP2005520225A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/928,474 US6984198B2 (en) 2001-08-14 2001-08-14 Experiment management system, method and medium
PCT/US2002/024859 WO2003017013A2 (en) 2001-08-14 2002-08-06 Experiment management system, method and medium

Publications (2)

Publication Number Publication Date
JP2005520225A JP2005520225A (ja) 2005-07-07
JP2005520225A5 true JP2005520225A5 (ja) 2006-01-05

Family

ID=25456281

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003521456A Pending JP2005520225A (ja) 2001-08-14 2002-08-06 実験管理システム、方法および媒体

Country Status (8)

Country Link
US (1) US6984198B2 (ja)
EP (1) EP1417549A2 (ja)
JP (1) JP2005520225A (ja)
KR (1) KR20040025744A (ja)
CN (1) CN100511054C (ja)
AU (1) AU2002356021A1 (ja)
TW (1) TW578081B (ja)
WO (1) WO2003017013A2 (ja)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6863771B2 (en) 2001-07-25 2005-03-08 Micron Technology, Inc. Differential pressure application apparatus for use in polishing layers of semiconductor device structures and methods
US20040205572A1 (en) * 2002-02-19 2004-10-14 Wendell Fields Systems and methods for providing information in a computer network
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6864107B1 (en) * 2003-06-11 2005-03-08 Advanced Micro Devices, Inc. Determination of nonphotolithographic wafer process-splits in integrated circuit technology development
EP1684951B1 (en) * 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US7133735B2 (en) * 2005-01-27 2006-11-07 Taiwan Semiconductor Manufacturing Co., Ltd Experiment management system and method thereof in semiconductor manufacturing environment
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
JP2008083806A (ja) * 2006-09-26 2008-04-10 Hitachi Software Eng Co Ltd 研究開発財産管理システム
US8082045B1 (en) 2007-06-29 2011-12-20 Intermolecular, Inc. Substrate processing recipe manager
JP5291911B2 (ja) * 2007-09-28 2013-09-18 株式会社日立ハイテクノロジーズ 計測システム
US8219349B1 (en) 2007-12-21 2012-07-10 Intermolecular, Inc. Test management system
US20090200674A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Structure and method of forming transitional contacts between wide and thin beol wirings
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287092B2 (en) * 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
JP5470063B2 (ja) * 2010-01-22 2014-04-16 株式会社アマダ 曲げ加工システム及びその方法
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US8897900B2 (en) 2011-03-18 2014-11-25 Rockwell Automation Technologies, Inc. Graphical language for optimization and use
US20120239169A1 (en) * 2011-03-18 2012-09-20 Rockwell Automation Technologies, Inc. Transparent models for large scale optimization and control
US8874242B2 (en) 2011-03-18 2014-10-28 Rockwell Automation Technologies, Inc. Graphical language for optimization and use
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
WO2014036000A1 (en) 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9406617B1 (en) 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
US10177091B2 (en) 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
US9773735B1 (en) 2016-08-16 2017-09-26 International Business Machines Corporation Geometry control in advanced interconnect structures
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
US9768118B1 (en) 2016-09-19 2017-09-19 International Business Machines Corporation Contact having self-aligned air gap spacers
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US9721895B1 (en) 2016-10-06 2017-08-01 International Business Machines Corporation Self-formed liner for interconnect structures
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
JP7107526B2 (ja) 2018-08-27 2022-07-27 株式会社エビデント 実験情報管理システム、実験ノートシステム、実験ノート生成装置、画面生成装置、実験情報管理方法、及び、プログラム
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US10714382B2 (en) 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
CN110233122A (zh) * 2019-06-04 2019-09-13 华经信息技术(上海)有限公司 半导体生产线mes系统及其实验方法
KR20220031713A (ko) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 단일 제어식 스위치를 갖는 바이어스 공급부
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US77031A (en) * 1868-04-21 e ole vbl a n d
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (ja) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
EP0162670B1 (en) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrial processing and manufacturing systems
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4974543A (en) 1986-02-28 1990-12-04 Xerox Corporation Apparatus for amorphous silicon film
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5021997A (en) * 1986-09-29 1991-06-04 At&T Bell Laboratories Test automation system
JPH0776905B2 (ja) * 1987-01-06 1995-08-16 日本電信電話株式会社 文書管理装置
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
WO1992014197A1 (en) 1991-02-08 1992-08-20 Kabushiki Kaisha Toshiba Model forecasting controller
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5916891A (en) * 1992-01-13 1999-06-29 Smithkline Beecham Corporation Pyrimidinyl imidazoles
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
JPH076939A (ja) * 1992-12-02 1995-01-10 Hitachi Ltd 生産管理システム
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5572438A (en) * 1995-01-05 1996-11-05 Teco Energy Management Services Engery management and building automation system
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
JPH08250384A (ja) * 1995-03-14 1996-09-27 Hitachi Ltd 製造装置及び製造条件制御方法
US5831851A (en) 1995-03-21 1998-11-03 Seagate Technology, Inc. Apparatus and method for controlling high throughput sputtering
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
KR0153617B1 (ko) 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
KR100192216B1 (ko) 1996-02-29 1999-06-15 황인길 웨이퍼 맵 변환방법
US5825356A (en) 1996-03-18 1998-10-20 Wall Data Incorporated Help system with semitransparent window for disabling controls
US5943550A (en) * 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US5871805A (en) 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US5859777A (en) 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
JPH1086040A (ja) 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
JPH10112493A (ja) 1996-08-13 1998-04-28 Sony Corp 表面矯正薄板保持装置、面調整手段及び向き調整手段
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5859964A (en) 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5874345A (en) 1996-11-18 1999-02-23 International Business Machines Corporation Method for planarizing TEOS SiO2 filled shallow isolation trenches
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5862054A (en) 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
TW396308B (en) * 1997-04-01 2000-07-01 Tumbleweed Software Corp Document delivery system
US5912678A (en) * 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
US6111634A (en) * 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
SG66487A1 (en) * 1997-07-11 1999-07-20 Tokyo Seimitsu Co Ltd Wafer polishing apparatus
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6113462A (en) * 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Feedback loop for selective conditioning of chemical mechanical polishing pad
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR100278600B1 (ko) * 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
TW400621B (en) * 1998-01-26 2000-08-01 United Microelectronics Corp Metallization structure and the manufacture method thereof
US6271670B1 (en) * 1998-02-09 2001-08-07 Sandia Corporation Method and apparatus for detecting external cracks from within a metal tube
JP3978696B2 (ja) * 1998-02-13 2007-09-19 三菱マテリアル株式会社 汚泥処理システム
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6116461A (en) * 1998-05-29 2000-09-12 Pyxis Corporation Method and apparatus for the dispensing of drugs
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6233493B1 (en) * 1998-09-16 2001-05-15 I2 Technologies, Inc. Computer-implemented product development planning method
US6277014B1 (en) * 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
US6249913B1 (en) * 1998-10-09 2001-06-19 General Dynamics Ots (Aerospace), Inc. Aircraft data management system
JP4365914B2 (ja) * 1998-11-25 2009-11-18 キヤノン株式会社 半導体製造装置およびデバイス製造方法
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6100195A (en) * 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6281127B1 (en) * 1999-04-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
US6259160B1 (en) * 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6303395B1 (en) * 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
KR100649387B1 (ko) * 1999-06-22 2006-11-27 브룩스 오토메이션 인코퍼레이티드 초소형전자 제조에 사용하기 위한 공정수행 간 제어기
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6607926B1 (en) * 1999-08-10 2003-08-19 Advanced Micro Devices, Inc. Method and apparatus for performing run-to-run control in a batch manufacturing environment
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6306008B1 (en) * 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6427093B1 (en) * 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6417014B1 (en) * 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
KR100311077B1 (ko) * 1999-10-23 2001-11-02 윤종용 선행공정의 결과에 따라 최적의 후행공정장비 및/또는 후행공정조건을 가변적으로 적용하는 로트 디스패칭방법 및 이를 위한 시스템
US6096649A (en) * 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US6640151B1 (en) * 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6449524B1 (en) * 2000-01-04 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
US6465263B1 (en) * 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
KR20010077968A (ko) * 2000-01-26 2001-08-20 한기형 인터넷 광고 방법 및 시스템
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
JP4874465B2 (ja) * 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
US6616513B1 (en) * 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6291367B1 (en) * 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
US6609946B1 (en) * 2000-07-14 2003-08-26 Advanced Micro Devices, Inc. Method and system for polishing a semiconductor wafer
US6400162B1 (en) * 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6442496B1 (en) * 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
KR20020022530A (ko) * 2000-09-20 2002-03-27 가나이 쓰도무 반도체제조장치의 원격진단시스템 및 원격진단방법
JP3634734B2 (ja) * 2000-09-22 2005-03-30 株式会社日立製作所 プラズマ処理装置および処理方法
US6432728B1 (en) * 2000-10-16 2002-08-13 Promos Technologies, Inc. Method for integration optimization by chemical mechanical planarization end-pointing technique
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7006955B2 (en) * 2001-10-15 2006-02-28 General Electric Company System and method for statistical design of ultrasound probe and imaging system
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6630741B1 (en) * 2001-12-07 2003-10-07 Advanced Micro Devices, Inc. Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6774998B1 (en) * 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
US6751518B1 (en) * 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Similar Documents

Publication Publication Date Title
JP2005520225A5 (ja)
JP2005502934A5 (ja)
JP2008547128A5 (ja)
ATE414947T1 (de) Transformation von prozessmodellen für ereignis- basierte koordination von zusammengesetzten anwendungen
JP2008532154A5 (ja)
JP2006244088A5 (ja)
JP2007034414A5 (ja)
JP2010503934A5 (ja)
JP2010218139A (ja) 実行履歴トレース方法
WO2003017117A1 (fr) Appareil et procede d'authentification individuelle, et programme informatique
JP2009501372A5 (ja)
JP2003005979A5 (ja)
ATE365347T1 (de) Mechanismus zum dynamischen registrieren von dateien in einer stapelverarbeitungsorientierten umgebung
JP2007241642A (ja) 解析方法、解析装置及びコンピュータプログラム
CN112000312B (zh) 基于Kettle和GeoTools的空间大数据自动化并行处理方法和系统
TW200731224A (en) Information processing device, information recording medium manufacturing device, information recording medium, methods thereof, and computer program
JP2005092707A5 (ja)
JP2009251666A (ja) 変更履歴作成装置、方法及びプログラム
JP2003141439A5 (ja)
Trajkovska et al. Automation and Monitoring on Integration ETL Processes while Distributing Data
JP4870732B2 (ja) 情報処理装置、名寄せ方法及びプログラム
KR102378038B1 (ko) 타겟 네트워크의 속성을 갖는 합성 네트워크를 얻기 위한 그래프 생성 장치 및 방법
CN110543361B (zh) 一种天文数据并行处理装置和方法
JP2006259790A (ja) データベースを有するアプリケーションシステム、データベースのアクセス方法、及びデータベースへアクセスするためのコンピュータプログラム
TWI236626B (en) System and method for integrating programs of targeted system to save computer system resources