JP2005140710A - テストパターン生成方法 - Google Patents

テストパターン生成方法 Download PDF

Info

Publication number
JP2005140710A
JP2005140710A JP2003379223A JP2003379223A JP2005140710A JP 2005140710 A JP2005140710 A JP 2005140710A JP 2003379223 A JP2003379223 A JP 2003379223A JP 2003379223 A JP2003379223 A JP 2003379223A JP 2005140710 A JP2005140710 A JP 2005140710A
Authority
JP
Japan
Prior art keywords
path
gate
test pattern
transition
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003379223A
Other languages
English (en)
Inventor
Shinichi Yoshimura
慎一 吉村
Sadami Takeoka
貞巳 竹岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2003379223A priority Critical patent/JP2005140710A/ja
Publication of JP2005140710A publication Critical patent/JP2005140710A/ja
Pending legal-status Critical Current

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Logic Circuits (AREA)

Abstract

【課題】検査対象経路の始点に近いゲートがノンロバスト条件で活性化された場合でも、検査対象経路の始点から検査対象の配線またはゲートまでを、より長い経路に渡って信号伝送における遅延故障および遷移故障について、確実に検査することができるテストパターン生成方法を提供する。
【解決手段】被検査半導体集積回路の検査対象経路を選択し、検査対象経路に遷移値を設定し(ステップ101)、検査対象経路の始点に初期値を設定し(ステップ102)、検査対象外入力に非制御値を設定し、伝搬テストパターンを求め(ステップ104)、検査対象経路の始点側から順次ゲートを選択し(ステップ106)、選択したゲートが検査対象経路の終点でない場合は検査対象外入力にロバスト条件を満たす値を設定し、含意操作を行い(ステップ110)、初期化テストパターンを求める(ステップ115)。
【選択図】図1

Description

本発明は、半導体集積回路における信号伝送に際して発生する故障を検査するためのテストパターンを生成するテストパターン生成方法に関するものである。
従来、半導体集積回路等の電子回路内で信号伝送に際して発生する遅延故障を検査するために、その遅延故障をいくつかの故障モデルに分類し、その故障モデルの特徴に合せたテストパターンを生成するようにしている。
これらの故障モデルは大きくパス遅延故障モデルと遷移故障モデルの2つに分類される。このうちパス遅延故障モデルは、検査対象となる伝送経路において、その経路上に発生する微小欠陥の積み重ねによる遅延故障や1箇所にある大きな遅延故障を検出することが可能である。また、遷移故障モデルは、検査対象となる配線またはゲート上の1箇所にある大きな遅延故障を検出することが可能である。
パス遅延故障モデルを検出するための検出条件には、図7に示すように、検査対象経路の活性化条件の違いによりロバスト条件(図7(c))とノンロバスト条件(図7(d))に分けられる。ロバスト条件は、検査対象経路上の各ゲートに接続されている検査対象経路以外の入力(以後、検査対象外入力と呼ぶ)に遅延故障が発生した場合にも、検査対象経路上の遅延故障を検査することが可能である。一方、ノンロバスト条件は、検査対象経路上の検査対象外入力に遅延故障が発生した場合には、検査対象経路上の遅延故障を検査することができなくなる可能性がある。
図7(a)にANDゲートに対するロバスト条件を示す。ゲートの出力値を一意に決定する入力値を制御値と呼び、一意に決定しない入力値を非制御値と呼ぶ。制御値または非制御値のどちらの値でも良い場合には×と示す。図7(a)の検査対象経路をANDゲートの入力aから出力yへの立上り遷移とする。このときの検査対象外入力bの初期値は×(0または1)でかつ遷移値は制御値(ANDゲートの制御値1)になる。図7(b)の検査対象経路をORゲートの入力aから出力yへの立下り遷移とする。このときの検査対象外入力bの初期値は×(0または1)でかつ遷移値は制御値(ORゲートの制御値0)になる。
検査対象経路上のすべてのゲートの検査対象外入力に対してロバスト条件を満たすような値が設定できる場合には、検査対象経路をロバストテスト可能パスと呼ぶ。検査対象経路上の少なくとも1つのゲートの検査対象外入力に対してノンロバスト条件になる値を設定する場合には、検査対象経路をノンロバストテスト可能パスと呼ぶ。このノンロバストテスト可能パスを検査するためのテストパターンには、検査対象外入力に遅延故障が発生した場合にも検査対象経路の遅延故障が検出できる可能性を上げるためのテストパターン生成方法(例えば、非特許文献1を参照)がある。
1つ目は、検査対象外入力と検査対象経路のゲートの伝搬遅延値が最大になるように検査対象外入力の値を設定する経路を考慮する方法である。2つ目は、検査対象経路上に多入力ゲートが存在する場合に検査対象外入力がなるべく多くロバスト条件を満たすような値に設定する方法である。
まず、「パス遅延故障のパターン生成方法」について説明する。
半導体集積回路内のパス遅延故障を試験するためには、テストパターンを形成する必要がある。このような集積回路内のパス遅延故障を試験するためのテストパターン系列(一般に、遅延故障を試験するためには2つのテストパターンv1とv2が必要であり、これら2つのテストパターンはテストパターン系列と呼ばれる)は、図7に示す経路活性化表や図8に示す含意表や図12に示す5値論理にしたがって、図9、10、11に示す被検査回路である論理回路部内の各信号線に上記論理値を割当てることによって生成されている。図12に示すように、図7と図8におけるS0はパターンv1とv2の何でも“0”であり、S1はパターンv1とv2の何でも“1”であり、U0はパターンv1で“×”、パターンv2で“0”であり、U1はパターンv1で“×”、パターンv2で“1”であり、××はv1とv2の何れも“×”であることを示す。
図7(a)は、ANDゲートの一方の入力aが“0”から“1”に変化した時に、出力yの状態が変化する、つまり回路をロバスト条件で活性化するのに必要な検査対象外入力bの信号値を示しており、この場合は“U1”にすればよい。また、図7(b)に示すように、ORゲートの一方の入力aが“1”から“0”に変化する場合は、ロバスト条件で出力yの状態を変化させる(回路を活性化する)ために検査対象外入力bは“U0”にすればよい。
また、図7(c)に、ANDゲートまたはNANDゲート、およびORゲートまたはNORゲートの各一方の入力が、“0”から“1”に立上った場合と“1”から“0”に立下った場合における、これらゲートをロバスト条件で活性化するのに必要な検査対象外入力の信号値をそれぞれ示す。図7(d)に、ANDゲートまたはNANDゲート、およびORゲートまたはNORゲートの各一方の入力が、“0”から“1”に立上った場合と“1”から“0”に立下った場合における、これらゲートをノンロバスト条件で活性化するのに必要な検査対象外入力の信号値をそれぞれ示す。
図8(a)は、ANDゲートの二つの入力端子X1,X2の各入力がそれぞれS0,U0,S1,U1,××の何れかとなった場合の各組合せにおける出力の状態を示している。例えば、X1がS0,X2がU0のとき、図12から、パターンv1=<0,×>,パターンv2=<0,0>であるから、出力はパターンv1=<0>,パターンv2=<0>となり、出力<0,0>=S0(パターンv1=<0>,パターンv2=<0>)となることを示している。また図8(b)は、NORゲートの二つの入力端子X1,X2の各入力がそれぞれS0,U0,S1,U1,××の何れかとなった場合の各組合せにおける出力の状態を示し、図8(c)は、インバータの入力端子Xの入力がS0,U0,S1,U1,××である場合の出力端子zの出力がどのようになるかを示している。
いま図9(a)の集積回路は、入力端子X1とX2の各入力がANDゲートg1に供給され、入力端子X3とX4の各入力がORゲートg2に供給され、入力端子X1の入力がインバータゲートg3に供給され、インバータゲートg3の出力と入力端子X5の入力がANDゲートg4に供給され、ゲートg1とg2の各出力がNORゲートg5へ供給され、ゲートg5とg4の出力がORゲートg6へ供給されている。
この回路において、図中に太線で示すパスP、つまり入力端子X3−g2−g5−g6−出力線におけるパス遅延故障に対するテストパターンを生成するには、まず、図9(a)中に示すように、この被試験パスP上の各信号線に遷移信号を設定し、つぎに図9(b)に示すように、パスP上の論理ゲートのパスP上にない入力信号線(検査対象外入力)に、図7(c)に示した経路活性化表を用いてパスPの各ゲートを活性化する信号値を与え、最後に図10に示すように、各信号線に与えた信号値をもとに図8に示す含意表を用いて各入力信号線にあたえる信号値を決定する。図9および図10の例では、パスPのパス遅延故障を試験するテストパターン系列は、図12を用いて、T=<v1,v2>=<“S0・××・U1・S0・U0”>=<“0×00×”,“0×100”>と求められる。
パス遅延故障に対するテストパターン生成法については、例えば、C.J.Lin and S.M.Reddy,“On Delay Fault Testing in Logic Circuits,”Transactions on Computer−Aided Desing,CAD−6(5),pp.694−703,1987や、K.−T.Cheng,A.Krstic,and H.−C.Chen,“Generation of High Quality Tests for Robustly Untestable Path Delay Faults,”Transactions on Computers,45(12),pp.1379−1392,1996などに記載されている。
次に、「遷移故障テストパターン生成」について説明する。
遷移故障モデルを検出するためのテストパターンは、検査対象となる配線またはゲートに信号の遷移を発生させ、その信号遷移を外部出力または観測フリップフロップまで伝搬することができるように各ゲートの信号値を設定する。その際、検査対象となる配線又はゲートから外部出力または観測フリップフロップまでの信号活性化経路に対しては、図7に示す経路活性化表や図8に示す含意表や図12に示す5値論理にしたがって、各信号線に上記論理値を割当てることによって生成されている。このとき、活性化経路上のゲートの活性化経路以外の入力を対象外入力と呼ぶ。
図11(a)に、被試験集積回路の一例を示す。この回路は、入力端子X2とX3がNANDゲートg1の入力側に接続され、また入力端子X3とX4がNANDゲートg2の入力側に接続され、NANDゲートg1とg2の各出力がNANDゲートg3へ供給され、NANDゲートg3の出力と入力端子X1の入力がNORゲートg4に供給され、NANDゲートg3の出力と入力端子X5の入力がNANDゲートg5へ供給され、NORゲートg4とNANDゲートg5の各出力がNORゲートg6へ供給され、NORゲートg6 の出力側が出力端子に接続される。
この被試験集積回路において、論理ゲートg3の出力における立上り遷移が遅くなる遷移故障(slow−to−rise)に対するテストパターン生成は、はじめに図11(a)中に示すように故障ゲートg3の出力に初期値“0”をあたえるテストパターンX1=×,X2=×,X3=0,X4=×,X5=×(×は“0”と“1”の何れでもよいことを示し、このテストパターンを以下v1=(××0××)のように表記する)を求め、つぎに故障ゲートg3の出力が設定した初期値“0”に固定してしまう縮退故障を仮定し、この縮退故障を集積回路の出力信号線で検出するためのテストパターンv2=(0×111)(図11(b))を求めることにより行われる。したがって、ゲートg3の出力におけるslow−to−rise故障を検出するテストパターン系列は、T=<v1,v2>=<“××0××”,“0×111”>と求められる。
遷移故障に対するテストパターン生成法については、例えば、E.S.Park and M.R.Mercer,“An Efficient Delay Test Generation System for Combinational Logic Circuits,”Transactions on Computer−Aided Design,11(7),pp.926−938,1992などに記載されている。
Kwang−Ting(Tim)Cheng"Delay Fault Testing for VLSI Circuits",p.52〜p.53、p.104〜p.113,1998
しかしながら上記のような従来のパス遅延故障テストパターン生成方法では、予め選択した検査対象経路に対してロバスト条件でのテストパターン生成を行い、ロバスト条件を満たさない検査対象経路に対してはノンロバスト条件でテストパターン生成を行っており、ノンロバスト条件で検査対象経路を活性化した場合、ノンロバスト条件で活性化するゲートの入力側の検査対象経路に遅延故障が発生していない場合には、ノンロバスト条件で活性化したゲートの出力側には信号遷移が発生しなくなる。
そのため、ノンロバスト条件で活性化したゲートの出力側の検査対象経路の1箇所に大きな遅延が発生した場合には、遅延故障の影響が信号遷移として発生しないために、検査対象経路の終点である外部出力または観測フリップフロップまで信号遷移を伝搬することができない。しかし、ノンロバストテスト可能パスの場合、ノンロバスト条件になるゲートを限定しないため、検査対象経路の始点に近いゲートをノンロバスト条件で活性化した場合、そのゲート以降のゲートまたは配線の1箇所に大きな遅延故障が発生した時には遅延故障を検出できないという問題がある。
また、遷移故障テストパターンは、検査対象となる配線またはゲートから故障の影響を観測する外部出力または観測フリップフロップまでの経路を特定しないために、実際に遅延故障が発生しているにもかかわらず、伝搬遅延時間の短い経路の外部出力または観測フリップフロップで観測した場合には、遅延故障を検出できない場合があった。
また、遷移故障テストパターンは、検査対象となる配線またはゲートに遷移を発生させるための遷移発生経路を特定しないため、伝搬遅延時間の短い経路を遷移発生経路として用いて信号遷移を発生させると、実際に遅延故障が発生したにもかかわらず、伝搬遅延時間の短い経路の外部出力または観測フリップフロップで観測することになり、遅延故障を検出できない場合があった。
また、観測する外部出力または観測フリップフロップまでをより長い経路で活性化できるようにした場合にも、検査対象ゲートまたは配線に近いゲートがノンロバスト条件で活性化された場合には、そのゲートまたは配線以降の遷移故障を検出できるテストパターンにならないために、そのゲートまたは配線以降の遷移故障を検査するためには新たにテストパターンを生成する必要があった。
また、外部入力またはフリップフロップから検査対象の配線またはゲートまでをより長い経路で遷移を発生できるようにした場合でも、外部入力またはフリップフロップに近いゲートがノンロバスト条件で活性化された場合には、そのゲートまたは配線以降から検査対象ゲートまたは配線まで遷移故障を検出できるテストパターンにならないために、そのゲートまたは配線以降から検査対象ゲートまたは配線までの遷移故障を検査するためには新たにテストパターンを生成する必要があった。
本発明は、上記従来の問題点を解決するもので、検査対象経路の始点に近いゲートがノンロバスト条件で活性化された場合でも、検査対象経路の始点から検査対象の配線またはゲートまでを、より長い経路に渡って信号伝送における遅延故障および遷移故障について、確実に検査することができるテストパターン生成方法を提供する。
上記の課題を解決するために、本発明の請求項1に記載のテストパターン生成方法は、複数のゲート間が配線で接続された半導体集積回路における信号伝送に際して発生する故障を検査するためのテストパターン系列を生成するテストパターン生成方法であって、前記半導体集積回路に対してゲート間が1つの配線で直列接続された任意の検査対象経路を選択する経路選択ステップと、前記経路選択ステップで選択された検査対象経路の始点から前記検査対象経路上のゲートに順次信号伝搬条件としてロバスト条件の活性化信号を与えるステップと、前記ロバスト条件の活性化信号が与えられない前記検査対象経路上のゲートから前記検査対象経路の終点までのゲートに、信号伝搬条件をロバスト条件に限定せず信号遷移が伝搬する条件を与えるステップとを有する方法としたことを特徴とする。
以上により、ノンロバストテスト可能パスである場合も、ノンロバスト条件でしか活性化できないゲートが検査対象経路の終点に近づくため、検査対象経路の始点からノンロバスト条件でしか活性化できないゲートまでの1箇所の大きな遅延故障も検査可能なテストパターンを生成することができる。
また、本発明の請求項2に記載のテストパターン生成方法は、請求項1記載のテストパターン生成方法であって、前記経路選択ステップは、前記検査対象経路として、その始点から終点までの物理上の信号伝搬遅延値が指定した伝搬遅延値より大きい経路を選択する方法としたことを特徴とする。
以上により、伝搬遅延値がより大きいテスト可能パスに対してのテストパターンが生成可能になり、検査対象経路の始点からノンロバスト条件でしか活性化できないゲートまでに発生した1箇所の大きな遅延故障を確実にテストすることが可能なテストパターンを生成することができる。
また、本発明の請求項3に記載のテストパターン生成方法は、請求項1記載のテストパターン生成方法であって、前記経路選択ステップは、前記検査対象経路として、その始点から終点までの物理上のコンタクト数が指定したコンタクト数より多い経路を選択する方法としたことを特徴とする。
以上により、経路上にコンタクト部分が多い経路を検査対象経路として選択できるため、検査対象経路上に発生したコンタクト不良による1箇所の大きな遅延故障をより多くテストすることが可能なテストパターンを生成することができる。
また、本発明の請求項4に記載のテストパターン生成方法は、複数のゲート間が配線で接続された半導体集積回路における信号伝送に際して発生する故障を検査するためのテストパターン系列を生成するテストパターン生成方法であって、前記半導体集積回路に対して前記検査の対象となる配線またはゲートを選択するステップと、前記検査対象となる配線またはゲートを含む信号伝送経路の始点から前記検査対象となる配線またはゲートに遷移を生成するための遷移発生経路を求めるステップと、前記検査対象となる配線またはゲートから遅延故障の影響を観測するための前記信号伝送経路の終点への信号遷移が伝搬可能な活性化経路を求めるステップと、前記遷移発生経路に初期値と遷移値を設定するステップと、前記検査対象となる配線またはゲートから前記活性化経路上のゲートに順次信号伝搬条件としてロバスト条件の活性化信号を与えるステップと、前記ロバスト条件の活性化信号が与えられない前記活性化経路上のゲートから前記信号伝送経路の終点までのゲートに、信号伝搬条件をロバスト条件に限定せず信号遷移が伝搬する条件を与えるステップと、前記遷移発生経路上のゲートに順次信号伝搬条件としてロバスト条件の活性化信号を与えるステップと、前記ロバスト条件の活性化信号を与えられない前記遷移発生経路上のゲートから前記検査対象となる配線またはゲートまでのゲートに、信号伝搬条件をロバスト条件に限定せず信号遷移が伝搬する条件を与えるステップとを有する方法としたことを特徴とする。
以上により、検査対象箇所の遅延故障をテストするためのテストパターンにおいて、検査対象経路の始点から検査対象箇所までの経路及び検査対象箇所から遅延故障の影響を観測する検査対象経路の終点までの経路上に発生した遷移故障をより多くテストすることが可能なテストパターンを生成することができる。
また、本発明の請求項5に記載のテストパターン生成方法は、請求項4記載のテストパターン生成方法であって、前記活性化経路を求めるステップは、前記活性化経路として、前記信号伝送経路の検査対象となる配線またはゲートから終点までの物理上の信号伝搬遅延値が指定した伝搬遅延値より大きい経路とする方法としたことを特徴とする。
以上により、検査対象箇所から遅延故障の影響を観測する検査対象経路の終点までの経路の伝搬遅延値がより大きいテスト可能パスに対してのテストパターンが生成可能になり、検査対象箇所及び伝搬経路上の検査対象箇所からノンロバスト条件でしか活性化できないゲートまでに発生した遅延故障を確実にテストすることが可能なテストパターンを生成することができる。
また、本発明の請求項6に記載のテストパターン生成方法は、請求項4記載のテストパターン生成方法であって、前記活性化経路を求めるステップは、前記活性化経路として、前記信号伝送経路の検査対象となる配線またはゲートから終点までの物理上のコンタクト数が指定したコンタクト数より多い経路とする方法としたことを特徴とする。
以上により、検査対象箇所から遅延故障の影響を観測する検査対象経路の終点までの経路上のコンタクト部分が多い経路になるため、活性化経路上に発生したコンタクト不良による遷移故障をより多くテストすることが可能なテストパターンを生成することができる。
また、本発明の請求項7に記載のテストパターン生成方法は、請求項4記載のテストパターン生成方法であって、前記遷移発生経路を求めるステップは、前記遷移発生経路として、前記信号伝送経路の始点から検査対象となる配線またはゲートまでの物理上の信号伝搬遅延値が指定した伝搬遅延値より大きい経路とする方法としたことを特徴とする。
以上により、検査対象経路の始点から検査対象箇所までの経路の伝搬遅延値がより大きいテスト可能パスに対してのテストパターンが生成可能になり、検査対象箇所及び遷移発生経路上の始点からノンロバスト条件でしか活性化できないゲートまでに発生した遅延故障を確実にテストすることが可能なテストパターンを生成することができる。
また、本発明の請求項8に記載のテストパターン生成方法は、請求項4記載のテストパターン生成方法であって、前記遷移発生経路を求めるステップは、前記遷移発生経路として、前記信号伝送経路の始点から検査対象となる配線またはゲートまでの物理上のコンタクト数が指定したコンタクト数より多い経路とする方法としたことを特徴とする。
以上により、検査対象経路の始点から検査対象箇所までの経路上のコンタクト数が多い経路になるため、遷移発生経路上に発生した遷移故障をより多くテストすることが可能なテストパターンを生成することができる。
以上のように本発明によれば、ノンロバストテスト可能パスである場合も、ノンロバスト条件でしか活性化できないゲートが検査対象経路の終点に近づくため、検査対象経路の始点からノンロバスト条件でしか活性化できないゲートまでの1箇所の大きな遅延故障も検査可能なテストパターンを生成することができる。
そのため、検査対象経路の始点からノンロバスト条件でしか活性化できないゲートまでの1箇所の大きな遅延故障も検査することができる。
また、伝搬遅延値がより大きいテスト可能パスに対してのテストパターンが生成可能になり、検査対象経路の始点からノンロバスト条件でしか活性化できないゲートまでに発生した1箇所の大きな遅延故障を確実にテストすることが可能なテストパターンを生成することができる。
そのため、検査対象経路の始点からノンロバスト条件でしか活性化できないゲートまでに発生した1箇所の大きな遅延故障を確実にテストすることができる。
また、経路上にコンタクト部分が多い経路を検査対象経路として選択できるため、検査対象経路上に発生したコンタクト不良による1箇所の大きな遅延故障をより多くテストすることが可能なテストパターンを生成することができる。
そのため、検査対象経路上に発生したコンタクト不良による1箇所の大きな遅延故障をより多くテストすることができる。
また、検査対象箇所の遅延故障をテストするためのテストパターンにおいて、検査対象経路の始点から検査対象箇所までの経路及び検査対象箇所から遅延故障の影響を観測する検査対象経路の終点までの経路上に発生した遷移故障をより多くテストすることが可能なテストパターンを生成することができる。
そのため、検査対象経路の始点から検査対象箇所までの経路及び検査対象箇所から遅延故障の影響を観測する検査対象経路の終点までの経路上に発生した遷移故障をより多くテストすることができる。
また、検査対象箇所から遅延故障の影響を観測する検査対象経路の終点までの経路の伝搬遅延値がより大きいテスト可能パスに対してのテストパターンが生成可能になり、検査対象箇所及び伝搬経路上の検査対象箇所からノンロバスト条件でしか活性化できないゲートまでに発生した遅延故障を確実にテストすることが可能なテストパターンを生成することができる。
そのため、検査対象箇所及び伝搬経路上の検査対象箇所からノンロバスト条件でしか活性化できないゲートまでに発生した遅延故障を確実にテストすることができる。
また、検査対象箇所から遅延故障の影響を観測する検査対象経路の終点までの経路上のコンタクト部分が多い経路になるため、活性化経路上に発生したコンタクト不良による遷移故障をより多くテストすることが可能なテストパターンを生成することができる。
そのため、活性化経路上に発生したコンタクト不良による遷移故障をより多くテストすることができる。
また、検査対象経路の始点から検査対象箇所までの経路の伝搬遅延値がより大きいテスト可能パスに対してのテストパターンが生成可能になり、検査対象箇所及び遷移発生経路上の始点からノンロバスト条件でしか活性化できないゲートまでに発生した遅延故障を確実にテストすることが可能なテストパターンを生成することができる。
そのため、検査対象箇所及び遷移発生経路上の始点からノンロバスト条件でしか活性化できないゲートまでに発生した遅延故障を確実にテストすることができる。
また、検査対象経路の始点から検査対象箇所までの経路上のコンタクト数が多い経路になるため、遷移発生経路上に発生した遷移故障をより多くテストすることが可能なテストパターンを生成することができる。
そのため、遷移発生経路上に発生した遷移故障をより多くテストすることができる。
以上のように、検査対象経路の始点に近いゲートがノンロバスト条件で活性化された場合でも、検査対象経路の始点から検査対象の配線またはゲートまでを、より長い経路に渡って信号伝送における遅延故障および遷移故障について、確実に検査することができる。
以下、本発明の実施の形態を示すテストパターン生成方法について、図面を参照しながら具体的に説明する。
まず、ここで使用する以下の各用語についての定義を説明する。
はじめに、「パス、伝搬遅延時間、パス遅延故障」について説明する。回路における信号遷移経路を「パス」と呼ぶ。パスの入力信号線から出力信号線まで信号が遷移する時間を「伝搬遅延時間」と呼び、伝搬遅延時間が所定の値より大きくなると回路は正常に動作しなくなる。これを「パス遅延故障」と呼ぶ。
次に、「最長パス」について説明する。「最長パス」とは、信号遷移時間が最長であるパスを示す。例えば図2において、最長パスは、スキャンFFの出力または外部入力であるa1、a2、a4、a5、a7、a15から、外部出力またはスキャンFFへの入力であるa17までの信号遷移時間が最長となるパスを示す。
次に、「テスト可能パス」について説明する。「テスト可能パス」とは、上記のパス遅延故障に対するテストパターンが生成可能なパスを示す。
次に、「コンタクト」について説明する。「コンタクト」とは、異レイヤのアルミ配線とトランジスタのソース/ドレイン、またはゲート電極等を縦(上下)方向に結ぶ配線を示す。
(実施の形態1)
本発明の実施の形態1のテストパターン生成方法を説明する。
図1は本実施の形態1のテストパターン生成方法を示すフロー図である。まず、ステップ101において、被検査半導体集積回路の検査対象経路を選択する。この検査対象経路は、人手で選択してもよく、あるいは回路情報から電子計算機により自動的に取出して作成してもよい。次に、ステップ102において、ステップ101で選択した検査対象経路に遷移値を設定する。次に、ステップ103において、ステップ101で選択した検査対象経路の始点となる外部入力またはフリップフロップに初期値を設定する。次に、ステップ104において、ステップ101で選択した検査対象経路上の検査対象外入力に非制御値を設定し、伝搬テストパターンv2を含意操作により求める。次に、ステップ105において、伝搬テストパターンが求められた場合は、ステップ106へ進む。次に、ステップ106において、検査対象経路の始点側からゲートを選択する。次に、ステップ107において、選択したゲートが外部出力または観測フリップフロップではない場合は、ステップ108へ進む。選択したゲートが外部出力または観測フリップフロップの場合は、ステップ113に進む。次に、ステップ108において、ステップ106で選択したゲートの検査対象外入力の初期値が決まっているか調べる。検査対象外入力の1つ以上の入力の初期値が決まっている場合は、ステップ109へ進む。決まっていない場合は、ステップ110へ進む。次に、ステップ109において、検査対象外入力の入力初期値がロバスト条件を満たしていない場合は、ステップ113へ進む。検査対象外入力の入力初期値がロバスト条件を満たしている場合には、ステップ110へ進む。次に、ステップ110において、ステップ106で選択したゲートの検査対象外入力の初期値にロバスト条件を満たす値を設定し、含意操作を行う。次に、ステップ111において、ステップ110で設定した値を用いた含意操作で矛盾が発生した場合は、ステップ112へ進む。矛盾が発生しない場合は、ステップ106へ進む。次に、ステップ112において、ステップ106で選択したゲートの検査対象外入力の初期値にノンロバスト条件を満たす値を設定し、含意操作を行う。次に、ステップ113において、回路内の初期値を用いて初期化テストパターンv1を求め、ステップ115において、ステップ101で選択した検査対象経路に対するテストパターン生成処理を終了する。また、ステップ105において、ステップ104で伝搬テストパターンが求められない場合は、ステップ114に進み、ステップ114において、ステップ101で選択した検査対象経路はロバスト条件またはノンロバスト条件を満たすテストパターン生成が不可能と判定し、ステップ115にてステップ101で選択した検査対象経路に対するテストパターン生成処理を終了する。
次に、本実施の形態1の具体例を説明する。
図2に示すように、a1とa2はANDゲートG1に供給され、a1とa4はNANDゲートG5の供給され、a1とa5はNANDゲートG6に供給され、a6とa7はORゲートG2に供給され、a7とa8はANDゲートG7に供給され、a8とa9はANDゲートG8に供給され、a10とa13はNORゲートG3に供給され、a11とa12はORゲートG9に供給され、a13とa15はNANDゲートG10に供給され、a14とa16はANDゲートG4に供給され、a17はANDゲートG4から出力されている。
ステップ101で、検査対象経路a1−a6−a10−a14−a17の始点となるa1の0から1への立上り遷移を選択する。ステップ102で、検査対象経路a1−a6−a10−a14−a17に遷移値(1,1,1,0,0)を設定する。この時の各信号線の値を図3のステップ102に示す。ステップ103で、検査対象経路の始点であるa1に初期値0を設定する。この時の各信号線の値を図3のステップ103に示す。
ステップ104で、検査対象経路の検査対象外入力であるa2、a7、a13、a16に遷移値として非制御値(1,0,0,1)を設定し、伝搬テストパターンv2を含意操作により求める。伝搬テストパターンv2=(a1,a2,a4,a5,a7,a15)=<“1,1、×、1,0,0”>になる。この時の各信号線の値は図3のステップ104に示す。伝搬テストパターンv2が求められたので、ステップ106に進み、検査対象経路の始点に最も近いゲートG1を選択する。
ステップ107において、外部出力ではないと判定したので、ステップ108に進む。ステップ108において、ANDゲートG1の検査対象外入力a2の初期値は×であり、ANDゲートのロバスト条件を満たしているのでステップ110へ進み、さらにステップ111を通ってステップ106に進み、ステップ106において、検査対象経路の始点に次に近いゲートG2を選択する。外部出力ではないので、ステップ108に進む。ステップ108において、ORゲートG2の検査対象外入力a7の値は決まっていないのでステップ110において、ORゲートのロバスト条件を設定し含意操作を行う。このときの検査対象外入力a7には、ORゲートのロバスト条件の値である“0”を設定する。
ステップ111において、矛盾が発生していないのでステップ106に進む。ステップ106おいて、検査対象経路の始点に次に近いゲートG3を選択する。外部出力ではないので、ステップ108に進む。ステップ108でNORゲートG3の検査対象外入力a13の値は決まっていないので、ステップ110において、NORゲートのロバスト条件を設定し含意操作を行う。このときの検査対象外入力a13には、NORゲートのロバスト条件の値である“0”を設定する。この時の各信号線の値は図3のステップ110に示す。
ステップ111において、含意操作で矛盾が発生したと判定したので、ステップ112で検査対象外入力a13に設定した値をNORゲートのノンロバスト条件の値である×に設定する。この時の各信号線の値は図3のステップ112に示す。ステップ113において、初期化テストパターンv1を求める。初期化テストパターンv1=(a1,a2,a4,a5,a7,a15)=<“0,×、×、×,0,0”>になる。この時の各信号線の値は図3のステップ113に示す。ステップ115において、テストパターン<V1,V2>=<“11×100”,“0×××00”>となり、終了する。
以上により、ノンロバスト活性化パスと判定された検査対象経路a1−a6−a10−a14−a17の検査対象経路上のゲートG1、G2を従来の方法によりノンロバスト条件で活性化した場合には検査できなかったa1,a6,a10に発生した大きな遅延故障を検査することが可能になる。
なお、本実施の形態では、ANDゲートG7の活性化条件を限定していないが、ロバスト条件で活性化できるように検査対象外入力の値を設定してもよい。
(実施の形態2)
本発明の実施の形態2のテストパターン生成方法を説明する。
図13は本実施の形態2のテストパターン生成方法を示すフロー図である。図13に示すように、実施の形態1のテストパターン生成フローのステップ101の代わりに、ステップ301〜304を用いる。
まず、ステップ301で、検査対象経路として選択する経路の伝搬遅延値の閾値を設定する。この閾値は、人手で設定してもよく、あるいは回路情報から電子計算機により自動的に取出して設定してもよい。ステップ302において、被検査半導体集積回路の検査対象経路を選択する。この検査対象経路は、人手で選択してもよく、あるいは回路情報から電子計算機により自動的に取出して作成してもよい。ステップ303において、ステップ302で選択した検査対象経路がステップ301で設定した伝搬遅延値の閾値より大きい場合は、ステップ304へ進む。小さい場合には、ステップ302で検査対象経路を選択する。ステップ304において、ステップ302で選択した検査対象経路がテスト可能パスかどうか判定する。テスト可能パスの場合は、ステップ102へ進む。テスト不可能パスと判定された場合は、ステップ302へ進む。
ステップ303で伝搬遅延値の閾値より大きい伝搬遅延値の経路を選択することにより、回路内のすべての経路を検査対象経路にできない場合など、伝搬遅延時間がより大きい経路を検査対象とすることで、実動作で動作不良となる遅延故障を検出する能力が高くなる。
なお、図13のステップ304のテスト可能パスの選択方法は、文献「A.Murakami,S.Kajihara,T.Sasao,I.pomeranz and S.M.Reddy,“Selection of Potentially Testable Path Delay Faults for Test generation,”International Test Conf.,pp.376−384,Oct.2000.」に示されている方法などを使用することで求められる。
図2の検査対象経路としてa1−a8−a11−a13−a16−a17の立下り遷移を選択した場合は、この経路はロバスト条件およびノンロバスト条件ではテストパターンを生成できない。このような場合、テスト可能パスか否かを処理の早い段階で判別できるため従来の方法と比較し処理時間の高速化が図れる。
(実施の形態3)
本発明の実施の形態3のテストパターン生成方法を説明する。
図14は本実施の形態3のテストパターン生成方法を示すフロー図である。図14に示すように、実施の形態1のテストパターン生成フローのステップ101の代わりに、ステップ401〜403を用いる。
まず、ステップ401で、検査対象経路として選択する経路のコンタクト数の閾値を設定する。この閾値は、人手で設定してもよく、あるいは回路情報から電子計算機により自動的に取出して設定してもよい。ステップ402において、被検査半導体集積回路の検査対象経路を選択する。この検査対象経路は、人手で選択してもよく、あるいは回路情報から電子計算機により自動的に取出して作成してもよい。ステップ403において、ステップ402で選択した検査対象経路がステップ401で設定したコンタクト数の閾値より大きい場合は、ステップ102へ進む。小さい場合には、ステップ402で検査対象経路を選択する。
ステップ403、および後述のステップ603、ステップ803の経路上のコンタクト数は、市販レイアウトツールのパスダンプ機能などを使用することで、図19に示すような各信号線のコンタクト数を求め、経路上のコンタクト数の合計から求めることができる。図2の経路a1−a8−a12−a13−a14−a17のコンタクト数は、図19より、a1(3)+a8(4)+a12(5)+a13(4)+a14(3)+a17(2)=21と求めることができる。
次に、本実施の形態3の検査対象経路の選択方法を図19を用いて説明する。
まず、ステップ401でコンタクト数の閾値を10に設定する。ステップ402で検査対象経路として、a1−a6−a10−a14−a17を選択する。検査対象経路a1−a6−a10−a14−a17のコンタクト数は、図19から11と求められる。ステップ403で検査対象経路のコンタクト数11が閾値より大きいので、ステップ402で選択した経路を検査対象経路としてステップ102へ進む。ステップ102以降の処理は、実施の形態1の場合と同じである。
これにより、従来の方法ではコンタクト数が少ない経路でも検査対象経路として選択していたが、本実施の形態を使用することにより、1箇所に大きな遅延故障が発生する可能性が高い経路から優先してテストパターンを生成することが可能になる。
(実施の形態4)
本発明の実施の形態4のテストパターン生成方法を説明する。
図4は本実施の形態4のテストパターン生成方法を示すフロー図である。まず、ステップ201で、被検査半導体集積回路の検査対象箇所を選択する。この検査対象箇所は、人手で選択してもよく、あるいは回路情報から電子計算機により自動的に取出して作成してもよい。次に、ステップ202において、ステップ201で選択した検査対象箇所に初期値と遷移値を設定する。次に、ステップ203において、ステップ201で選択した検査対象箇所に遷移が発生することが可能な外部入力またはフリップフロップの出力から検査対象箇所までの遷移発生経路に初期値と遷移値を設定する。次に、ステップ204において、ステップ201で選択した検査対象箇所に設定した遷移を外部出力または観測フリップフロップまで活性化可能な活性化経路を求める。次に、ステップ205において、ステップ204で求めた活性化経路に対して遷移値を設定する。次に、ステップ206において、ステップ203で求めた遷移発生経路およびステップ204で求めた活性化経路上の対象外入力に非制御値を設定し、伝搬テストパターンv2を含意操作により求める。ステップ207において、ステップ206で伝搬テストパターンが求められない場合は、ステップ221に進む。次に、伝搬テストパターンが求められた場合は、ステップ208において、活性化経路の入力側からゲートを選択する。次に、ステップ209において、選択したゲートが外部出力または観測フリップフロップかどうか判定する。ステップ210において、ステップ208で選択したゲートの対象外入力の初期値が決まっているか調べる。対象外入力の1つでも初期値が決まっている場合は、ステップ211へ進む。ステップ211において、対象外入力の入力初期値がロバスト条件を満たしていない場合は、ステップ214へ進む。対象外入力の入力初期値がロバスト条件を満たしている場合には、ステップ212へ進む。ステップ212において、ステップ208で選択したゲートの対象外入力の初期値にロバスト条件を満たす値を設定し、含意操作を行う。ステップ213において、ステップ212で設定した値を用いた含意操作で矛盾が発生した場合は、ステップ214へ進む。矛盾が発生しない場合は、ステップ208へ進む。次に、ステップ214において、遷移発生経路の入力側からゲートを選択する。次に、ステップ215において、選択したゲートが検査対象箇所を入力とするゲートかどうか判定する。次に、ステップ216において、ステップ214で選択したゲートの対象外入力の初期値が決まっているか調べる。対象外入力の1つでも初期値が決まっている場合は、ステップ219へ進む。対象外入力の1つも初期値が決まっていない場合は、ステップ217へ進む。次に、ステップ217において、ステップ214で選択したゲートの対象外入力の初期値にロバスト条件を満たす値を設定し、含意操作を行う。次に、ステップ218において、ステップ214で設定した値を用いた含意操作で矛盾が発生した場合は、ステップ220へ進む。矛盾が発生しない場合は、ステップ214へ進む。ステップ219において、対象外入力の入力初期値がロバスト条件を満たしていない場合は、ステップ220へ進む。対象外入力の入力初期値がロバスト条件を満たしている場合には、ステップ217へ進む。次に、ステップ220において、回路内の初期値を用いて初期化テストパターンv1を求める。ステップ221において、ステップ201で選択した検査対象箇所はロバスト条件及びノンロバスト条件を満たすテストパターン生成が不可能と判定し、ステップ222において、ステップ201で選択した検査対象箇所に対するテストパターン生成処理を終了する。
次に、本実施の形態4の具体例を説明する。
図5に示すように、a1とa2はANDゲートG1に供給され、a1とa4はNANDゲートG5の供給され、a1とa5はNANDゲートG6に供給され、a6とa7はORゲートG2に供給され、a7とa8はANDゲートG7に供給され、a8とa9はANDゲートG8に供給され、a10とa13はNORゲートG3に供給され、a11とa12はORゲートG9に供給され、a13とa15はNANDゲートG10に供給され、a14とa16はANDゲートG4に供給され、a17はANDゲートG4から出力されている。
ステップ201において、信号線a8の“1”から“0”への立下り遷移を検査対象箇所として選択する。ステップ202において、検査対象箇所a8に初期値“1”と遷移値“0”を設定する。この時の各信号線の値を図6のステップ202に示す。ステップ203において、外部入力から検査対象箇所a8までの遷移発生経路であるa4−a8に初期値と遷移値を設定する。この時の各信号線の値を図6のステップ203に示す。
ステップ204において、検査対象箇所a8から外部出力a17までの活性化経路であるa8−a12−a13−a16−a17を求める。ステップ205において、ステップ204で求めた活性化経路上の信号線に遷移値を設定する。このときのa12−a13−a16−a17はそれぞれ(0,0,1,1)となる。回路内の各信号線の値を図6のステップ205に示す。
ステップ206において、遷移発生経路上の対象外入力であるa1と活性化経路上の対象外入力であるa9、a11、a15、a14の遷移値として非制御値(1,1,0,1,1)を設定し、伝搬テストパターンv2を求める。このときの伝搬テストパターンは(a1、a2,a4,a5、a7、a15)=(1,0,1,0,0,1)となる。回路内の各信号線の値を図6のステップ206に示す。ステップ206で伝搬テストパターンが求められたので、ステップ207の判断により、ステップ208において、活性化経路の入力側のゲートであるANDゲートG8を選択する。
ステップ209において、ステップ208で選択したゲートG8が外部出力でないと判定し、ステップ210において、ANDゲートG8の検査対象外入力a9に初期値が決まっているかどうか判定する。初期値が決まっていないので、ステップ212でANDゲートG8の対象外入力a9にロバスト条件の値である1を設定し、含意操作を行う。これにより、信号線a5の初期値が0に設定される。このときの回路内の各信号線の値を図6のステップ212に示す。
つづいて、ステップ208において活性化経路の入力側のゲートであるORゲートG9を選択する。ステップ209において、ステップ208で選択したゲートG9が外部出力でないと判定し、ステップ210において、ORゲートG9の対象外入力a11に初期値が決まっているかどうか判定する。信号線a11の初期値はロバスト条件の値である×に設定されているので、ステップ208において、活性化経路の入力側のゲートであるNANDゲートG10を選択する。
ステップ209において、ステップ208で選択したゲートG10が外部出力でないと判定し、ステップ210において、NANDゲートG10の対象外入力a15に初期値が決まっているか判定する。初期値が決まっていないので、ステップ212でNANDゲートG10の対象外入力a15にロバスト条件の値である1を設定し、ステップ208において、活性化経路の入力側のゲートであるANDゲートG4を選択する。
ステップ209において、ステップ208で選択したゲートG4が外部出力でないと判定する。ステップ210において、ANDゲートG4の対象外入力a14に初期値が決まっているか判定する。初期値はロバスト条件の値である×に設定されているので、ステップ208において活性化経路の出力端子a17を選択する。ステップ209において、ステップ208で選択した出力端子が外部出力であると判定されたので、ステップ214に進む。
ステップ214において、遷移発生経路の入力側のゲートであるNANDゲートG5を選択する。このときの回路内の信号線の値を図6のステップ214に示す。次に、ステップ215において、ステップ214で選択したNANDゲートG5が検査対象箇所を入力としているか判定する。入力としていないので、ステップ216へ進む。ステップ216において、NANDゲートG5の対象外入力に初期値が決まっているか判定する。初期値はロバスト条件の値である×に設定されているので、ステップ214において、遷移発生経路の入力側のゲートであるANDゲートG8を選択する。
ステップ215において、ステップ214で選択したANDゲートG8が検査対象箇所を入力としているか判定する。入力としているので、ステップ220へ進む。ステップ220において、初期化テストパターンv1を求める。このときの初期化テストパターンは(a1、a2,a4,a5、a7、a15)=(1,0,0,0,0,1)となる。このときの回路内の信号線の値を図6のステップ220に示す。
従来の方法では、外部入力a5にU0を設定してもよく、その場合にはANDゲートG8はノンロバスト条件での活性化になるので、ANDゲートG8以降の遷移故障を検査することはできなかったが、本実施の形態4に示す方法を用いることで、すべての活性化経路および遷移発生経路上のゲートをロバスト条件で活性化することが可能になり、活性化経路および遷移発生経路上に発生した遷移故障を検出することが可能である。
尚、本実施の形態4では、ノンロバスト条件の活性化条件を設定したゲート以降の活性化経路または遷移発生経路の活性化条件を限定していないが、ロバスト条件で活性化できるように検査対象外入力の値を設定してもよい。
(実施の形態5)
本発明の実施の形態5のテストパターン生成方法を説明する。
図15は本実施の形態5のテストパターン生成方法を示すフロー図である。図15に示すように、実施の形態4のテストパターン生成フローのステップ204の代わりに、ステップ501〜504を用いる。
まず、ステップ501で、活性化経路として選択する経路の伝搬遅延値の閾値を設定する。この閾値は、人手で設定してもよく、あるいは回路情報から電子計算機により自動的に取出して設定してもよい。ステップ502において、検査対象箇所から外部出力または観測フリップフロップまでの活性化経路を求める。ステップ503において、ステップ502で選択した活性化経路がステップ501で設定した伝搬遅延値の閾値より大きい場合は、ステップ504へ進む。小さい場合には、ステップ502で活性化経路を選択する。ステップ504において、ステップ502で選択した活性化経路がテスト可能な活性化経路かどうか判定する。テスト可能活性化経路の場合は、ステップ205へ進む。テスト不可能活性化経路と判定された場合は、ステップ502へ進む。ステップ205以降の処理は図4と同じである。
なお、図15のステップ504のテスト可能パスの選択方法は、文献「A.Murakami,S.Kajihara,T.Sasao,I.pomeranz and S.M.Reddy,“Selection of Potentially Testable Path Delay Faults for Test generation,”International Test Conf.,pp.376−384,Oct.2000.」に示されている方法などを使用することで求められる。
従来では、伝搬遅延時間が小さい活性化経路を選択する場合もあったが、本実施の形態5により、伝搬遅延時間の大きい活性化経路を選択するようになり、遅延故障の影響を観測する外部出力または観測フリップフロップまでの伝搬遅延時間の大きい経路を選択することが可能になる。
尚、本実施の形態5では、活性化経路と遷移発生経路を別のステップで選択しているが、検査対象箇所を通る伝搬遅延時間の閾値より大きなテスト可能な経路として、活性化経路と遷移発生経路を選択しても良い。
(実施の形態6)
本発明の実施の形態6のテストパターン生成方法を説明する。
図16は本実施の形態6のテストパターン生成方法を示すフロー図である。図16に示すように、実施の形態4のテストパターン生成フローのステップ204の代わりに、ステップ601〜603を用いる。
まず、ステップ601で、活性化経路として選択する経路のコンタクト数の閾値を設定する。この閾値は、人手で設定してもよく、あるいは回路情報から電子計算機により自動的に取出して設定してもよい。ステップ602において、検査対象箇所から外部出力または観測フリップフロップまでの活性化経路を求める。ステップ603において、ステップ602で選択した検査対象経路がステップ601で設定したコンタクト数の閾値より大きい場合は、ステップ205へ進む。小さい場合には、ステップ602で活性化経路を選択する。ステップ205以降の処理は図4と同じである。
図5の信号線a8の立下り遷移を検査するための本実施の形態6の活性化経路の特定方法を図19を用いて説明する。
まず、ステップ601でコンタクト数の閾値を15に設定する。ステップ602でa8−a12−a13−a16−a17を活性化経路として求める。ステップ603で活性化経路a8−a12−a13−a16−a17のコンタクト数は、図19よりa8(4)+a12(5)+a13(4)+a16(1)+a17(2)=16と求められ、コンタクト数の閾値15より大きいので活性化経路として選択しステップ205へ進む。ステップ205以降の処理は実施の形態4と同じである。
これにより、従来コンタクト数が少ない経路でも活性化経路として選択していたが、本実施の形態を使用することにより、コンタクト不良により遷移故障が発生する可能性が高い活性化経路を選択することが可能になる。
(実施の形態7)
本発明の実施の形態7のテストパターン生成方法を説明する。
図17は本実施の形態7のテストパターン生成方法を示すフロー図である。図17に示すように、実施の形態4のテストパターン生成フローのステップ203の代わりに、ステップ701〜705を用いる。
まず、ステップ701で、遷移発生経路として選択する経路の伝搬遅延値の閾値を設定する。この閾値は、人手で設定してもよく、あるいは回路情報から電子計算機により自動的に取出して設定してもよい。ステップ702において、外部入力またはフリップフロップから検査対象箇所までの遷移発生経路を求める。ステップ703において、ステップ702で選択した遷移発生経路がステップ701で設定した伝搬遅延値の閾値より大きい場合は、ステップ704へ進む。小さい場合には、ステップ702で遷移発生化経路を選択する。ステップ704において、ステップ702で選択した遷移発生経路がテスト可能な遷移発生経路かどうか判定する。テスト可能な遷移発生経路の場合は、ステップ705へ進む。テスト可能な遷移発生経路ではないと判定された場合は、ステップ702へ進む。ステップ705において、ステップ702で求めた遷移発生経路に初期値と遷移値を設定する。
なお、図17のステップ704のテスト可能パスの選択方法は、文献「A.Murakami,S.Kajihara,T.Sasao,I.pomeranz and S.M.Reddy,“Selection of Potentially Testable Path Delay Faults for Test generation,”International Test Conf.,pp.376−384,Oct.2000.」に示されている方法などを使用することで求められる。
これにより、従来では伝搬遅延時間が小さい遷移発生経路を選択する場合もあったが、本実施の形態7により、伝搬遅延時間の大きい遷移発生経路を選択するようになり、遅延故障の影響を観測する外部出力または観測フリップフロップまでの伝搬遅延時間の大きい経路を選択することが可能になる。
(実施の形態8)
本発明の実施の形態8のテストパターン生成方法を説明する。
図18は本実施の形態8のテストパターン生成方法を示すフロー図である。図18に示すように、実施の形態4のテストパターン生成フローのステップ203の代わりに、ステップ801〜804を用いる。
まず、ステップ801で、遷移発生経路として選択する経路のコンタクト数の閾値を設定する。この閾値は、人手で設定してもよく、あるいは回路情報から電子計算機により自動的に取出して設定してもよい。ステップ802において、外部入力またはフリップフロップから検査対象箇所までの遷移発生経路を求める。ステップ803において、ステップ802で選択した遷移発生経路がステップ801で設定したコンタクト数の閾値より大きい場合は、ステップ804へ進む。小さい場合には、ステップ802で遷移発生化経路を選択する。ステップ804において、ステップ802で求めた遷移発生経路に初期値と遷移値を設定する。
図5の信号線a8の立下り遷移を検査するための本実施の形態8の遷移発生経路の特定方法を図19を用いて説明する。
まず、ステップ801でコンタクト数の閾値を3に設定する。ステップ802でa4−a8を遷移発生経路として求める。ステップ803で遷移発生経路a4のコンタクト数は図19より2と求められ、コンタクト数の閾値より小さいので、ステップ802で遷移発生経路を再度選択する。ステップ802でa1−a8を遷移発生経路として求める。ステップ803で遷移発生経路a1のコンタクト数は図19より3と求められ、コンタクト数の閾値と同じであるため、a4−a8を遷移発生経路として選択する。ステップ804において、信号線a1に初期値“0”と遷移値“1”を設定し、ステップ204へ進む。ステップ204以降の処理は実施の形態4と同じである。
これにより、従来コンタクト数が少ない経路でも遷移発生経路として選択していたが、本実施の形態8を使用することにより、コンタクト不良により遷移故障が発生する可能性が高い経路を遷移発生経路として選択することが可能になる。
尚、検査対象経路および活性化経路および遷移発生経路の選択時に伝搬遅延値とコンタクト数がともに閾値より大きい経路を選択してもよい。また、検査対象経路および活性化経路および遷移発生経路の選択時にロバスト条件が多く割当てられる経路を選択しても良い。
本発明のテストパターン生成方法は、パス遅延故障モデルまたは遷移故障モデルなどの遅延故障テストパターン生成方法を有し、より品質の高い遅延故障テストパターン生成方法として、また他の遅延故障モデル等の遅延故障テストパターン生成の用途にも適用できる。
本発明の実施の形態1のテストパターン生成方法を示すフロー図 同実施の形態1のテストパターン生成方法を示す回路図 同実施の形態1のテストパターン生成方法を示す内部信号線の説明図 本発明の実施の形態4のテストパターン生成方法を示すフロー図 同実施の形態4のテストパターン生成方法を示す回路図 同実施の形態4のテストパターン生成方法を示す内部信号線の説明図 従来のテストパターン生成方法に用いられる経路活性化の説明図 従来のテストパターン生成方法に用いられる含意表の説明図 従来のパス遅延故障のテストパターン生成方法の一例を示す回路図 従来のパス遅延故障のテストパターン生成方法の他の例を示す回路図 従来の遷移故障のテストパターン生成方法の一例を示す回路図 従来のテストパターン生成方法に用いられる5値論理の説明図 本発明の実施の形態2のテストパターン生成方法を示すフロー図 本発明の実施の形態3のテストパターン生成方法を示すフロー図 本発明の実施の形態5のテストパターン生成方法を示すフロー図 本発明の実施の形態6のテストパターン生成方法を示すフロー図 本発明の実施の形態7のテストパターン生成方法を示すフロー図 本発明の実施の形態8のテストパターン生成方法を示すフロー図 同実施の形態8のテストパターン生成方法による各配線のコンタクト数をレイアウトツールから出力させた結果説明図
符号の説明
X1〜X5 入力端子
a1〜a2、a4〜a5、a7、a15 入力端子
a17 出力端子

Claims (8)

  1. 複数のゲート間が配線で接続された半導体集積回路における信号伝送に際して発生する故障を検査するためのテストパターン系列を生成するテストパターン生成方法であって、前記半導体集積回路に対してゲート間が1つの配線で直列接続された任意の検査対象経路を選択する経路選択ステップと、前記経路選択ステップで選択された検査対象経路の始点から前記検査対象経路上のゲートに順次信号伝搬条件としてロバスト条件の活性化信号を与えるステップと、前記ロバスト条件の活性化信号が与えられない前記検査対象経路上のゲートから前記検査対象経路の終点までのゲートに、信号伝搬条件をロバスト条件に限定せず信号遷移が伝搬する条件を与えるステップとを有することを特徴とするテストパターン生成方法。
  2. 前記経路選択ステップは、前記検査対象経路として、その始点から終点までの物理上の信号伝搬遅延値が指定した伝搬遅延値より大きい経路を選択することを特徴とする請求項1記載のテストパターン生成方法。
  3. 前記経路選択ステップは、前記検査対象経路として、その始点から終点までの物理上のコンタクト数が指定したコンタクト数より多い経路を選択することを特徴とする請求項1記載のテストパターン生成方法。
  4. 複数のゲート間が配線で接続された半導体集積回路における信号伝送に際して発生する故障を検査するためのテストパターン系列を生成するテストパターン生成方法であって、前記半導体集積回路に対して前記検査の対象となる配線またはゲートを選択するステップと、前記検査対象となる配線またはゲートを含む信号伝送経路の始点から前記検査対象となる配線またはゲートに遷移を生成するための遷移発生経路を求めるステップと、前記検査対象となる配線またはゲートから遅延故障の影響を観測するための前記信号伝送経路の終点への信号遷移が伝搬可能な活性化経路を求めるステップと、前記遷移発生経路に初期値と遷移値を設定するステップと、前記検査対象となる配線またはゲートから前記活性化経路上のゲートに順次信号伝搬条件としてロバスト条件の活性化信号を与えるステップと、前記ロバスト条件の活性化信号が与えられない前記活性化経路上のゲートから前記信号伝送経路の終点までのゲートに、信号伝搬条件をロバスト条件に限定せず信号遷移が伝搬する条件を与えるステップと、前記遷移発生経路上のゲートに順次信号伝搬条件としてロバスト条件の活性化信号を与えるステップと、前記ロバスト条件の活性化信号を与えられない前記遷移発生経路上のゲートから前記検査対象となる配線またはゲートまでのゲートに、信号伝搬条件をロバスト条件に限定せず信号遷移が伝搬する条件を与えるステップとを有することを特徴とするテストパターン生成方法。
  5. 前記活性化経路を求めるステップは、前記活性化経路として、前記信号伝送経路の検査対象となる配線またはゲートから終点までの物理上の信号伝搬遅延値が指定した伝搬遅延値より大きい経路とすることを特徴とする請求項4記載のテストパターン生成方法。
  6. 前記活性化経路を求めるステップは、前記活性化経路として、前記信号伝送経路の検査対象となる配線またはゲートから終点までの物理上のコンタクト数が指定したコンタクト数より多い経路とすることを特徴とする請求項4記載のテストパターン生成方法。
  7. 前記遷移発生経路を求めるステップは、前記遷移発生経路として、前記信号伝送経路の始点から検査対象となる配線またはゲートまでの物理上の信号伝搬遅延値が指定した伝搬遅延値より大きい経路とすることを特徴とする請求項4記載のテストパターン生成方法。
  8. 前記遷移発生経路を求めるステップは、前記遷移発生経路として、前記信号伝送経路の始点から検査対象となる配線またはゲートまでの物理上のコンタクト数が指定したコンタクト数より多い経路とすることを特徴とする請求項4記載のテストパターン生成方法。
JP2003379223A 2003-11-10 2003-11-10 テストパターン生成方法 Pending JP2005140710A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003379223A JP2005140710A (ja) 2003-11-10 2003-11-10 テストパターン生成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003379223A JP2005140710A (ja) 2003-11-10 2003-11-10 テストパターン生成方法

Publications (1)

Publication Number Publication Date
JP2005140710A true JP2005140710A (ja) 2005-06-02

Family

ID=34689343

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003379223A Pending JP2005140710A (ja) 2003-11-10 2003-11-10 テストパターン生成方法

Country Status (1)

Country Link
JP (1) JP2005140710A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7983858B2 (en) 2007-08-22 2011-07-19 Semiconductor Technology Academic Research Center Fault test apparatus and method for testing semiconductor device under test using fault excitation function
CN112765928A (zh) * 2019-11-06 2021-05-07 瑞昱半导体股份有限公司 测试图样产生方法以及失效模型产生方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7983858B2 (en) 2007-08-22 2011-07-19 Semiconductor Technology Academic Research Center Fault test apparatus and method for testing semiconductor device under test using fault excitation function
CN112765928A (zh) * 2019-11-06 2021-05-07 瑞昱半导体股份有限公司 测试图样产生方法以及失效模型产生方法

Similar Documents

Publication Publication Date Title
JP4941868B2 (ja) 半導体装置、並びに半導体装置の設計方法、設計装置、および故障検出方法
US20080313517A1 (en) Debug circuit
JP2009109379A (ja) 絶縁検査装置
US6799292B2 (en) Method for generating test pattern for semiconductor integrated circuit and method for testing semiconductor integrated circuit
JP2006292646A (ja) Lsiのテスト方法
JP2009092529A (ja) 半導体回路およびその検査方法
JP2655105B2 (ja) 順序回路の故障箇所推定方法
JP5549622B2 (ja) 故障診断方法、故障診断装置、テストシステム及びプログラム
JP2005140710A (ja) テストパターン生成方法
US11408938B2 (en) Bidirectional scan cells for single-path reversible scan chains
JP4705493B2 (ja) 半導体集積回路
JP2006349548A (ja) 組み込み自己検査回路
JP4020731B2 (ja) 半導体集積回路の故障診断方法
JP2008527322A (ja) 回路配置並びにその検査および/または診断方法
JP2005043274A (ja) 故障モード特定方法及び故障診断装置
JP2000304829A (ja) 半導体検査方法
JP2008026074A (ja) Icテスト方法及びic
JP2715989B2 (ja) Iddqを用いたCMOS論理回路の故障箇所の絞り込み方法
JP3139543B2 (ja) 多重故障を有するcmoslsiにおける故障ブロックの特定化方法
JP2011060117A (ja) 半導体集積回路設計支援装置および半導体集積回路の設計方法
JPH0815388A (ja) 検査系列生成方法及び検査系列生成装置
JPH05281307A (ja) 半導体回路
JPH0259967A (ja) テスト容易化回路設計方法
JP2007178255A (ja) 半導体集積回路およびその試験方法
Mumtaz et al. Embedded Test for Highly Accurate Defect Localization