JP2005109474A - 均一なミスフィット転位密度を含む緩和SiGe被膜上の引っ張り歪みシリコンおよびその形成方法 - Google Patents

均一なミスフィット転位密度を含む緩和SiGe被膜上の引っ張り歪みシリコンおよびその形成方法 Download PDF

Info

Publication number
JP2005109474A
JP2005109474A JP2004275965A JP2004275965A JP2005109474A JP 2005109474 A JP2005109474 A JP 2005109474A JP 2004275965 A JP2004275965 A JP 2004275965A JP 2004275965 A JP2004275965 A JP 2004275965A JP 2005109474 A JP2005109474 A JP 2005109474A
Authority
JP
Japan
Prior art keywords
sige layer
layer
atoms
silicon
uniformly distributed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004275965A
Other languages
English (en)
Inventor
Dureseti Chidambarrao
ドゥレセティ・チダムバラオ
Omer H Dokumaci
オメル・エイチ・ドクメチ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005109474A publication Critical patent/JP2005109474A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

【課題】緩和SiGe層を製造する有効な方法を提供すること。
【解決手段】圧縮歪みSiGe層がシリコン基板上に形成される。SiGe層上に原子をイオン注入してEOR損傷を与える。この歪みSiGe層を緩和するためにアニールを実施する。このアニール中、格子間型転位ループ(interstitial dislocation loop)がSiGe層内に均一に分布するものとして形成される。この格子間型転位ループが、SiGe層とシリコン基板の間でのミスフィット転位の核生成の基礎となる。格子間型転位ループが均一に分布するので、このミスフィット転位も均一に分布し、それによってSiGe層が緩和される。引っ張り歪みシリコン層が緩和SiGe層上に形成される。
【選択図】図3

Description

本発明は、デバイス性能が改善された半導体デバイスの製造方法に関し、より詳細には、緩和SiGe被膜の形成方法に関する。
超大規模集積回路半導体デバイスに対するエスカレートする要求によって、高性能・高密度のトランジスタの必要性が絶えず増大している。デバイスの小型化が限界に達しているので、デバイス性能を改善させる新材料および新方法が求められる趨勢になっている。最も直接的な性能改善の方法の1つは、移動度を向上させることによるものである。半導体の格子構造に応力または歪みを与えるとデバイス性能を改善できることが知られている。たとえば、2軸に歪んだ(たとえば膨張した格子の)シリコン基板上に形成されたN型デバイスは、歪みのないシリコン基板(すなわち膨張のない格子構造)上に形成された他のN型デバイスよりも優れたデバイス性能を示す。長手方向(電流の方向)に圧縮歪みがかかるP型デバイスも、このような歪みのないシリコン基板上に形成された他のP型デバイスよりも優れたデバイス性能を示す。このP型デバイスは2軸方向の引っ張り歪みが非常に大きい場合でも性能の改善を示す。
あるいは、シリコン基板の上面上で緩和した別のエピタキシャル成長SiGe層上にエピタキシャル成長させたシリコン層(またはキャップ)上にデバイスを形成するときに、デバイスがより優れた性能特性を示すことも知られている。この系ではシリコン・キャップに2軸の引っ張り歪みがかかる。緩和していないSiGe層をSi上にエピタキシャル成長させるときは、このSiGe層はシリコン基板と一致する格子定数を有する。(たとえば高温プロセスによって)緩和されると、SiGeの格子定数は、シリコンのそれよりも大きい固有の格子定数に近づく。完全に緩和したSiGe層はその固有の値に近い格子定数を有する。その上にシリコン層をエピタキシャル成長させるときは、このシリコン層は緩和SiGe層のより大きい格子定数と合致し、そのため、その上に形成されたシリコン層に物理的な2軸の応力(たとえば膨張)を及ぼす。このシリコン層に及ぼされる物理的な応力は、その上に形成されるデバイス(たとえば、CMOSデバイス)にとって有利である。というのは、シリコン層が膨張するとN型デバイスの性能が改善し、それによってSiGe層内のGe濃度が高まるとP型デバイスの性能が改善するからである。
Si基板上のSiGeの緩和は、ミスフィット転位(misfit dislocation)の形成によって生じる。完全に緩和した基板では、応力を緩和するミスフィット転位の均一な間隔のグリッド(grid)を想定することができる。このミスフィット転位は、基板内に余剰な半平面をもたらすことにより、SiGe層内の格子定数がその固有値になろうとするのを助長する。次いで、SiGe/シリコン界面に沿ったミスマッチ歪みは吸収され、SiGeの格子定数は大きくなることができる。
しかし、この従来の手法の問題点は、SiGe層とシリコン基板層の間の貫通転位を回避しながら表面部分上にミスフィット転位を実現し、それによって多層SiGe層の表面上に緩和SiGe構造を実現するには、非常に厚い(たとえば、約5000Å〜約15000Å)多層のSiGeバッファ層が必要なことである。この手法では、製造時間および製造コストもかなり増大する。さらに、厚い勾配付きSiGeバッファ層はシリコン・オン・インシュレータ(SOI)に容易には適用できない。というのは、シリコン・オン・インシュレータでは、SOIの利点が有効になるためにシリコンの厚さが1500Å以下でなければならないからである。このSiGeバッファ層構造は厚すぎる。
別の問題点は、SiGe層とシリコン・エピタキシャル層の間に形成されたミスフィット転位が、ランダムで極めて不均一であり、かつ異成分核生成が容易に制御できないために容易に制御できないことである。ミスフィット転位の密度も場所ごとにかなり異なる。したがって、この不均一なミスフィット転位から引き起こされる物理的な応力も、シリコン・エピタキシャル層中で極めて不均一であり、この不均一な応力が性能に対する不均一な利点により大きなばらつきを与える。さらに、ミスフィット密度が高い場所では、欠陥が、デバイス端子の短絡および他の重大なリーク機構によってデバイス性能を低下させる。
したがって、緩和SiGe層を製造する有効な方法が必要とされている。
したがって、本発明の一態様においては、半導体デバイスの製造方法を提供することを目的とする。まず、シリコン基板上に圧縮歪みSiGe層を形成する。このSiGe層中で均一に分布した格子間型転位ループ(interstitial dislocation loop)を形成するように原子をイオン注入する。SiGe/Si界面に均一に分布したミスフィット転位を形成するためにアニールを実施する。
本発明の別の一態様においては、半導体基板を形成する方法が提供される。SiGe層をシリコン基板上に形成するが、SiGe層には圧縮歪みがかかっている。SiGe層内に均一に分布するEOR(end-of-range)損傷を与えるように、SiGe層上に原子を制御可能にイオン注入する。SiGe層中に分布した格子間型転位ループを形成するために、アニールを実施する。均一に分布した格子間型転位ループは、SiGe層内に均一に分布するミスフィット転位を核生成させる。膨張歪みシリコン層が、SiGe層上に形成される。
本発明のさらに別の一態様は、シリコン基板を有する半導体デバイスである。緩和SiGe層をシリコン基板上に形成するか、このSiGe層は均一に分布したミスフィット転位を含む。膨張歪みシリコン層が、SiGe層上に形成される。
上記のこの利点は、図面を参照しながら、以下の好ましい発明の実施形態についての詳細な説明を読めばよりよく理解されよう。
本発明は、その上に形成されるデバイスの性能を改善させる膨張歪みシリコン層を提供する方法を提供する。この歪みシリコン層は、緩和SiGe層上にシリコンをエピタキシャル成長させることによって形成される。この緩和SiGe層は、シリコン基板上に形成され最初は圧縮歪みがかかったSiGe層中に均一に分布したミスフィット転位を形成することによって形成される。ミスフィット転位の核生成は格子間型転位ループによって大きく影響される。すなわち、本発明においては、SiGe層中のミスフィット転位の転位および核生成密度を制御するために、この格子間型転位ループがSiGe層中の所望の場所に所望の密度で形成される。したがって、圧縮歪みSiGe層は、ミスフィット転位の核生成によって緩和される。SiGe層が緩和されているので、その上に形成されるシリコン層は緩和SiGe層のより大きい格子定数に膨張によって合致するように形成される。その結果、このシリコン層に2軸の引っ張り歪みがかかり、そのためその上に形成されるデバイスの性能が改善する。
図1はシリコン基板10上に形成されたSiGe層12を示す。一実施形態では、このSiGe層12は約100Å〜10000Åの厚さにエピタキシャル成長させることによって形成される。すなわち、本発明では、従来技術と違って緩和SiGe層を達成するために厚い多層のSiGe層を形成する必要はない。シリコン基板10の格子定数は、固有の緩和されていないSiGe層の格子定数よりも小さい。したがって、SiGe層12をエピタキシャル成長させるとき、SiGe層12に2軸の圧縮歪みがかかる。というのは、SiGe層12のより大きい格子構造がシリコン基板10のより小さい格子構造に調和するように、下地のシリコン層がこのエピタキシャル成長を制約するからである。
図2では、矢印「A」で示すように、原子が、SiGe層12の上表面部分をアモルファス化させるのに十分な注入濃度およびエネルギで、SiGe層12上に制御可能にイオン注入される。GeやSiなどどんな中性のアモルファス化原子もイオン注入原子として使用することができる。その結果、アモルファス層14がSiGe層12の上表面領域上に形成される。一実施形態においては、このアモルファス層14は、SiGe層の厚さの約1/3である約30Å〜300Åの厚さに形成される。GeまたはSiの代わりにHe、Ar等の希ガスを使用することも可能であるが、注入量を多くしなければならず、他の望ましくないリークの問題を引き起こすことがある。
イオン注入中、原子はSiGe層12の格子構造に衝突しアモルファス化を起こす。一実施形態では、アモルファス化のために約3×1014原子/cmの不純物濃度でGeをイオン注入する。このアモルファス化されたシリコン/SiGe材料をアニールして、SiGe層12にEOR(end-of-range)損傷を形成する。このEOR損傷はアニール中に損傷部から合体した格子間型ループからなる。これらは比較的安定で、約100Å〜500Åの寸法を有し、かつ比較的均一な密度を有する。
このEOR損傷は、アモルファス領域14とSiGe層12の界面から下方にSiGe層12とシリコン基板10の界面までのSiGe層12中に埋め込まれる。このEOR損傷の位置は、イオン注入濃度およびエネルギを制御することによって正確に調節することができる。すなわち、原子をイオン注入してアモルファス層14を形成するとき、EOR損傷がSiGe層12中に均一に分布するように、注入濃度およびエネルギを制御可能に選択する。たとえば、約1×1014原子/cm〜1×1016原子/cmの注入濃度、約5keV〜100keVの注入エネルギで原子をイオン注入する。後で説明するように、このEOR損傷がミスフィット転位の核生成の基礎となる。
続いて、アモルファス層14を再結晶化させるためにアニールを実施する。一実施形態では、アニールを約500℃〜1100℃の温度で、約1秒〜30分間実施する。アニールをスパイク・アニール、高速熱アニールまたは他のアニール技法によって実施することもできる。図3に示すように、アニール実施の際、EOR損傷に対応するEORの格子間型転位ループ16が形成される。一実施形態では、このEORの格子間型転位ループ16の密度は約1×10ループ/cm〜1×1012ループ/cmである。
SiGe層12をアニールし、アモルファス層14を再結晶させる間に、図3の矢印「B」に示すように、SiGe層12上にかかった圧縮歪みが解放され、SiGe層12は緩和される。歪みSiGe層12が緩和されるとき、SiGe層12の緩和により、SiGe層12とシリコン基板10の界面にミスフィット転位が生じる。ここでミスフィット転位が形成されるとき、EOR格子間型転位ループ16がミスフィット転位の核生成の基礎となる。すなわち、ミスフィット転位18は、所望の場所に所望の密度で均一に分布するEOR格子間型転位ループ16の強い影響の下で核生成される。
一実施形態では、SiGe層内のこのミスフィット転位の密度は、約1×10#/cm〜1×1012#/cmである。図4には、ミスフィット転位18が2つの隣接したEOR格子間型転位ループ16を接続する線に沿って均一に形成される例を示す。図4はさらに、圧縮応力を均一に緩和させるグリッドの形をなすミスフィット転位18を示す。本発明によれば、より多くのミスフィット転位を形成することによって緩和を増大させることができる。これはEOR格子間型転位ループ16の密度を増大させることによって達成される。というのはミスフィット転位の核生成はEOR格子間型転位ループ16に大きく影響されるからである。
図5は緩和SiGe層12上に形成されたシリコン層20を示す。一実施形態では、このシリコン層20は、SiGe層12上にエピタキシャル成長させることによって形成される。緩和SiGe層12はシリコンよりも格子定数が大きいので、シリコン層20は緩和SiGe層12のより大きい格子定数に合致するようにSiGe層12上に形成される。これによって、シリコン層20に2軸の引っ張り歪みがかかる。
図示していないが、従来の処理ステップを実施して2軸に引っ張り歪みがかかったシリコン層20上にデバイスを形成する。たとえば、間にゲート酸化膜を有するゲート構造をこのシリコン層20上に形成する。不純物原子をイオン注入することによって、膨張歪みシリコン層20上にソースおよびドレイン領域を形成する。引っ張り歪みシリコン層は基板として働きデバイス性能を改善する。
上述の実施形態では、SiGe層12を基板10上に形成した後に原子をイオン注入する。しかし、SiGe層12を形成する前にシリコン基板10上に原子をイオン注入することもできる。代わりに、SiGe層12上にシリコン層20を形成した後にイオン注入を実施することもできる。これらの場合においてもやはり、シリコンの緩和の程度は増大するはずである。
これまでに説明したように、本発明によれば、下地のSiGe層12が緩和することによってシリコン層20に膨張歪みがかかる。この緩和は、圧縮歪みSiGe層12中に均一に分布したミスフィット転位を形成することによって生じる。このミスフィット転位はEOR格子間型転位ループ16の強い影響の下で核生成するので、本発明ではこのEOR格子間型転位ループ16を所望の場所に所望の密度で形成する。SiGe層に均一に分布したEOR損傷を形成するように原子を制御可能にイオン注入することによって、EOR格子間型転位ループ16の均一な分布が実現される。また本発明では、貫通転位(threading dislocation)を回避するために厚い多層のSiGe層を形成する必要もない。したがって、本発明は、引っ張り歪みシリコン層を形成する時間およびコスト効率のよい方法を提供する。
本発明を実施形態について説明してきたが、本発明は添付の特許請求の範囲の趣旨および範囲内で修正を加えて実施できることは当業者には理解されよう。
本発明の一実施形態による方法の逐次の段階を示す図である。 本発明の一実施形態による方法の逐次の段階を示す図である。 本発明の一実施形態による方法の逐次の段階を示す図である。 本発明の一実施形態による方法の逐次の段階を示す図である。 図3に示す半導体デバイス構造のアニール実施後の上面図である。
符号の説明
10 シリコン基板
12 SiGe層
14 アモルファス層
16 格子間型転位ループ
18 ミスフィット転位
20 シリコン層

Claims (20)

  1. 圧縮歪みSiGe層をシリコン基板上に形成するステップと、
    前記SiGe層中に均一に分布した格子間型転位ループを形成するように原子をイオン注入するステップと、
    前記SiGe層中に均一に分布したミスフィット転位を形成するためにアニールするステップとを含む、半導体デバイスの製造方法。
  2. 前記SiGe層を形成するステップが、前記シリコン基板上にSiGe層をエピタキシャル成長させるステップを含む、請求項1に記載の方法。
  3. 前記SiGe層が約100Å〜10000Åの厚さで形成される、請求項2に記載の方法。
  4. 前記SiGe層上に引っ張り歪みシリコン層を形成するステップをさらに含む、請求項1に記載の方法。
  5. 前記原子をイオン注入するステップが前記SiGe層内にEOR損傷を引き起こす、請求項1に記載の方法。
  6. 前記原子をイオン注入するステップが前記SiGe層の表面部分内にアモルファス層を形成させる、請求項1に記載の方法。
  7. 前記原子がGeまたはSiである、請求項1に記載の方法。
  8. 前記原子が、約1×1014原子/cm〜1×1016原子/cmの注入濃度、約5KeV〜100KeVの注入エネルギでイオン注入される、請求項1に記載の方法。
  9. 前記アニール・ステップが、約500℃〜1100℃の温度で約1秒〜30分間、実施される、請求項1に記載の方法。
  10. 前記格子間型転位ループの密度が、約1×10ループ/cm〜1×1012ループ/cmである、請求項1に記載の方法。
  11. 前記ミスフィット転位の密度が、約1×10#/cm〜1×1012#/cmである、請求項10に記載の方法。
  12. 圧縮歪みSiGe層をシリコン基板上に形成するステップと、
    前記SiGe層上に制御可能に原子をイオン注入し、それによってその内部に均一に分布したEORを生じさせるステップと、
    前記SiGe層中に均一に分布した格子間型転位ループを形成するためにアニールするステップであって、前記均一に分布した格子間型転位ループが前記SiGe層中に均一に分布したミスフィット転位を核生成させるステップと、
    前記SiGe層上に引っ張り歪みシリコン層を形成するステップとを含む、半導体基板の形成方法。
  13. 前記原子をイオン注入するステップが前記SiGe層の表面部分内にアモルファス層を形成させる、請求項12に記載の方法。
  14. 前記原子がGeまたはSiである、請求項12に記載の方法。
  15. 前記原子が、約1×1014原子/cm〜1×1016原子/cmの注入濃度、約5KeV〜100KeVの注入エネルギでイオン注入される、請求項12に記載の方法。
  16. 前記アニール・ステップが、約500℃〜1100℃の温度で約1秒〜30分間、実施される、請求項12に記載の方法。
  17. シリコン基板と、
    前記シリコン基板上に形成され、均一に分布したミスフィット転位を含む緩和SiGe層と、
    前記緩和SiGe層上に形成され引っ張り歪みを受けたシリコン層とを備える、半導体デバイス。
  18. 前記SiGe層中の前記ミスフィット転位の密度が、約1×10#/cm〜1×1012#/cmである、請求項17に記載の方法。
  19. 前記ミスフィット転位が、上から見てグリッド形状に配列されている、請求項17に記載の方法。
  20. 前記SiGe層が約100Å〜10000Åの厚さで形成される、請求項17に記載の方法。
JP2004275965A 2003-09-23 2004-09-22 均一なミスフィット転位密度を含む緩和SiGe被膜上の引っ張り歪みシリコンおよびその形成方法 Pending JP2005109474A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/667,603 US6872641B1 (en) 2003-09-23 2003-09-23 Strained silicon on relaxed sige film with uniform misfit dislocation density

Publications (1)

Publication Number Publication Date
JP2005109474A true JP2005109474A (ja) 2005-04-21

Family

ID=34313339

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004275965A Pending JP2005109474A (ja) 2003-09-23 2004-09-22 均一なミスフィット転位密度を含む緩和SiGe被膜上の引っ張り歪みシリコンおよびその形成方法

Country Status (3)

Country Link
US (2) US6872641B1 (ja)
JP (1) JP2005109474A (ja)
CN (1) CN1326207C (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007227887A (ja) * 2006-01-17 2007-09-06 Soi Tec Silicon On Insulator Technologies Sa 半導体材料で作られた基板の表面上または基板内の歪みを調節するプロセス
JP2009503813A (ja) * 2005-07-22 2009-01-29 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア シリコンゲルマニウムバッファ層における転位位置を制御するための方法
JP2010532585A (ja) * 2007-06-29 2010-10-07 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッド 高ドープ基板の拡散制御

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7482252B1 (en) * 2003-12-22 2009-01-27 Advanced Micro Devices, Inc. Method for reducing floating body effects in SOI semiconductor device without degrading mobility
US7482214B2 (en) * 2003-12-30 2009-01-27 Texas Instruments Incorporated Transistor design and layout for performance improvement with strain
EP1571241A1 (en) * 2004-03-01 2005-09-07 S.O.I.T.E.C. Silicon on Insulator Technologies Method of manufacturing a wafer
GB2418531A (en) * 2004-09-22 2006-03-29 Univ Warwick Formation of lattice-tuning semiconductor substrates
GB0424290D0 (en) * 2004-11-02 2004-12-01 Koninkl Philips Electronics Nv Method of growing a strained layer
US7294848B2 (en) * 2005-05-26 2007-11-13 Avago Technologies General Ip (Singapore) Pte. Ltd. Light-emitting Group IV semiconductor devices
US7247885B2 (en) * 2005-05-26 2007-07-24 Avago Technologies General Ip (Singapore) Ltd. Pte. Carrier confinement in light-emitting group IV semiconductor devices
US7560326B2 (en) * 2006-05-05 2009-07-14 International Business Machines Corporation Silicon/silcion germaninum/silicon body device with embedded carbon dopant
CN102590936B (zh) * 2011-01-10 2013-04-24 中国科学院上海微系统与信息技术研究所 锗悬浮膜式二维光子晶体微腔及制备方法
CN102590935B (zh) * 2011-01-10 2013-04-24 中国科学院上海微系统与信息技术研究所 锗悬臂梁式二维光子晶体微腔及制备方法
JP6247181B2 (ja) * 2014-09-05 2017-12-13 東京エレクトロン株式会社 シリコン又はゲルマニウム又はシリコンゲルマニウム膜の成膜方法および成膜装置
US9761699B2 (en) 2015-01-28 2017-09-12 International Business Machines Corporation Integration of strained silicon germanium PFET device and silicon NFET device for finFET structures
US9472575B2 (en) 2015-02-06 2016-10-18 International Business Machines Corporation Formation of strained fins in a finFET device
US9472671B1 (en) 2015-10-31 2016-10-18 International Business Machines Corporation Method and structure for forming dually strained silicon
US9831324B1 (en) 2016-08-12 2017-11-28 International Business Machines Corporation Self-aligned inner-spacer replacement process using implantation
CN108110618A (zh) * 2016-11-25 2018-06-01 中国科学院半导体研究所 一种多波长硅基微腔激光器阵列及其制备方法
US10679901B2 (en) 2018-08-14 2020-06-09 International Business Machines Corporation Differing device characteristics on a single wafer by selective etch

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001110725A (ja) * 1999-10-12 2001-04-20 Hitachi Ltd ヘテロ構造半導体多層薄膜の製造方法
JP2002270504A (ja) * 2001-03-06 2002-09-20 Sharp Corp 半導体基板、半導体装置及びそれらの製造方法

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
ATE59917T1 (de) 1985-09-13 1991-01-15 Siemens Ag Integrierte bipolar- und komplementaere mostransistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
US4860067A (en) * 1986-06-20 1989-08-22 International Business Machines Corporation Semiconductor heterostructure adapted for low temperature operation
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5084411A (en) * 1988-11-29 1992-01-28 Hewlett-Packard Company Semiconductor processing with silicon cap over Si1-x Gex Film
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
JPH03116820A (ja) * 1989-09-29 1991-05-17 Shin Etsu Handotai Co Ltd ミスフィット転位制御方法
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5097308A (en) * 1990-03-13 1992-03-17 General Instrument Corp. Method for controlling the switching speed of bipolar power devices
US5102810A (en) * 1990-03-13 1992-04-07 General Instrument Corp. Method for controlling the switching speed of bipolar power devices
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
CA2062134C (en) * 1991-05-31 1997-03-25 Ibm Heteroepitaxial layers with low defect density and arbitrary network parameter
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US6039803A (en) * 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5888885A (en) * 1997-05-14 1999-03-30 Lucent Technologies Inc. Method for fabricating three-dimensional quantum dot arrays and resulting products
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
WO1999053539A1 (en) * 1998-04-10 1999-10-21 Massachusetts Institute Of Technology Silicon-germanium etch stop layer system
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
DE19859429A1 (de) * 1998-12-22 2000-06-29 Daimler Chrysler Ag Verfahren zur Herstellung epitaktischer Silizium-Germaniumschichten
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6969875B2 (en) * 2000-05-26 2005-11-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6583015B2 (en) * 2000-08-07 2003-06-24 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6784074B2 (en) * 2001-05-09 2004-08-31 Nsc-Nanosemiconductor Gmbh Defect-free semiconductor templates for epitaxial growth and method of making same
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6831292B2 (en) 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
JP2003128494A (ja) * 2001-10-22 2003-05-08 Sharp Corp 半導体装置の製造方法及び半導体装置
JP3970011B2 (ja) * 2001-12-11 2007-09-05 シャープ株式会社 半導体装置及びその製造方法
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US6746902B2 (en) * 2002-01-31 2004-06-08 Sharp Laboratories Of America, Inc. Method to form relaxed sige layer with high ge content
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
EP1530800B1 (en) * 2002-08-23 2016-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US7594967B2 (en) * 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6734527B1 (en) * 2002-12-12 2004-05-11 Advanced Micro Devices, Inc. CMOS devices with balanced drive currents based on SiGe
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6995427B2 (en) * 2003-01-29 2006-02-07 S.O.I.Tec Silicon On Insulator Technologies S.A. Semiconductor structure for providing strained crystalline layer on insulator and method for fabricating same
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7354806B2 (en) 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods
US7186626B2 (en) * 2005-07-22 2007-03-06 The Regents Of The University Of California Method for controlling dislocation positions in silicon germanium buffer layers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001110725A (ja) * 1999-10-12 2001-04-20 Hitachi Ltd ヘテロ構造半導体多層薄膜の製造方法
JP2002270504A (ja) * 2001-03-06 2002-09-20 Sharp Corp 半導体基板、半導体装置及びそれらの製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009503813A (ja) * 2005-07-22 2009-01-29 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア シリコンゲルマニウムバッファ層における転位位置を制御するための方法
KR101018532B1 (ko) * 2005-07-22 2011-03-03 더 리전트 오브 더 유니버시티 오브 캘리포니아 실리콘 게르마늄 버퍼층 내에서 전위(轉位)의 위치를제어하기 위한 방법
JP2007227887A (ja) * 2006-01-17 2007-09-06 Soi Tec Silicon On Insulator Technologies Sa 半導体材料で作られた基板の表面上または基板内の歪みを調節するプロセス
JP2010532585A (ja) * 2007-06-29 2010-10-07 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッド 高ドープ基板の拡散制御

Also Published As

Publication number Publication date
US20050064686A1 (en) 2005-03-24
CN1326207C (zh) 2007-07-11
US6872641B1 (en) 2005-03-29
US7964865B2 (en) 2011-06-21
US20050164477A1 (en) 2005-07-28
CN1601699A (zh) 2005-03-30

Similar Documents

Publication Publication Date Title
US7964865B2 (en) Strained silicon on relaxed sige film with uniform misfit dislocation density
US7968459B2 (en) Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
US6703293B2 (en) Implantation at elevated temperatures for amorphization re-crystallization of Si1-xGex films on silicon substrates
KR101137155B1 (ko) 리트로그레이드 탄소 프로파일을 가지는 저결함 si:c 층
JP5039912B2 (ja) ヘテロ集積型歪みシリコンn型MOSFET及びp型MOSFET及びその製造方法
US6972247B2 (en) Method of fabricating strained Si SOI wafers
US8772095B2 (en) Method of manufacturing semiconductor device using stress memorization technique
JP3940412B2 (ja) 欠陥性半導体結晶材料の品質改善方法
WO2006007081A2 (en) Method of making a semiconductor device having a strained semiconductor layer
US7297601B2 (en) Method for reduced N+ diffusion in strained Si on SiGe substrate
US9460923B2 (en) Method of forming a strained silicon layer
US8361868B2 (en) Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
JP2008519428A (ja) 歪み層を成長させる方法
US6793731B2 (en) Method for recrystallizing an amorphized silicon germanium film overlying silicon
US20160118255A1 (en) Methods of forming strained epitaxial semiconductor material(s) above a strain-relaxed buffer layer
US9059201B2 (en) Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US20040247894A1 (en) Strained-silicon for CMOS device using amorphous silicon deposition or silicon epitaxial growth

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080722

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080925

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080925

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20080925

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080925

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20090108

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20090115

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20090126

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20090212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090303

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090521

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090521

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20090728

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20090730

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090728

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090915

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091222

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20091222

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091222

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20100401