JP2004537857A - 選択性の金属酸化物除去 - Google Patents

選択性の金属酸化物除去 Download PDF

Info

Publication number
JP2004537857A
JP2004537857A JP2003517929A JP2003517929A JP2004537857A JP 2004537857 A JP2004537857 A JP 2004537857A JP 2003517929 A JP2003517929 A JP 2003517929A JP 2003517929 A JP2003517929 A JP 2003517929A JP 2004537857 A JP2004537857 A JP 2004537857A
Authority
JP
Japan
Prior art keywords
metal oxide
oxide layer
semiconductor substrate
forming
halide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003517929A
Other languages
English (en)
Other versions
JP4377686B2 (ja
Inventor
シー. ホッブス、クリストファー
ジェイ. トビン、フィリップ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JP2004537857A publication Critical patent/JP2004537857A/ja
Application granted granted Critical
Publication of JP4377686B2 publication Critical patent/JP4377686B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

ゲート誘電体(22)として使用される金属酸化物は、rfせずに気体HClを加熱をして除去される。好ましくはハフニウム酸化物である金属酸化物は、ゲート電極(18)の下部以外の領域で効率よく除去される。HClを使用すると、除去されない金属酸化物(16)の下部に境界面酸化物(25)が形成される。金属を除去するためにその境界面酸化物は除去されて、他の境界面酸化物層(27)によって置換される。その次に行われる注入工程は、境界面酸化物層(27)のみを通過し、金属層は通過しない。従って、金属酸化物を介して注入する際の問題点が回避される。

Description

【技術分野】
【0001】
この明細書は、2001年10月9日に公布された、ホブス(Hobbs)等に付与された米国特許第6,300,202号「金属酸化物誘電体の選択的除去」、に関する。
【背景技術】
【0002】
ゲート絶縁層として使用される高k(誘電率)誘電体において、最も一般的なタイプの高k誘電体は金属酸化物である。これらの金属酸化物は、歴史的なゲート絶縁層であるシリコン酸化物よりもはるかに高い誘電定数を有する。これらの金属酸化物の開発において、それらの金属酸化物を介して、注入法でソース/ドレインをドーピングすることが問題になってきた。すなわち、金属酸化物が、注入されるドーパントを吸収する、或いはドーパントの進行を妨げるので、これらの金属酸化物を介した注入は困難になってきた。その結果、ソース/ドレイン領域は、好ましいことではないが、浅くなり、PN接合が急峻ではなくなる。好ましい深さのソース/ドレインを達成するために注入エネルギーを増大させても、形成されるPN接合の急峻性は減退したままである。急峻でないPN接合の問題点は、低ドーピング濃度の領域が広くなることと電流漏れの増加による、ドーピング領域の電気抵抗の増加である。電流漏れの増加は、広い欠陥領域を更に広げて包み込む減損領域による。
【0003】
更に、ソース/ドレインに接触するためには、金属酸化物は完全に除去されなければならない。
【発明の開示】
【発明が解決しようとする課題】
【0004】
金属酸化物を介した注入法のこの問題点を克服するためには、ソース/ドレイン注入をする前に、金属酸化物を除去しなければならない。しかしながら、この金属酸化物の除去は、制御が困難である。金属酸化物のエッチング時間が長すぎると、下部の境界酸化物が除去されて、下部のシリコンに窪みができる。従って、シリコン基板に窪みができない、金属酸化物除去法を実現する必要がある。
【課題を解決するための手段】
【0005】
本発明を限定ではない添付図で例示する。同様な参照は同様な部品を示す。
図中の部品は、簡単化と明確化のために、必ずしもスケール通りにはなっていない。例えば、本発明の実施例の理解を促進するために、図中の幾つかの部品の寸法は、他の部品と比較して誇張されている。
【0006】
本発明の実施例において、放射加熱しながら、金属酸化物層上に無水塩酸(HCl)を流すことによって、金属酸化物の選択的除去を達成した。金属酸化物は効果的に除去された。ゲート電極の下部にある境界の酸化物層は維持されて、その下部にあるシリコンは保護された。高周波電磁波を使用せずに、HClをウエハ上に流した。この場合、高周波は無線周波数またはマイクロ波放射を意味し、ここでは「rf」と記する。
【発明を実施するための最良の形態】
【0007】
図1は、シリコン基板12、境界酸化物層14、金属酸化物層16、ゲート電極18、および、反射防止コーティング(ARC)20、からなる素子構造10を示す。ゲート電極18のすぐ下の領域は、程度の高いカプリングを有する臨界領域であり、境界酸化物層14が薄くなることが重要な領域である。金属酸化物16は、約30オングストローム厚のハフニウム酸化物が望ましい。ゲート電極18は、適当なゲート材料でよいが、ポリシリコンが望ましい。ARC20は、適当な反射防止コーティング材料でよいが、シリコンの多い窒化物が望ましい。境界酸化物層14は、ゲート電極18の下部では、ゲート電極18の下部ではないソース/ドレイン領域中よりも著しく薄い。ゲート電極18は、酸素に対するマスクとしての役割を果たすので、ゲート電極の下部の領域の境界酸化物層14の更なる成長を阻止する。
【0008】
図2は、ゲート電極18の下部以外の領域の金属酸化物16を除去した後の素子構造10を示す。残された金属酸化物16の部分を、金属酸化物層22として示したが、ゲート電極18の下部のみに存在する。金属酸化物16は、図8に示すような反応チャンバ内で選択的に除去される。
【0009】
図8は、反応チャンバ24、塩化水素源28、支持台30、放射源32、および、上述の素子構造10を有するウエハ34、からなる装置26を示す。ウエハ34は、支持台30上に置かれ、放射源32で加熱される。ウエハ34が放射熱を受けるのと同時に、HClがウエハ34の上を流れる。HClにはrfエネルギーは印加されない。その結果、露出している金属酸化物16は除去され、境界酸化物層14は保存される。ウエハ34の効果的な加熱域は600〜800℃である。HClの分圧が非常に低い場合は特に、より高温度も有用である。温度が効果的温度領域の最高側にまで上がると、ゲート電極18の下部の金属酸化物が多く除去される傾向にある。これは等方向エッチングにおいて一般的に見られるタイプのアンダーカットである。温度が効果的温度領域の最低側にまで下がると、金属酸化物の除去は遅くなる。金属酸化物の除去速度が良く、ゲート電極18の下部の金属酸化物のアンダーカットが最小になる、良好な温度は650℃である。良好な動作温度域は625〜675℃である。分当たり1標準リッター(SLM)の流速で、50トール(Torr)の圧力で、約60秒間、放射加熱とHClとを組合せて印加した。HClと一緒に、不活性ガスとして、窒素(N2)を9SLM流した。他の不活性ガスも有効である。
【0010】
時間、温度、圧、及び流速の他の組合せでも、大きなアンダーカットなしに金属酸化物を有効に除去するのに有効であることも見出された。
実際には、放射源32によって加熱する前に、HClを流す。別例として、図8に示される放射加熱の代わりに、例えば対流や加熱板との接触によっても加熱される。放射加熱の利点は、比較的急速な上げ下げ時間である。
【0011】
図3は、ARC層20の除去後の素子構造10である。ARC20は、ドライエッチングやウェットエッチングで除去される。ドライエッチングでは、露出している酸化物層14をある程度破壊し、基板12のシリコンにまで達成する可能性もある。この可能性は、ウェットエッチングを使用することによって回避される。他の可能性は、金属酸化物16の選択的除去の前にARC20を除去することである。シリコンの多い窒化珪素で形成されたARC層の有効なウェットエッチングのためには、熱燐酸が効果的である。
【0012】
図4は、弗酸(HF)中に、短時間浸漬した後の素子構造10を示す。HF浸漬の目的は、境界酸化物14を除去することによって、境界酸化物14中に存在する蓄積された金属を除去し、ゲート電極18の下部の薄い境界酸化物層25を残すことである。その時間は約30秒である。その時間はHFの濃度によって調整可能である。その時間は、境界の酸化物層14の除去を確実にするのに十分長く、かつ、下部のシリコン基板12を粗化するほどは長くない時間である。ゲート電極18の下部ではない領域の境界酸化物層14は15〜35オングストロームの範囲である。HF浸漬の後、脱イオン水で素子構造10を洗浄処理し、空中に曝してもよい。その結果を図5に示した。境界酸化物層27を有する素子構造10は、ゲート電極18の下部ではない領域では約8〜15オングストロームの厚さを有する。
【0013】
2つの注入後の素子構造10を図6に示した。注入の一方は、ハロー注入として周知であり、40と42の領域を形成する。他方の注入は、ソース・ドレイン拡張注入であって、領域44と46を形成する。領域44と46は、基板12の導電タイプと反対の導電タイプの領域であり、ハロー領域40と42は、基板12の導電タイプと同じ導電タイプである。基板12は、P−井戸またはN−井戸の典型であり、SOIとして知られる基板に一般的な、絶縁層で覆われている。ハロー領域40と42は、パンチスルーを改善するためである。
【0014】
側壁スペーサ48、50の形成と、ソース・ドレインの重注入後の素子構造10を図7に示した。ソース・ドレインの重注入によって、重いドーピング接触領域52,54が形成された。ゲート電極18の直下部に隣接した領域52,54の部分は、比較的軽くドーピングされる。領域54、52のドーピング濃度は、領域40、42のドーピング濃度より著しく高い。図7に示した素子構造10は、完成されたトランジスタである。
【0015】
HCl以外の無水ハロゲン化物も同様な結果を示す。例えば、気体のHFは、同様な条件で効果的に金属酸化物を除去する。HClに対するHFの問題点は、HFはシリコン酸化物に対する選択性が小さいことである。従って、境界面酸化物14は、金属酸化物を突き抜けた後は、HClよりもHFでの方が、高速で除去される。又、金属弗化物は金属塩化物よりも揮発性が弱いので、金属酸化物の除去には有効ではない。従ってHClは、気体HFよりも使用に好適である。有効な他の気体ハロゲン化物の例は、HI、HBr、I、Br、Cl、およびFである。ハフニウム酸化物は、ポリシリコンに対して安定な高k誘電体として特に利点がある。ハフニウム酸化物は、他の高k誘電体と比較して、ポリシリコンが成膜される際のポリシリコンに対して反応性が弱い。
【0016】
HClにrf活性がないことは利点であった。代表的なプラズマエッチングは塩素ガスを含むrfを使用するが、基板に窪みをもたらした。その窪みは、化学エッチングと区別される物理エッチングの、rfで活性化された塩素による表面衝撃によると考えられる。rfがないと、金属酸化物は化学的除去のみになる。本発明の化学的除去は、気体ハロゲン化物好ましくはHClと、金属酸化物と、が反応して、HClの一部と金属酸化物中の金属との副産物を生成することによると考えられる。又、その副産物は揮発性なので、反応チャンバから容易に除去され、ウエハから離れる。これによって窪みが回避されることは、非常に有益である。なぜならば窪みによって、注入ドーピングが不均一になり、その結果、電気抵抗の上昇や他のトランジスタ性能の劣化をもたらす。
【0017】
特定の実施例に関して、有益性、他の利点、および問題解決法を記載した。しかしながら、有益性、利点、問題解決法、および、すべての有益性、利点、問題解決法をもたらす因子は、すべての請求項の、臨界の必要な、或いは本質的な特徴や要素とし構築されていない。ここで使用される、「からなる」「特徴とする」等の言葉やその変形は非限定的に使用されている。工程、方法、物質、装置等の要素のリストは、これらの要素を含むだけではなくて、リストとして示していない、又は、そのような工程、方法、物質、装置に固有の他の要素も含む。
【図面の簡単な説明】
【0018】
【図1】本発明の好ましい実施例による半導体ウエハの一部の連続断面図。
【図2】本発明の好ましい実施例による半導体ウエハの一部の連続断面図。
【図3】本発明の好ましい実施例による半導体ウエハの一部の連続断面図。
【図4】本発明の好ましい実施例による半導体ウエハの一部の連続断面図。
【図5】本発明の好ましい実施例による半導体ウエハの一部の連続断面図。
【図6】本発明の好ましい実施例による半導体ウエハの一部の連続断面図。
【図7】本発明の好ましい実施例による半導体ウエハの一部の連続断面図。
【図8】図1乃至7に示される断面を達成するために使用される方法の一部を実施するのに有用な装置。

Claims (31)

  1. 半導体基板を設ける工程と、
    該半導体基板の上に金属酸化物層を形成する工程と、
    該金属酸化物層の第1の部分にゲート電極をパターニングする工程と、
    該半導体基板を加熱し、加熱しながら該半導体基板上にハロゲン化物を含む化学物質を流すことによって該金属酸化物の第2の部分を除去する工程であって、該金属酸化物の第2の部分は該金属酸化物層の第1の部分に隣接している、
    ことからなる半導体素子を形成する方法。
  2. 前記ハロゲン化物を含む化学物質は更に水素を含む請求項1に記載の方法。
  3. 前記ハロゲン化物を含む化学物質がHClである請求項2に記載の方法。
  4. 前記金属酸化物層がハフニウム酸化物である請求項1に記載の方法。
  5. ハロゲン化物を含む化学物質を流す前に、パターン化されたゲート電極の上に、パターン化されたARC層を形成する工程と、
    ハロゲン化物を含む化学物質を流した後に、パターン化されたARC層を除去する工程と、
    から更になる請求項1に記載の方法。
  6. 前記金属酸化物層の下部に第1の境界面酸化物層を形成する工程と、
    前記金属酸化物層の第2の部分を除去した後に、第1の境界面酸化物の少なくとも1部を除去する工程と、
    から更になる請求項5に記載の方法。
  7. 第1の境界面酸化物層の少なくとも1部の除去が、水素と弗素とを含む化学物質を使用して行われる請求項6に記載の方法。
  8. 前記半導体基板の上に、第2の境界面酸化物を更に形成する請求項7に記載の方法。
  9. 前記除去する工程が、約625℃と675℃の間の温度で行われる請求項1に記載の方法。
  10. 前記除去する工程が、約50トール(Torr)の圧力で約60秒間行われ、ハロゲン化物を含む化学物質の流速が約1SLMである請求項9に記載の方法。
  11. 前記金属酸化物層の第2の部分の除去が、反応チャンバ内で、rf活性化せずに行われる請求項1に記載の方法。
  12. 加熱が放射源を使用して行われる請求項1に記載の方法。
  13. 半導体基板を反応チャンバ内に設置する工程と、
    金属酸化物層を加熱する工程と、
    加熱しながら塩素含有化学物質を流す工程であって、該塩素含有化学物質は金属酸化物層の一部と反応して副産物を生成し、同副産物は該金属酸化物層からの成分からなることと、
    同副生成物を反応チャンバから除去する工程と、
    からなる半導体基板上の金属酸化物層を除去する方法。
  14. 半導体基板を設ける工程と、
    ハフニウムと酸素とからなる金属酸化物層を該半導体基板上に形成する工程と、
    放射を使用して該半導体基板を加熱し、水素と塩素とを含む化学物質を流すことによって、該金属酸化物層の一部を除去する工程と、
    からなる、半導体素子の形成方法。
  15. 前記半導体基板を、約625℃と675℃の間の温度で加熱する請求項14に記載の方法。
  16. 前記半導体基板がシリコンからなる請求項14に記載の方法。
  17. 金属酸化物層の下部に第1の境界面酸化物層を形成する工程と、
    該金属酸化物層の一部を除去した後に、該第1の境界面酸化物の少なくとも一部を除去する工程と、
    から更になる請求項16に記載の方法。
  18. 前記第1の境界面酸化物層の少なくとも一部を、水素と弗素とを含む化学物質を使用して除去する請求項17に記載の方法。
  19. 前記半導体基板の上に第2の境界面酸化物を更に形成する請求項18に記載の方法。
  20. 前記金属酸化物層の第2の部分の除去を、反応チャンバ内でRF活性化せずに行う請求項14に記載の方法。
  21. 半導体基板を設ける工程と、
    該半導体基板の上部に金属酸化物層を形成する工程と、
    該半導体基板を加熱し、気体ハロゲン化物を流すことによって、該金属酸化物層の一部を除去する工程と、
    からなる、金属酸化物の形成方法。
  22. 前記気体ハロゲン化物が水素を含む請求項21に記載の方法。
  23. 前記気体ハロゲン化物がHClである請求項22に記載の方法。
  24. 前記気体ハロゲン化物がHFである請求項22に記載の方法。
  25. 前記金属酸化物がハフニウムと酸素とを含む請求項21に記載の方法。
  26. 前記半導体基板の加熱が、625℃と675℃の間の温度で行われる請求項21に記載の方法。
  27. 600℃と800℃の間で加熱された半導体基板の上を、rf活性化せずに気体HClを流す工程によって、半導体基板から、露出された部分およびゲート電極の下部の部分からなる金属酸化物層を選択的に除去する方法。
  28. 前記半導体基板が625℃と675℃との間の温度で加熱される請求項27に記載の方法。
  29. 前記金属酸化物がハフニウム酸化物である請求項28に記載の方法。
  30. 前記半導体基板が放射によって加熱される請求項29に記載の方法。
  31. 前記金属酸化物が酸化物層を覆う請求項30に記載の方法。
JP2003517929A 2001-07-26 2002-06-11 選択性の金属酸化物除去 Expired - Fee Related JP4377686B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/916,023 US6818493B2 (en) 2001-07-26 2001-07-26 Selective metal oxide removal performed in a reaction chamber in the absence of RF activation
PCT/US2002/018475 WO2003012850A1 (en) 2001-07-26 2002-06-11 Selective metal oxide removal

Publications (2)

Publication Number Publication Date
JP2004537857A true JP2004537857A (ja) 2004-12-16
JP4377686B2 JP4377686B2 (ja) 2009-12-02

Family

ID=25436586

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003517929A Expired - Fee Related JP4377686B2 (ja) 2001-07-26 2002-06-11 選択性の金属酸化物除去

Country Status (7)

Country Link
US (1) US6818493B2 (ja)
EP (1) EP1415333A1 (ja)
JP (1) JP4377686B2 (ja)
KR (1) KR100879233B1 (ja)
CN (1) CN1305117C (ja)
TW (1) TW548729B (ja)
WO (1) WO2003012850A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451389B1 (en) * 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6933235B2 (en) * 2002-11-21 2005-08-23 The Regents Of The University Of North Texas Method for removing contaminants on a substrate
CN1320606C (zh) * 2003-03-04 2007-06-06 台湾积体电路制造股份有限公司 一种栅极介电层与改善其电性的方法
JPWO2005013374A1 (ja) * 2003-08-05 2006-09-28 富士通株式会社 半導体装置および半導体装置の製造方法
US6979622B1 (en) 2004-08-24 2005-12-27 Freescale Semiconductor, Inc. Semiconductor transistor having structural elements of differing materials and method of formation
US20060234436A1 (en) * 2005-04-15 2006-10-19 Tseng Hsing H Method of forming a semiconductor device having a high-k dielectric
US7662253B2 (en) * 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
US20100109045A1 (en) * 2008-10-30 2010-05-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing stress-engineered layers
CN103594524A (zh) * 2013-11-25 2014-02-19 杭州士兰集成电路有限公司 肖特基二极管及其制作方法
CN108362988B (zh) * 2018-02-09 2020-12-29 哈尔滨工业大学 一种抑制双极晶体管低剂量率增强效应的方法
US10714486B2 (en) 2018-09-13 2020-07-14 Sandisk Technologies Llc Static random access memory cell employing n-doped PFET gate electrodes and methods of manufacturing the same

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53129971A (en) 1977-04-19 1978-11-13 Matsushita Electric Ind Co Ltd Production of semiconductor device
US4708766A (en) 1986-11-07 1987-11-24 Texas Instruments Incorporated Hydrogen iodide etch of tin oxide
US4834834A (en) * 1987-11-20 1989-05-30 Massachusetts Institute Of Technology Laser photochemical etching using surface halogenation
US5292673A (en) * 1989-08-16 1994-03-08 Hitachi, Ltd Method of manufacturing a semiconductor device
EP0468758B1 (en) * 1990-07-24 1997-03-26 Semiconductor Energy Laboratory Co., Ltd. Method of forming insulating films, capacitances, and semiconductor devices
FR2694131B1 (fr) 1992-07-21 1996-09-27 Balzers Hochvakuum Procede et installation pour la fabrication d'un composant, notamment d'un composant optique, et composant optique ainsi obtenu.
US5300187A (en) 1992-09-03 1994-04-05 Motorola, Inc. Method of removing contaminants
JP3488236B2 (ja) * 1992-12-11 2004-01-19 インテル・コーポレーション 複合ゲート電極を有するmosトランジスタ
US5405491A (en) * 1994-03-04 1995-04-11 Motorola Inc. Plasma etching process
US5776356A (en) 1994-07-27 1998-07-07 Sharp Kabushiki Kaisha Method for etching ferroelectric film
KR0141160B1 (ko) * 1995-03-22 1998-06-01 김광호 강유전체 메모리 장치 및 그 제조방법
US5726102A (en) * 1996-06-10 1998-03-10 Vanguard International Semiconductor Corporation Method for controlling etch bias in plasma etch patterning of integrated circuit layers
US6115281A (en) * 1997-06-09 2000-09-05 Telcordia Technologies, Inc. Methods and structures to cure the effects of hydrogen annealing on ferroelectric capacitors
JPH10340893A (ja) 1997-06-09 1998-12-22 Sony Corp 電子薄膜材料のエッチング方法
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
JP2000058515A (ja) * 1997-08-08 2000-02-25 Mitsui Chemicals Inc 金属酸化物/フォトレジスト膜積層体のドライエッチング方法
US6204130B1 (en) * 1997-08-29 2001-03-20 Advanced Micro Devices, Inc. Semiconductor device having reduced polysilicon gate electrode width and method of manufacture thereof
US6165375A (en) * 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
TW365034B (en) * 1997-10-18 1999-07-21 United Microelectronics Corp Manufacturing method for gates
US6004850A (en) * 1998-02-23 1999-12-21 Motorola Inc. Tantalum oxide anti-reflective coating (ARC) integrated with a metallic transistor gate electrode and method of formation
US6165802A (en) * 1998-04-17 2000-12-26 Symetrix Corporation Method of fabricating ferroelectric integrated circuit using oxygen to inhibit and repair hydrogen degradation
US6130103A (en) * 1998-04-17 2000-10-10 Symetrix Corporation Method for fabricating ferroelectric integrated circuits
US6222240B1 (en) * 1998-07-22 2001-04-24 Advanced Micro Devices, Inc. Salicide and gate dielectric formed from a single layer of refractory metal
US6171934B1 (en) * 1998-08-31 2001-01-09 Symetrix Corporation Recovery of electronic properties in process-damaged ferroelectrics by voltage-cycling
US6162738A (en) 1998-09-01 2000-12-19 Micron Technology, Inc. Cleaning compositions for high dielectric structures and methods of using same
US6204203B1 (en) * 1998-10-14 2001-03-20 Applied Materials, Inc. Post deposition treatment of dielectric films for interface control
DE19856082C1 (de) 1998-12-04 2000-07-27 Siemens Ag Verfahren zum Strukturieren einer metallhaltigen Schicht
US6037268A (en) 1998-12-29 2000-03-14 Lucent Technologies Inc. Method for etching tantalum oxide
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
JP2000353804A (ja) * 1999-06-11 2000-12-19 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100298637B1 (ko) * 1999-06-29 2001-09-22 김충섭 폴리카보네이트 수지의 제조방법
JP3450758B2 (ja) * 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6300202B1 (en) * 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6383873B1 (en) * 2000-05-18 2002-05-07 Motorola, Inc. Process for forming a structure
US6403432B1 (en) * 2000-08-15 2002-06-11 Taiwan Semiconductor Manufacturing Company Hardmask for a salicide gate process with trench isolation
JP2002075972A (ja) 2000-09-04 2002-03-15 Hitachi Ltd 半導体装置の製造方法
US6486080B2 (en) * 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
US6495436B2 (en) * 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US6465853B1 (en) * 2001-05-08 2002-10-15 Motorola, Inc. Method for making semiconductor device
US6413829B1 (en) * 2001-06-01 2002-07-02 Advanced Micro Devices, Inc. Field effect transistor in SOI technology with schottky-contact extensions

Also Published As

Publication number Publication date
US6818493B2 (en) 2004-11-16
TW548729B (en) 2003-08-21
CN1568537A (zh) 2005-01-19
KR20040018515A (ko) 2004-03-03
US20030022432A1 (en) 2003-01-30
CN1305117C (zh) 2007-03-14
KR100879233B1 (ko) 2009-01-20
JP4377686B2 (ja) 2009-12-02
EP1415333A1 (en) 2004-05-06
WO2003012850A1 (en) 2003-02-13
WO2003012850B1 (en) 2003-11-20

Similar Documents

Publication Publication Date Title
US7390708B2 (en) Patterning of doped poly-silicon gates
US6627488B2 (en) Method for fabricating a semiconductor device using a damascene process
US6475888B1 (en) Method for forming ultra-shallow junctions using laser annealing
JP4493259B2 (ja) L字型スペーサを利用する半導体トランジスタの製造方法
JP2008508723A (ja) シリサイド領域を有する半導体デバイスの製造方法
JP4377686B2 (ja) 選択性の金属酸化物除去
US10937661B2 (en) Method for removing silicon oxide and integrated circuit manufacturing process
JP2000323711A (ja) 半導体素子の製造方法
US7259105B2 (en) Methods of fabricating gate spacers for semiconductor devices
TWI241660B (en) Method of forming polysilicon gate structures with specific edge profiles for optimization of LDD offset spacing
TW543102B (en) Manufacturing method of metal-oxide-semiconductor device
US7338870B2 (en) Methods of fabricating semiconductor devices
JPH08167597A (ja) エッチング方法およびエッチング装置
KR100541705B1 (ko) 반도체소자의 제조방법
JP2000311861A (ja) 半導体膜の選択成長方法および半導体装置の製造方法
KR100419068B1 (ko) 모스 트랜지스터 제조 방법
KR100525912B1 (ko) 반도체 소자의 제조 방법
KR100911986B1 (ko) 반도체 소자의 제조 방법
JP2005517285A (ja) 薄い酸化物ライナーを含む半導体デバイスおよびその製造方法
JP2002151682A (ja) 半導体装置及びその製造方法
KR100990145B1 (ko) 리프레쉬 타임을 향상시킨 반도체 소자 제조방법
CN111430293A (zh) 浅沟槽隔离结构制造方法
KR101079873B1 (ko) 반도체 소자의 형성 방법
JP3866167B2 (ja) Mis型半導体装置の作製方法
JP2003297829A (ja) 半導体装置の製造方法及び半導体装置

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040927

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050608

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090519

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090731

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090825

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090911

R150 Certificate of patent or registration of utility model

Ref document number: 4377686

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120918

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130918

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees