JP2005517285A - 薄い酸化物ライナーを含む半導体デバイスおよびその製造方法 - Google Patents

薄い酸化物ライナーを含む半導体デバイスおよびその製造方法 Download PDF

Info

Publication number
JP2005517285A
JP2005517285A JP2003555574A JP2003555574A JP2005517285A JP 2005517285 A JP2005517285 A JP 2005517285A JP 2003555574 A JP2003555574 A JP 2003555574A JP 2003555574 A JP2003555574 A JP 2003555574A JP 2005517285 A JP2005517285 A JP 2005517285A
Authority
JP
Japan
Prior art keywords
oxide liner
substrate
gate electrode
oxide
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003555574A
Other languages
English (en)
Other versions
JP2005517285A5 (ja
Inventor
ルーニング スコット
カドシュ ダニエル
ディー. チーク ジョン
エフ. ビュラー ジェイムズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2005517285A publication Critical patent/JP2005517285A/ja
Publication of JP2005517285A5 publication Critical patent/JP2005517285A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • H01L21/2652Through-implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

半導体デバイスを形成する方法は、基板(30)上のゲート電極(32)と、基板(30)およびゲート電極(32)の上の100Å未満の厚みの酸化物ライナー(34)とを提供する。窒化物層(38)は、酸化物ライナー(34)の上に形成される。窒化物層(38)は、窒化物スペーサ(40)を形成するためにエッチングされ、エッチングは、酸化物ライナー(34)上で停止する。より薄い(例えば、100Å未満の)酸化物ライナー(34)は、ソース/ドレインの拡張部領域(36)およびソース/ドレイン領域(42)中のドーパントが熱処理中に基板(30)に残り、これによってトランジスタの性能の低下を防ぐように、ライナー(34)が熱処理中にドーパントのためのシンクの役割をするのを防ぐ。

Description

本発明は、概して、半導体デバイスの分野に関し、より詳しくは、半導体デバイスにおけるドープ領域の形成に関する。
この数十年以上にわたり、半導体産業は、小さな、高集積(highly-integrated)の電子デバイスを製造する半導体技術の使用による革命を経験した。また、現在使用されている最も一般的な半導体技術は、シリコンベースのものである。
このような半導体デバイスを準備する従来のプロセスは、シリコン基板上にポリシリコン・ゲート層をたい積することを含む。
ポリシリコン・ゲート層は、その後所望の幅にエッチングされる。
基本的に鉛直なサイドウォール(側壁)をゲート電極の表面上に提供するため、異方性エッチングが実行される。
ゲート電極の形成に続いて、典型的に、ソース/ドレインの拡張部のインプラントが実行される。
ポリシリコン・ゲート電極はその電極の真下にある基板をマスクするので、ソース/ドレインの拡張部がゲート電極に隣接するように形成される。
ソース/ドレインの拡張部のインプラントの後、サイドウォール・スペーサがゲート電極の表面上で形成される。
その後、深い(deep)ソース/ドレイン・インプラントのプロセスが、ソース/ドレイン領域を形成するように実行される。
ゲート電極の表面上に形成されるサイドウォール・スペーサは、深いソース/ドレイン・インプラントがサイドウォール・スペーサの真下にある基板にインプラントされないようにするためのマスクとして働く。
このプロセスによって、深いソース/ドレイン領域は、スペーサの幅によってゲート電極から分離される。
インプラント・プロセスが完了した後、アニーリング・ステップが、インプラントされたドーパントを活性化する。
サイドウォール・スペーサは、典型的に、基板およびゲート電極の表面上にたい積される窒化シリコンのような絶縁層をエッチングすることにより、ゲート電極の表面上のサイドウォール上に形成される。
本来の絶縁層より前にたい積され、窒化シリコンのサイドウォール・スペーサのエッチング中にエッチング停止層として使用するためのライナー酸化物(酸化膜)(liner oxide)を使用することが知られている。
絶縁層の異方性エッチングは、窒化シリコンをエッチングすると共に、ライナー酸化物上で停止し、シリコン基板の不適当な掘り下げ(gouging)を防ぐ。
ライナー酸化物は、典型的に、100Åから300Å、最も一般的には、150Åの厚みでたい積される。
この方法で製造された半導体デバイスを、図1に示す。
半導体デバイスは、基板10、ゲート電極12、ライナー酸化物14、窒化シリコン・スペーサ16、ソース/ドレインの拡張部18および深いソース/ドレイン・領域20を含む。
このような構成および方法の発明者によって認識される問題は、(特に、ソース/ドレインの拡張部18から)半導体デバイスの被覆層(overlayers)への、ドーパントの外方拡散に関係がある。
ドーパントの外方拡散は、ソース/ドレイン間の抵抗を高くすると共に、ドーパントの濃度勾配の傾斜を大きくする(more graded junctions)ことになる。
これらの問題のどちらも、トランジスタの性能を低下させる。
窒化シリコンのサイドウォール・スペーサのエッチングの間、エッチング停止層として使用される酸化物層14は、その後の熱処理の間、ドーパントのシンク(sink)の役割を果たす。このことは、ドーパントが、ソース/ドレインの拡張部18から酸化物ライナー14中に外方拡散することを許可する。
従って、スペーサ・エッチング中の掘り下げを防ぐが、熱処理の間、ドーパントの外方拡散のためのドーパント・シンクとしての働きをしない、エッチング停止層の提供が望まれている。
発明の概要
被覆層に対するドーパントの外方拡散を防止し、さらに、シリコン基板の掘り下げることなくサイドウォール・スペーサをエッチングする、適切なエッチング停止能力を提供する、半導体デバイスを製造する構成および方法が必要とされている。
この要望および他の要望は、基板上にゲート電極を形成するステップと、基板およびゲート電極上に100Å未満の厚みの酸化物ライナーを形成するステップと、を含む、半導体デバイスを形成する方法を提供する、本発明の実施形態によって満たされる。
窒化物層が酸化物ライナー上にたい積され、その窒化物層は、窒化物スペーサを形成するようにエッチングされる。このエッチングは、酸化物ライナー上で停止する。
厚みが100Å未満の酸化物ライナーを使用することは、その層はドーパントのシンクを形成しにくく、より多くのドーパントが基板中に保持されるので、ドーパントの外方拡散を抑える。
この酸化物ライナーが、窒化物層のエッチング中に、さらにエッチング停止機能を果たすことを可能にするために、本発明のある好適な実施形態において、スペーサ形成中にCF4剤を使用する、非常に選択的なドライ・エッチングが使用されてもよい。
ドーパントの外方拡散の抑止、特に、ソース/ドレインの拡張部領域におけるドーパントの外方拡散の抑止は、ソース/ドレインの抵抗を低くし、ドーパントの濃度勾配の傾斜を小さくすることとなり、これによって、トランジスタの性能を改善する。
既に記載した要望はまた、基板、この基板上のゲート電極、およびこの基板上の酸化物ライナーを含んだ半導体デバイスを提供する、本発明の実施形態によって満たされる。酸化物ライナーは、100Å未満の厚みを有する。窒化物サイドウォール・スペーサは、酸化物ライナー上に提供される。
本発明の、前述の構造およびその他の構造、態様および利点は、本発明の以下の詳細な説明および図面から、より明白になる。
本発明の詳細な説明
本発明は、ソース/ドレイン領域の抵抗を高くすると共にドーパントの濃度勾配の傾斜を大きくし、トランジスタの性能を低下させる、熱処理の間における被覆層へのドーパントの外方拡散に関する問題を扱い、解決する。
本発明は、基板およびゲート電極の表面上に100Å未満の厚みの酸化物ライナーを備えた半導体デバイスを形成することによって、これらの問題の一部を解決する。
酸化物ライナー上に形成される窒化物層は、窒化物スペーサを形成するためにエッチングされ、このエッチングは、酸化物ライナー上で停止する。
その後の熱処理の間、あらかじめインプラントされたドーパントの外方拡散は、薄い酸化物ライナーによって抑制される。この薄い酸化物ライナーは、先行技術のように、大きなドーパント・シンクとしては提供されない。
従って、より多くのドーパントが半導体デバイスの基板中に保持される。
このことは、ソース/ドレインの抵抗を低くし、ドーパントの濃度勾配の傾斜を小さくすることとなり、トランジスタの性能を改善する。
図2は、製造における第一段階における半導体デバイスの構造を示す。
この概略図では、例えば、ポリシリコンから構成されたゲート電極32が、基板30上に形成される。
例えばポリシリコン・ゲート電極32または金属ゲート電極は、従来の方法(例えばシリコン基板上にポリシリコン・ゲート層をたい積する)により形成される。シリコン基板上へのポリシリコン・ゲート層のたい積の後には、リゾグラフィまたはエッチング・ステップが行われる。
また、ゲート酸化膜(図示しない)が基板30とポリシリコン・ゲート電極32の間に、ゲート絶縁膜を生成すべく提供されてもよい。
図3に示すように、ゲート電極32が形成された後、酸化物ライナー34がたい積される。
酸化物ライナーを形成する典型的な方法は、当業者に公知のPECVD(plasma enhanced chemical vapor deposition)法による。
酸化物ライナーは、100Å以下、特に好ましい実施形態においては20Åから70Åの厚みにたい積される。
さらに好ましい実施形態においては、酸化物ライナーの厚みは、約45Å未満とされる。この酸化物ライナー34は、ゲート電極32および基板30の表面を被覆する。
図4においては、ゲート電極32に隣接するソース/ドレインの拡張部36を生成すべく、ソース/ドレインの拡張部のインプラントが従来の方式で実行される。
ゲート電極32は、ゲート電極32の真下へのドーパントのインプラントを防ぐように、基板30をマスクする。
図3および図4は、本発明中のプロセス・ステップの順序の一実施形態を示したものであるが、他の実施形態においては、図3および図4のステップが入れ替わり、拡張インプラントが酸化物ライナー34のたい積よりも前に実行される。
図5においては、例えば、窒化シリコンのような絶縁層が、酸化物ライナー34上の層38としてたい積される。
絶縁層38は、CVDによるように、従来の方式でたい積される。
他の材料が絶縁層38において使用されていてもよく、提供されたこのような材料は、酸化物と比較して、高度に選択的にエッチングされる。
図6では、サイドウォール・スペーサ40を形成するように、絶縁層38中の窒化シリコンがエッチングされている。
窒化物のエッチング中に、シリコン基板30を掘り下げることを防ぐエッチング停止の役割を果たすことは、酸化物ライナー34にとって重要である。
この酸化物ライナー34は、従来の先行技術における方法のものよりも薄く形成されているので、オーバ・エッチング(overetching)を防ぐように注意する必要がある。
従って、サイドウォール・スペーサ40を形成するため、高度に選択的なドライ・エッチングが使用される。
このエッチング剤(etch chemistry)は、薄いライナーが適切なエッチング停止層として役立つように、窒化物対酸化物の高い選択性を示さなければならない。典型的なエッチング剤は、CF4を含む。
プラズマエッチングまたはリアクティブイオンエッチングを含む、エッチングのための、他のエッチング剤およびレシピは、CF4/HBr/HeO2およびCl2/HBr/HeO2を含む。
図7は、深いインプラント・プロセスと、その後の熱処理によって、図6の構造にソース/ドレイン領域42が形成された図を示す。
深いソース/ドレインのインプラントの間、サイドウォール・スペーサ40は、サイドウォール・スペーサ40の真下にある基板30に対するドーパントのインプラントを防ぐ、マスクの役割を果たす。
従来の注入量(dosages)、インプラント・エネルギー(implantation energies)およびアニーリングのパラメータを使用してもよい。
熱アニーリングの間、酸化物ライナー34の薄さ(thinnness)が、ライナーがドーパントのシンクとしての役割をすることを基本的に防ぐので、薄い酸化物ライナー34は、ソース/ドレインの拡張部36およびソース/ドレイン領域42へのドーパントの外方拡散を抑える。
従って、より多くのドーパントが基板30中に保持される。
この全般的な影響は、ソース/ドレイン領域42およびソース/ドレインの拡張部36の抵抗を低下させ、ドーパントの濃度勾配の傾斜をより小さくすることである。
これはトランジスタの性能を改善するように作用する。
他の態様においては、ディスポーザブルな(disposable)スペーサ・プロセス用の、エッチング選択性の高いフィルムが提供される。
このプロセスにおいては、酸化ゲルマニウムが、ディスポーザブルなスペーサ材料として使用される。酸化ゲルマニウムは、水に溶ける特性を有するものとして好適である。
酸化ゲルマニウムは、スパッタリング法によって、または、その後の酸化作用を備えるゲルマニウムCVD(Ge CVD)によって、たい積される。
その後、スペーサを形成するために、異方性ドライエッチングされる。
図8aは、酸化物、窒化物またはその他の材料により構成されたライナー52上に、酸化ゲルマニウム・スペーサ50がたい積された後の配置を示す。
ディスポーザブルなスペーサは、異なる方式において使用されてもよい。
典型的な使用は、図8bにおいて記載されるように、スペーサの形成後、深いソース/ドレインのインプラント54を実行することである。
その後、スペーサを除去した後に形成されたソース/ドレインの拡張部は、高温にさらされないので、従来よりも高温のアニール・ステップが実行されてもよい。
スペーサ50は、図8cに示すように、その後取り除かれ、LDDインプラント56および低温度アニーリングが実行される。
酸化ゲルマニウムは、水中で非常に安全に除去することができ、半導体プロセスにおいて従来使用される他の膜から、非常に選択的であるという点で有利である。
本発明は、詳細に記載されると共に図示されたが、これらは単なる実例および例であり、制限的なものではなく、本発明の範囲は、添付された請求項の用語よってのみ制限されるものとして、明白に理解される。
被覆層中へのドーパントの外方拡散を示す、先行技術の方法に従って構成された半導体デバイスの概略的な断面図。 製造の第1段階における、本発明の実施形態に従って製造された半導体デバイスを示す図。 本発明の実施形態に従って、酸化物ライナーを形成した後の図2の構造を示す図。 ソース/ドレインの拡張部のインプラントが、本発明の実施形態に従って実行された後の図3の構造を示す図。 本発明の実施形態に従って、絶縁層がたい積された後の、図4の構造を示す図。 本発明の実施形態に従って、ゲート電極の表面上にサイドウォール・スペーサを形成すべく、絶縁層をエッチングした後の、図5の構造を示す図。 本発明の具体化に従って、半導体デバイスのソース/ドレイン領域を作るために深いソース/ドレインのインプラントが実行された後の、図6の構造を示す図。 発明の実施例に従って、ディスポーザブルなスペーサを使用する、ディスポーザブルなスペーサの形成およびインプラント・プロセスを示す図。 発明の実施例に従って、ディスポーザブルなスペーサを使用する、ディスポーザブルなスペーサの形成およびインプラント・プロセスを示す図。 発明の実施例に従って、ディスポーザブルなスペーサを使用する、ディスポーザブルなスペーサの形成およびインプラント・プロセスを示す図。

Claims (10)

  1. 基板(30)と、
    前記基板(30)上にあるゲート電極(32)と、
    前記基板(32)上にある酸化物ライナー(34)であって、100Å未満の厚みを有するものと、
    前記酸化物ライナー(34)上にある窒化物サイドウォール・スペーサ(40)と、を含む半導体デバイス。
  2. 前記基板(30)内のソース/ドレインの拡張部のインプラント(36)およびソース/ドレイン領域(42)をさらに含む、請求項1記載のデバイス。
  3. 前記酸化物ライナー(34)の厚みが45Å未満である、請求項2記載のデバイス。
  4. 基板(30)上に、ゲート電極(32)を形成するステップと、
    前記基板(30)および前記ゲート電極(32)上に、100Å未満の厚みの酸化物ライナー(34)を形成するステップと、
    前記酸化物ライナー(34)上に窒化物層(38)をたい積するステップと、
    窒化物スペーサ(40)を形成するように、前記窒化物層(38)をエッチングするステップであって、前記エッチングは、前記酸化物ライナー(34)上で停止するステップと、を含む、半導体デバイスの形成方法。
  5. 前記窒化物層(38)をエッチングするステップは、
    非常に高い窒化物対酸化物の選択性を備えるエッチング剤で、前記窒化物層(38)をドライ・エッチングするステップを含む、請求項4記載の方法。
  6. 基板(30)の領域(36),(42)に、ドーパントをインプラントするステップと、
    前記基板(30)上に、100Å未満の厚みの酸化物ライナー(34)を形成するステップと、を有する、
    半導体デバイスのインプラントされた領域から被覆層に対する、ドーパントの外方拡散を抑制する方法。
  7. 前記ドーパントのインプラントより前に、ゲート電極(32)を形成するステップと、
    前記ゲート電極(32)および前記酸化物ライナー(34)上に、サイドウォール・スペーサ(40)を形成するステップとをさらに含む、請求項6記載の方法。
  8. 前記サイドウォール・スペーサ(40)を形成するステップは、
    前記酸化物ライナー(34)および前記ゲート電極(32)上に、窒化物層(38)をたい積するステップと、
    高い窒化物対酸化物の選択性を備えるエッチング・レシピで、前記窒化物層(38)を異方性ドライエッチングするステップとを含む、請求項7記載の方法。
  9. 前記エッチング・レシピは、CF4/HBr/HeO2またはCl2/HBr/HeO2の少なくとも一つを含む、請求項8記載の方法。
  10. 前記エッチング・レシピは、CF4剤を含む、請求項8記載の方法。
JP2003555574A 2001-12-19 2002-12-19 薄い酸化物ライナーを含む半導体デバイスおよびその製造方法 Pending JP2005517285A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US2103701A 2001-12-19 2001-12-19
PCT/US2002/041103 WO2003054951A1 (en) 2001-12-19 2002-12-19 Semiconductor device comprising a thin oxide liner and method of manufacturing the same

Publications (2)

Publication Number Publication Date
JP2005517285A true JP2005517285A (ja) 2005-06-09
JP2005517285A5 JP2005517285A5 (ja) 2006-02-02

Family

ID=21801954

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003555574A Pending JP2005517285A (ja) 2001-12-19 2002-12-19 薄い酸化物ライナーを含む半導体デバイスおよびその製造方法

Country Status (7)

Country Link
JP (1) JP2005517285A (ja)
KR (1) KR20040068269A (ja)
CN (1) CN1322565C (ja)
AU (1) AU2002358269A1 (ja)
DE (1) DE10297582T5 (ja)
GB (1) GB2399222B (ja)
WO (1) WO2003054951A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005522033A (ja) * 2002-03-26 2005-07-21 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド ソース/ドレイン拡張部からドーパントが外方拡散しないようにするための、シリコン酸化物ライナーのイオン注入
WO2008047635A1 (en) * 2006-10-19 2008-04-24 Tokyo Electron Limited Method for manufacturing semiconductor device and semiconductor device

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011005641B4 (de) * 2011-03-16 2018-01-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Leistungssteigerung in Transistoren durch Reduzierung der Absenkung aktiver Gebiete und durch Entfernen von Abstandshaltern

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4868617A (en) * 1988-04-25 1989-09-19 Elite Semiconductor & Sytems International, Inc. Gate controllable lightly doped drain mosfet devices
US5714413A (en) * 1995-12-11 1998-02-03 Intel Corporation Method of making a transistor having a deposited dual-layer spacer structure
US6472281B2 (en) * 1998-02-03 2002-10-29 Matsushita Electronics Corporation Method for fabricating semiconductor device using a CVD insulator film
US6162692A (en) * 1998-06-26 2000-12-19 Advanced Micro Devices, Inc. Integration of a diffusion barrier layer and a counter dopant region to maintain the dopant level within the junctions of a transistor
US6251764B1 (en) * 1999-11-15 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form an L-shaped silicon nitride sidewall spacer
US6294480B1 (en) * 1999-11-19 2001-09-25 Chartered Semiconductor Manufacturing Ltd. Method for forming an L-shaped spacer with a disposable organic top coating
US6156598A (en) * 1999-12-13 2000-12-05 Chartered Semiconductor Manufacturing Ltd. Method for forming a lightly doped source and drain structure using an L-shaped spacer
US6277700B1 (en) * 2000-01-11 2001-08-21 Chartered Semiconductor Manufacturing Ltd. High selective nitride spacer etch with high ratio of spacer width to deposited nitride thickness

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005522033A (ja) * 2002-03-26 2005-07-21 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド ソース/ドレイン拡張部からドーパントが外方拡散しないようにするための、シリコン酸化物ライナーのイオン注入
WO2008047635A1 (en) * 2006-10-19 2008-04-24 Tokyo Electron Limited Method for manufacturing semiconductor device and semiconductor device

Also Published As

Publication number Publication date
WO2003054951A1 (en) 2003-07-03
GB2399222A (en) 2004-09-08
CN1606801A (zh) 2005-04-13
DE10297582T5 (de) 2004-11-11
AU2002358269A1 (en) 2003-07-09
CN1322565C (zh) 2007-06-20
GB2399222B (en) 2005-07-20
KR20040068269A (ko) 2004-07-30
GB0412884D0 (en) 2004-07-14

Similar Documents

Publication Publication Date Title
US5688704A (en) Integrated circuit fabrication
JP2004134753A (ja) 多重の誘電率と多重の厚さを有するゲート絶縁体層を形成する方法
US6498067B1 (en) Integrated approach for controlling top dielectric loss during spacer etching
KR20020002593A (ko) 다마신 공정을 이용한 반도체 소자의 제조방법
JPH0370127A (ja) 自己整合拡散接合を有する構造の製造方法
CN116741640A (zh) 半导体结构的制作方法和半导体结构
US6242312B1 (en) Advanced titanium silicide process for very narrow polysilicon lines
US20090261429A1 (en) Transistor and method for manufacturing thereof
US6358798B1 (en) Method for forming gate electrode by damascene process
JP2005517285A (ja) 薄い酸化物ライナーを含む半導体デバイスおよびその製造方法
KR100299385B1 (ko) 반도체 소자의 제조방법
KR100537275B1 (ko) 반도체 소자 제조방법
US6187644B1 (en) Method of removing oxynitride by forming an offset spacer
US6165913A (en) Manufacturing method for spacer
US6221745B1 (en) High selectivity mask oxide etching to suppress silicon pits
KR100223736B1 (ko) 반도체 소자 제조 방법
US7135407B2 (en) Method of manufacturing a semiconductor device
US20010051386A1 (en) Method of manufacturing a semiconductor device
KR100347149B1 (ko) 반도체 장치 제조방법
JP2000311861A (ja) 半導体膜の選択成長方法および半導体装置の製造方法
KR100525912B1 (ko) 반도체 소자의 제조 방법
US6211024B1 (en) Method for forming a semiconductor device by using multiple ion implantation sequence to reduce crystal defects and to allow the reduction of the temperature used for a subsequent rapid thermal anneal procedure
JPH10125623A (ja) 半導体装置の製造方法
KR100474744B1 (ko) 반도체 소자의 게이트 스페이서 형성 방법
KR20030051038A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051207

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051207

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070904

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071203

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080201

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080208

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080303

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080415