JP2004327507A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2004327507A
JP2004327507A JP2003116412A JP2003116412A JP2004327507A JP 2004327507 A JP2004327507 A JP 2004327507A JP 2003116412 A JP2003116412 A JP 2003116412A JP 2003116412 A JP2003116412 A JP 2003116412A JP 2004327507 A JP2004327507 A JP 2004327507A
Authority
JP
Japan
Prior art keywords
etching
insulating film
gas
temperature
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003116412A
Other languages
English (en)
Inventor
Hiroki Miyajima
弘樹 宮島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2003116412A priority Critical patent/JP2004327507A/ja
Publication of JP2004327507A publication Critical patent/JP2004327507A/ja
Pending legal-status Critical Current

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】レジストとの高選択性を確保しつつ、ネッキング、ボーイング形状を低減したコンタクトホールを形成できる半導体装置の製造方法を提供する。
【解決手段】層間絶縁膜12上にホールパターンを有するレジスト膜13を形成する工程と、半導体基板11を所定の温度に保持しつつ、レジスト膜13をマスクとして層間絶縁膜12を所定深さまでエッチングする第1のエッチング工程と、半導体基板11を第1のエッチング工程よりも低い所定の温度に保持しつつ、所定深さまでエッチングされた層間絶縁膜12をエッチングする第2のエッチング工程とを行なう。ネッキング、ボーイングが生じ易いコンタクト上部をエッチングするエッチング初期に、レジスト膜13表面などに過剰な堆積物が生じないエッチング条件を設定して第1のエッチング工程を行い、続いてレジスト膜13上に堆積膜が選択的に生じるエッチング条件を設定して第2のエッチング工程を行う。
【選択図】 図1

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置の製造方法に関し、特にドライエッチングによって層間絶縁膜に微細な接続用ホールを形成する半導体装置の製造方法に関する。
【0002】
【従来の技術】
近年の半導体装置の急速な高集積化、素子パターンの微細化に伴って、最小加工寸法も急速に縮小化してきている。たとえば、素子パターンの微細化に伴って、各半導体領域に対してコンタクトを形成するために層間絶縁膜に形成するコンタクトホールあるいはスルーホール(ビアホール)等の接続用ホールの径が微細化されてきている。そのために、フォトリソグラフィ技術において、微細パターンをマスクどおりに転写するために、フォトレジストの薄膜化が必要となっている。また、ドライエッチング技術において、高アスペクト比の微細コンタクトホール加工を行うために、フォトレジストとの高選択比化が必要となっている。
【0003】
コンタクトホール等のドライエッチングは通常、反応生成物を堆積する堆積性エッチングガスであるCF(フルオロカーボン)系ガス、CF系ガスを希釈する希釈ガスとしてのArなどの希ガス、過剰な堆積物を除去するための酸素含有ガスを混合したガス系で行っている。層間絶縁膜とレジストとの選択比を高めるためには、エッチング中にレジスト膜上に厚く堆積膜を付着させてレジスト膜をエッチングから保護すべく、CF系ガスの流量を増加させる、あるいは酸素流量を減少させる方法が一般的である。
【0004】
図8(a)は、高アスペクト比の微細コンタクトホールを形成するにあたり、上記したようなレジストとの選択比が高い条件に設定して、一定の条件でドライエッチングを行った時のコンタクトホールの加工形状を示す。11は半導体基板(ウエハ)、12は層間絶縁膜、13はレジスト膜、14はコンタクトホールである。
【0005】
レジスト膜13は必要な残膜を確保できているが、コンタクトホール14に臨んだ層間絶縁膜12の上部に過剰な堆積物が堆積して、コンタクトホール14の上部で穴径が狭く、それより少し下方(符号14aで示す位置)で穴径が広い形状、すなわち、層間絶縁膜12を基準とするとネッキング形状、コンタクトホール14を基準とするとボーイング形状となっている。
【0006】
このような形状では、相対的にコンタクトホール14の底面が設計値よりも狭くなり、コンタクト抵抗が高くなる。また、コンタクトホール14内に多結晶シリコンやタングステン等の電気伝導体を充填する際に隙間ができやすく、それによってもコンタクト抵抗が高くなり、半導体デバイス不良の原因となる。図示したよりもさらに形状が悪くなって、コンタクトホール14が底面近くで非常に狭くなった時には、エッチングが途中で進行しなくなる「エッチングストップ」が生じることもある。
【0007】
このような問題を避けるには、レジストとの選択比が低い条件に設定してドライエッチングを行えばよいのであるが、その場合の加工形状は図8(b)に示すものとなる。つまり、コンタクトホール14はボーイング形状にはならないものの、堆積物が少ないためレジスト膜13が十分に保護されずにエッチングされてしまって、残膜が不足となり、コンタクトホール14の上部の寸法が設計値以上に拡大する。このような形状では、コンタクトホール14内に電気伝導体を充填した際に、隣接コンタクトとショートを引き起こしてしまう恐れがある。
【0008】
エッチングストップを起こさず、かつレジスト選択比の低下しないコンタクトホールのエッチング方法としては、エッチングの初期段階で堆積性ガスであるCF系ガスの流量を相対的に低くして堆積性の弱い条件でエッチングし、途中からCF系ガスの流量を相対的に高くして堆積性の強い条件でエッチングするという、コンタクトホールを2段階のエッチングで開口する技術が開示されている(たとえば、特許文献1参照)。
【0009】
【特許文献1】
特開2002−110647号公報
【0010】
【発明が解決しようとする課題】
特許文献1に記載された方法は、上記したようにウエハ上に堆積する反応生成物たるポリマーの堆積量に係るCF系ガスの流量を2段階に変えてエッチングする方法であるが、アスペクト比が増加するにつれ(アスペクト比20程度)、コンタクトホールの形成が難しくなる。
【0011】
すなわち、エッチングの後半で堆積性の強い条件に切り替えるため、コンタクトホール14の上部に堆積物が厚く堆積し、開口が塞がれてしまったりする。また、微少径のコンタクトホールとともに径の大きいコンタクトホールを同時に開口する場合に、径の大きいコンタクトホールの底面の中央部に堆積物が多量に堆積して、開口不良となることがある。
【0012】
本発明は上記問題点をかえりみてなされたものであり、その目的は、レジストとの高選択性を確保しつつ、ネッキング、ボーイング形状を低減したコンタクトホールの加工を実現できる半導体装置の製造方法を提供することである。
【0013】
【課題を解決するための手段】
上記課題を解決するために、本発明の半導体装置の製造方法は、半導体基板上に形成された絶縁膜にエッチングガスを用いて微細な接続用ホールを形成する際に、前記絶縁膜上にホールパターンを有するレジスト膜を形成する工程と、前記半導体基板を所定の温度に保持しつつ、前記レジスト膜をマスクとして前記絶縁膜を所定深さまでエッチングする第1のエッチング工程と、前記半導体基板を前記第1のエッチング工程よりも低い所定の温度に保持しつつ、前記所定深さまでエッチングされた絶縁膜をエッチングする第2のエッチング工程とを行なうことを特徴とする。
【0014】
半導体基板の温度の保持は、この半導体基板がエッチング処理室内でその上に設置される電極の温度を制御するか、または、この半導体基板の裏面を冷却する冷却用ヘリウムの圧力を制御することによって行うことができる。
【0015】
また本発明の半導体装置の製造方法は、半導体基板上に形成された絶縁膜にエッチングガスを用いて微細な接続用ホールを形成する際に、前記絶縁膜上にホールパターンを有するレジスト膜を形成する工程と、前記エッチングガス中の希ガスを所定の流量に設定して、前記レジスト膜をマスクとして前記絶縁膜を所定深さまでエッチングする第1のエッチング工程と、前記希ガスの流量を前記第1のエッチング工程よりも大きく設定して、前記所定深さまでエッチングされた絶縁膜をエッチングする第2のエッチング工程とを行なうことを特徴とする。
【0016】
上記した半導体装置の製造方法においてそれぞれ、エッチングガスとして、CF系ガス、希ガスおよび酸素を含むガスからなる混合ガスを用いることができる。希ガスとしてAr等、酸素を含むガスとしてO、CO等を使用できる。
上記した半導体装置の製造方法においてそれぞれ、第1のエッチング工程で、絶縁膜をその膜厚の1/5〜1/3の深さまで、つまり従来の接続用ホールエッチングでボーイングが発生していた深さまで、エッチングするのが望ましい。
【0017】
【発明の実施形態】
以下、本発明の実施の形態を図面に基づいて詳細に説明する。
(第1の実施形態)
図1は、本発明の第1の実施形態における半導体装置の製造方法を示す工程断面図である。
【0018】
まず、図1(a)に示すように、半導体基板(以下、ウエハとも言う)11上に層間絶縁膜12としてシリコン酸化膜を成膜し、その上にフォトリソグラフィー技術によりホールパターンを有したレジスト膜13を形成する。
【0019】
次に、図1(b)に示すように、レジスト膜13をマスクとして、層間絶縁膜12をその膜厚の1/5〜1/3の深さまでドライエッチングする(第1段階のドライエッチング)。
【0020】
その際のエッチング条件としては、エッチングによる反応堆積物がコンタクト上部に過剰に生じないように、半導体基板11が所定の温度に保持される温度制御とする。この半導体基板11の温度は、半導体基板11が保持されている静電チャックを支持している下部電極サセプタ温度により設定する。
【0021】
この第1段階は、例えば平行平板型プラズマエッチング装置を用いる場合、以下のエッチング条件とする。
圧力:3Pa
ソースパワー:1800W、バイアスパワー:1500W
/Ar/O:15/800/20sccm
電極間距離:20mm
下部電極温度設定:40℃
ウエハ裏面を冷却する冷却Heの圧力:30hPa
エッチング時間:20sec
その後に、図1(c)に示すように、レジスト膜13をマスクとしてさらに層間絶縁膜12をドライエッチングし、コンタクトホール14を完成させる(第2段階のドライエッチング)。
【0022】
この第2段階では、エッチングによる反応堆積物がレジスト膜13上に選択的に生じるように、第1段階のドライエッチング条件よりも半導体基板11が低温になる温度制御とする。
【0023】
例えば平行平板型プラズマエッチング装置を用いる場合、以下のエッチング条件とする。
圧力:3Pa
ソースパワー:1800W、バイアスパワー:1500W
/Ar/O:15/800/20sccm
電極間距離:20mm
下部電極温度:20℃
基板裏面を冷却するHeの圧力:30hPa
エッチング時間:120sec
以上のようにして、エッチング途中でウエハ温度を切り替える2段階エッチングを行なうことにより、高アスペクト比(アスペクト比約20までの)コンタクトホールを、ボーイングを低減した形状にて形成することができた(図1(c)参照)。このようにして形成できるコンタクトホールに寸法上の制限は特にないが、たとえば径80〜200nm、深さ0.6〜2.5μmのコンタクトホールで良結果が確認されている。
【0024】
図2(a)に、従来より使用されている平行平板型ドライエッチング装置の概略構成を示す。図中、1は処理室、2は下部電極サセプタ、3は上部電極、4は高周波電源、5はブロッキングコンデンサを備えた整合回路、6はポンプを備えた真空排気系、7はボンベ,マスフローコントローラ等を備えたエッチングガス供給系である。
【0025】
この種のドライエッチング装置では、図2(b)に示すように、半導体基板11は下部電極サセプタ2上の静電チャック8によりクーロン力によって静電吸着され支持される。下部電極サセプタ2は内部に冷媒(図示せず)が循環されている。静電チャック8,下部電極サセプタ2に、静電チャック8の表面に開口するガス通路9が形成され、このガス通路9にHeのような冷却効率の大きい冷却ガスを供給する冷却ガス供給系10が処理室1外に設けられている。
【0026】
そしてそれにより、下部電極サセプタ2上の静電チャック8の表面に設置された半導体基板11の裏面に、下部電極サセプタ2の温度が冷却ガスによって伝達され、プラズマによって昇温した半導体基板11が冷却されるようになっている。つまり半導体基板11の温度の制御は、下部電極サセプタ2の温度、すなわち下部電極サセプタ2を冷却する冷媒の温度を設定することで行なわれる。
【0027】
図3に、ウエハ温度低温、ウエハ温度高温の条件下でエッチング中に定常的に堆積する堆積膜の付着状態を示す。
図3(a)に示すように、ウエハ温度が低温の場合、CF系の堆積膜15はコンタクトホール14の上部及びレジスト膜13上に厚く堆積する。
【0028】
これは、ウエハ温度を低温にするとCF系堆積性ラジカルの吸着確率が増加するため、エッチング初期に、したがってコンタクトホール14の上部及びレジスト膜13上に堆積膜15が厚く堆積し、それにより堆積性ラジカルが消費される結果、コンタクトホール14の下部で堆積性ラジカルの供給量が少なくなり、堆積膜15は薄く堆積するのみとなるからである。
【0029】
したがってこの温度条件(低温)では、層間絶縁膜12とレジスト膜13の選択比を高くできる。しかし、コンタクトホール14の上部及びレジスト膜13上での堆積膜15があまりに過剰に堆積すると、堆積膜15が薄くなり始めるコンタクト深さ位置14aで穴径が広がるボーイング形状が生じる。
【0030】
図3(b)に示すように、ウエハ温度が高温の場合、CF系の堆積膜15はコンタクトホール14の上部及びレジスト膜13上に薄く堆積するだけになる。
これは、ウエハ温度を高温にするとCF系堆積性ラジカルの吸着確率が低下するため、エッチング初期に、したがってコンタクトホール14の上部及びレジスト膜13上に堆積膜15が薄く堆積するからである。その際の堆積性ラジカルの消費量が少なくなる結果、コンタクトホール14の下部で堆積性ラジカルが多く供給され、堆積膜15は厚く堆積する。
【0031】
したがってこの温度条件(高温)では、コンタクトホール14の上部でのボーイングを抑制することはできるが、レジスト選択比は低くなる。
図4に、コンタクトホールのエッチング寸法の下部電極温度依存性を示す。
【0032】
半導体基板が設置される下部電極サセプタの温度を一定温度(0℃、20℃、40℃)に設定し、かつ、最初から最後までエッチング条件を変えずに、レジスト膜をマスクとして層間絶縁膜をエッチングした実験結果である。
【0033】
図4(a)に示すように、ボーイング位置でのコンタクト寸法(直径)は、下部電極温度を低温に制御する方が大きくなる。
これは、先に図3を用いて説明したように、ボーイング位置より上方では堆積膜が厚いためエッチングガス中のエッチング成分が消費されず、ボーイング位置より下方では堆積膜が薄いためエッチング成分により層間絶縁膜が急激にエッチングされるためと考えられる。
【0034】
図4(b)に示すように、トップ位置でのコンタクト寸法(直径)は、下部電極温度を低温に制御する方が小さくなる。
これは、低温ではコンタクトホールの上部に堆積膜が多く形成されるため、層間絶縁膜がエッチングされにくいことが原因であると考えられる。
【0035】
以上の実験結果からも明らかなように、レジスト膜の膜厚が厚い初期には、ボーイングを抑制するために、レジスト膜がエッチングされてしまいやすい難点はあってもウエハ温度高温としてエッチングを行い(第1段階)、その後にレジスト選択比を高くしてレジスト消費を抑制するために、ウエハ温度低温としてエッチングを行なう(第2段階)ことで、結果として、ボーイングを抑制し、かつレジスト選択比低下によるレジスト消費、コンタクトトップ径の拡大の少ないコンタクト形成を実現することができる。
【0036】
上記した実施の形態では、ウエハ温度は、ウエハが保持されている静電チャックを支持した下部電極サセプタ温度を設定することにより制御したが、これに代えて、静電チャックの表面に開口したガス通路を通じてウエハ裏面に供給する冷却ガスの圧力を適宜に設定することでウエハ温度を制御するようにしてもよく、その方が簡便である。冷却ガスの圧力を低くすれば、下部電極サセプタからの温度伝達効率が低下するためウエハは冷却されにくくなり、エッチング中のプラズマによってウエハは高温になる。逆に冷却ガスの圧力を高くすればウエハは低温になる。
【0037】
図5に、コンタクトホールのエッチング寸法のHe冷却圧力依存性を示す。
ウエハ裏面に供給するHe冷却ガス圧力を一定圧力(5hPa、17.5hPa,30hPa)に設定し、かつ、最初から最後までエッチング条件を変えずに、レジスト膜をマスクとして、層間絶縁膜をエッチングした実験結果である。単一条件でのエッチングであるためボーイングが生じた。
【0038】
図5(a)に示すように、ボーイング位置でのコンタクト寸法(直径)は、He冷却圧力を低圧に設定する方が小さくなる。
これは、He冷却圧力が低圧であれば、ウエハを冷却する能力が小さく、ウエハ温度が高い状態でエッチングすることになるからである。
【0039】
図5(b)に示すように、コンタクトトップ寸法(直径)は、He冷却圧力を高圧に設定する方が小さくなる。
これは、He冷却圧力が高圧であれば、ウエハを冷却する能力が大きく、ウエハ温度が低い状態でエッチングすることになり、レジスト選択比が高くなり、層間絶縁膜のエッチングが抑制されるからである。
【0040】
以上の実験結果からも明らかなように、レジストの膜厚が厚い初期には、He冷却圧力を低圧に設定して、ウエハ温度を高温に制御し、コンタクト上部のボーイングを抑制したエッチングを行なう(第1段階)。その後に、He冷却圧力を高圧に設定して、ウエハ温度を低温に制御し、レジスト選択比の高い条件でエッチングする(第2段階)。He冷却圧力を高圧に切り替えた時点では、エッチングすべき層間絶縁膜の膜厚はかなり減少しているので、エッチングに要する残り時間は短くてよく、ボーイングを抑制しながらコンタクトを形成できる。これらの結果、ボーイングを抑制し、かつレジスト選択比低下によるレジスト消費、コンタクトトップ径の拡大の少ないコンタクト形成を実現することができる。
【0041】
例えば平行平板型プラズマエッチング装置を用いる場合、以下のエッチング条件とする。
(第1段階)
圧力: 3Pa
ソースパワー: 1800W、バイアスパワー: 1500W
/Ar/O:15/800/20sccm
電極間距離:20mm
下部電極温度:20℃(実際のウエハ温度は約40℃以上)
ウエハ裏面を冷却する冷却He圧力:5hPa
エッチング時間:20sec
(第2段階)
圧力:3Pa
ソースパワー:1800W、バイアスパワー:1500W
/Ar/O:15/800/20sccm
電極間距離:20mm
下部電極温度:20℃(実際のウエハ温度は約40℃以下)
ウエハ裏面を冷却する冷却He圧力:30hPa
エッチング時間:120sec
(第2の実施形態)
図6は、本発明の第2の実施形態における半導体装置の製造方法を示す工程断面図である。
【0042】
まず、図6(a)に示すように、半導体基板(ウエハ)11上に層間絶縁膜12としてシリコン酸化膜を成膜し、その上にフォトリソグラフィー技術によりホールパターンを有したレジスト膜13を形成する。
【0043】
次に、図6(b)に示すように、レジスト膜13をマスクとして、CF系のガスとArと酸素を含んだ混合ガスで、層間絶縁膜12をその膜厚の1/5〜1/3の深さまでドライエッチングする(第1段階のドライエッチング)。
【0044】
この第1段階では、Ar流量を所定の低流量に設定してエッチングを行う。このことにより、コンタクトホール14の上部に過剰な堆積物が生じ難く、コンタクトホール14の上部から1/5〜1/3の深さに生じやすいボーイングの発生を抑制できる。
【0045】
例えば平行平板型プラズマエッチング装置を用いる場合、以下のエッチング条件とする。
圧力:3Pa
ソースパワー:1800W、バイアスパワー:1500W
/Ar/O:15/600/20sccm
電極間距離:20mm
下部電極温度:20℃
ウエハ裏面を冷却する冷却He圧力:30hPa
エッチング時間:20sec
反応室におけるエッチングガスの滞在時間はAr流量で支配される。Ar流量を低流量に設定することで、CF系ガスのプラズマ中での滞在時間を長くして、CF系ガスを十分に解離させ、エッチングに寄与するFラジカルを増加させることができる。その結果、コンタクトホールの上部に堆積する過剰な堆積物を除去するFの効果が大きくなり、ボーイングを抑制できると考えられる。
【0046】
次に、図6(c)に示すように、Ar流量のみを増加させて、レジスト膜13をマスクとして、層間絶縁膜12をドライエッチングし、コンタクトホール14を完成させる(第2段階のドライエッチング)。
【0047】
例えば平行平板型プラズマエッチング装置を用いる場合、以下のエッチング条件とする。
圧力:3Pa
ソースパワー:1800W、バイアスパワー:1500W
/Ar/O:15/800/20sccm
電極間距離:20mm
下部電極温度:20℃
基板裏面を冷却する冷却Heの圧力:30hPa
エッチング時間:120sec
この第2段階では、Ar流量を増加させたので、エッチングガスの反応室滞在時間が短くなり、Fラジカルが減少する。その結果、コンタクトホール14の上部およびレジスト膜13表面に堆積物が堆積する傾向となり、上部の層間絶縁膜12がエッチングされにくくなり、コンタクトトップ寸法が大きくなることなくコンタクトホール14を完成できると考えられる。Ar流量を切り替えた時点ではエッチングすべき層間絶縁膜12は薄くなっているので、この条件でのエッチング時間は短く、ボーイングを生じることはない(図6(c)参照)。
【0048】
図7(a)に、C/Ar/O混合ガスを用いて層間絶縁膜12をエッチングした時の、プラズマ中のFラジカルの発光強度のAr流量依存を示す。
Ar流量が低流量の時にFラジカルが多い。これは、上述したようにAr流量を低流量にすることでCF系ガスの解離が促進されるためである。
【0049】
図7(b)に、C/Ar/O混合ガスを用いて、Ar流量以外の条件を固定して層間絶縁膜12をエッチングした時の、ボーイング位置でのコンタクトホール寸法(直径)のAr流量依存を示す。
【0050】
Ar流量が低流量の時にはFラジカルが多く発生するが、そのFラジカルはコンタクトホールの上部の過剰な堆積膜の除去に消費されるため、それより下方の側壁の急激なエッチング、それによるボーイングは抑制される。しかしレジスト膜上の堆積膜も除去されるため、レジスト選択比は低下することになる。
【0051】
【発明の効果】
以上のように本発明では、ネッキング、ボーイングが生じやすいコンタクト上部をエッチングするエッチング初期には、レジスト膜表面などに過剰な堆積物が生じないエッチング条件を設定して第1段階のエッチングを行い、続いてレジスト膜上に堆積膜が選択的に生じるエッチング条件を設定して第2段階のエッチングを行う。たとえば、第1段階のエッチング条件としてウエハ温度を高温に設定し、第2段階のエッチング条件としてウエハ温度を低温に設定する。あるいは、第1段階のエッチング条件としてエッチングガス中のArガスの流量を低流量に設定し、第2段階のエッチング条件としてエッチングガス中のArガスの流量を高流量に設定する。
【0052】
このようにすることにより、レジストとの高選択性を確保しつつ、ネッキング、ボーイング形状を低減したコンタクトホールを形成することができ、半導体装置の微細化、高集積化、高性能化、歩留り向上を図る上で極めて意義の大きいものである。
【図面の簡単な説明】
【図1】本発明の第1の実施形態における半導体装置の製造方法であって、コンタクトホールエッチング工程を示す工程断面図
【図2】図1の半導体装置の製造方法で使用可能な従来よりある平行平板型ドライエッチング装置の概略構成図
【図3】ウエハ温度低温、ウエハ温度高温の条件下でエッチング中に定常的に堆積する堆積膜の付着状態を示す断面図
【図4】コンタクトホールのエッチング寸法の下部電極温度依存性を示すグラフ
【図5】コンタクトホールのエッチング寸法のHe冷却圧力依存性を示すグラフ
【図6】本発明の第2の実施形態における半導体装置の製造方法であって、コンタクトホールエッチング工程を示す工程断面図
【図7】C/Ar/O混合ガスを用いてシリコン酸化膜をエッチングした時の(a)Fラジカルの発光強度のAr流量依存性、(b)コンタクトホールのエッチング寸法のAr流量依存性をそれぞれ示すグラフ
【図8】従来のコンタクトホールエッチング方法によるエッチング形状を示す断面図
【符号の説明】
11 半導体基板
12 層間絶縁膜
13 レジスト膜
14 コンタクトホール
15 CF系の堆積膜

Claims (6)

  1. 半導体基板上に形成された絶縁膜にエッチングガスを用いて微細な接続用ホールを形成する半導体装置の製造方法であって、
    前記絶縁膜上にホールパターンを有するレジスト膜を形成する工程と、
    前記半導体基板を所定の温度に保持しつつ、前記レジスト膜をマスクとして前記絶縁膜を所定深さまでエッチングする第1のエッチング工程と、
    前記半導体基板を前記第1のエッチング工程よりも低い所定の温度に保持しつつ、前記所定深さまでエッチングされた絶縁膜をエッチングする第2のエッチング工程とを含んだ半導体装置の製造方法。
  2. 半導体基板の温度の保持は、この半導体基板がエッチング処理室内でその上に設置される電極の温度を制御することによって行う請求項1記載の半導体装置の製造方法。
  3. 半導体基板の温度の保持は、この半導体基板の裏面を冷却する冷却用ヘリウムの圧力を制御することによって行う請求項1記載の半導体装置の製造方法。
  4. 半導体基板上に形成された絶縁膜にエッチングガスを用いて微細な接続用ホールを形成する半導体装置の製造方法であって、
    前記絶縁膜上にホールパターンを有するレジスト膜を形成する工程と、
    前記エッチングガス中の希ガスを所定の流量に設定して、前記レジスト膜をマスクとして前記絶縁膜を所定深さまでエッチングする第1のエッチング工程と、
    前記希ガスの流量を前記第1のエッチング工程よりも大きく設定して、前記所定深さまでエッチングされた絶縁膜をエッチングする第2のエッチング工程とを含んだ半導体装置の製造方法。
  5. エッチングガスは、CF系ガス、希ガスおよび酸素を含むガスからなる混合ガスである請求項1または請求項4のいずれかに記載の半導体装置の製造方法。
  6. 第1のエッチング工程で絶縁膜をその膜厚の1/5〜1/3の深さまでエッチングする請求項1または請求項4のいずれかに記載の半導体装置の製造方法。
JP2003116412A 2003-04-22 2003-04-22 半導体装置の製造方法 Pending JP2004327507A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003116412A JP2004327507A (ja) 2003-04-22 2003-04-22 半導体装置の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003116412A JP2004327507A (ja) 2003-04-22 2003-04-22 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2004327507A true JP2004327507A (ja) 2004-11-18

Family

ID=33496614

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003116412A Pending JP2004327507A (ja) 2003-04-22 2003-04-22 半導体装置の製造方法

Country Status (1)

Country Link
JP (1) JP2004327507A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009527899A (ja) * 2006-02-21 2009-07-30 マイクロン テクノロジー, インク. 高アスペクト比コンタクト
CN102376627A (zh) * 2010-08-10 2012-03-14 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
JP2013084996A (ja) * 2013-02-01 2013-05-09 Seiko Epson Corp ノズルプレートの製造方法及び流体噴射ヘッドの製造方法
CN109841510A (zh) * 2017-11-28 2019-06-04 东京毅力科创株式会社 蚀刻方法和蚀刻装置
CN110808228A (zh) * 2018-08-06 2020-02-18 东京毅力科创株式会社 蚀刻方法和半导体器件的制造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09298192A (ja) * 1996-03-04 1997-11-18 Sony Corp 半導体装置の製造装置および静電チャックからのウエハ脱着方法
JPH10144655A (ja) * 1996-11-06 1998-05-29 Sony Corp ドライエッチング処理方法及びドライエッチング装置
JPH1140545A (ja) * 1997-07-18 1999-02-12 Sony Corp 半導体装置の製造方法
JPH11274141A (ja) * 1998-03-20 1999-10-08 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
WO2001086701A2 (en) * 2000-05-12 2001-11-15 Tokyo Electron Limited Method of high selectivity sac etching
JP2002141336A (ja) * 2000-11-01 2002-05-17 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002305188A (ja) * 1993-12-22 2002-10-18 Tokyo Electron Ltd 処理装置及び処理方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002305188A (ja) * 1993-12-22 2002-10-18 Tokyo Electron Ltd 処理装置及び処理方法
JPH09298192A (ja) * 1996-03-04 1997-11-18 Sony Corp 半導体装置の製造装置および静電チャックからのウエハ脱着方法
JPH10144655A (ja) * 1996-11-06 1998-05-29 Sony Corp ドライエッチング処理方法及びドライエッチング装置
JPH1140545A (ja) * 1997-07-18 1999-02-12 Sony Corp 半導体装置の製造方法
JPH11274141A (ja) * 1998-03-20 1999-10-08 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
WO2001086701A2 (en) * 2000-05-12 2001-11-15 Tokyo Electron Limited Method of high selectivity sac etching
JP2002141336A (ja) * 2000-11-01 2002-05-17 Mitsubishi Electric Corp 半導体装置の製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009527899A (ja) * 2006-02-21 2009-07-30 マイクロン テクノロジー, インク. 高アスペクト比コンタクト
CN102376627A (zh) * 2010-08-10 2012-03-14 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
JP2013084996A (ja) * 2013-02-01 2013-05-09 Seiko Epson Corp ノズルプレートの製造方法及び流体噴射ヘッドの製造方法
CN109841510A (zh) * 2017-11-28 2019-06-04 东京毅力科创株式会社 蚀刻方法和蚀刻装置
CN110808228A (zh) * 2018-08-06 2020-02-18 东京毅力科创株式会社 蚀刻方法和半导体器件的制造方法
CN110808228B (zh) * 2018-08-06 2023-05-26 东京毅力科创株式会社 蚀刻方法和半导体器件的制造方法

Similar Documents

Publication Publication Date Title
KR101811910B1 (ko) 질화규소막에 피처를 에칭하는 방법
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US20090221148A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
US20040072443A1 (en) Method for plasma etching performance enhancement
US8609547B2 (en) Plasma etching method and computer-readable storage medium
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
US20210050222A1 (en) Plasma etching method
JPH11219942A (ja) ドライエッチング方法および半導体装置の製造方法
JP4911936B2 (ja) プラズマアッシング方法
US8642482B2 (en) Plasma etching method, control program and computer storage medium
KR20080006457A (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JPH06177092A (ja) 半導体装置の製造方法
US20080014755A1 (en) Plasma etching method and computer-readable storage medium
JP2004327507A (ja) 半導体装置の製造方法
KR100867174B1 (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치, 제어프로그램 및 컴퓨터 기억 매체
JP4216922B2 (ja) 酸化膜のエッチング方法
TWI689007B (zh) 蝕刻方法
JP2001156041A (ja) 半導体装置の製造方法及びその製造装置
KR20220022458A (ko) 산소 펄싱을 이용하여 구조들을 에칭하기 위한 방법들
JP3986808B2 (ja) ドライエッチング方法
JP2005026348A (ja) プラズマ処理方法
US20240087910A1 (en) Methods of highly selective silicon oxide removal
JP4378234B2 (ja) エッチング方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060801

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20061128