JP2004193162A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2004193162A
JP2004193162A JP2002355728A JP2002355728A JP2004193162A JP 2004193162 A JP2004193162 A JP 2004193162A JP 2002355728 A JP2002355728 A JP 2002355728A JP 2002355728 A JP2002355728 A JP 2002355728A JP 2004193162 A JP2004193162 A JP 2004193162A
Authority
JP
Japan
Prior art keywords
film
insulating film
forming
carbon
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002355728A
Other languages
English (en)
Other versions
JP4142941B2 (ja
JP2004193162A5 (ja
Inventor
Hideshi Miyajima
秀史 宮島
Kazuyuki Azuma
和幸 東
Keiji Fujita
敬次 藤田
Toshiaki Hasegawa
利昭 長谷川
Kiyotaka Tabuchi
清隆 田渕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Sony Corp
Original Assignee
Toshiba Corp
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, Sony Corp filed Critical Toshiba Corp
Priority to JP2002355728A priority Critical patent/JP4142941B2/ja
Priority to US10/726,678 priority patent/US7129175B2/en
Priority to TW092134359A priority patent/TWI232484B/zh
Publication of JP2004193162A publication Critical patent/JP2004193162A/ja
Publication of JP2004193162A5 publication Critical patent/JP2004193162A5/ja
Application granted granted Critical
Publication of JP4142941B2 publication Critical patent/JP4142941B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/0231Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to electromagnetic radiation, e.g. UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】ヴィア先作りのデュアルダマシンプロセスにおける配線溝形成用のレジストパターンとなるレジストの解像低下を防止すること。
【解決手段】第1の反応容器内で、シリコン基板1上にSiCN:H膜4をプラズマCVD法により形成し、次に、第1の反応容器とは別の第2の反応容器内にシリコン基板1を入れ、第2の容器内でHeガスを放電させ、その後、SiCN:H膜4上にSiCO:H膜6をプラズマCVD法により形成する。
【選択図】 図1

Description

【0001】
【発明の属する技術分野】
本発明は、シリコン、炭素、窒素および水素を含む絶縁膜と、シリコン、炭素、酸素および水素を含む絶縁膜とを含む積層絶縁膜を形成する工程を含む半導体装置の製造方法に関する。
【0002】
【従来の技術】
半導体装置の高集積化・高速化に伴い、配線間容量および層間容量の低減化が求められており、そのために金属配線の低抵抗化技術および層間絶縁膜の低誘電率化技術の開発が進んでいる。
【0003】
層間絶縁膜の低誘電率化技術としては、従来から使用されてきたSiO膜よりも低い比誘電率を有する、いわゆる低誘電率層間絶縁膜(Low−k膜)の一つであるSiOC:H膜が導入されている。
【0004】
上記SiOC:H膜内にCu配線を、デュアルダマシン配線プロセスにより形成する場合、上記Cu配線の下層にあるCu配線(下層Cu配線)上にあらかじめストッパ膜を形成しておく。
【0005】
その理由は、上記SiOC:H膜中にヴィアホールを開口するエッチング工程において、下層Cu配線がエッチングによりダメージを受けないようにするためである。他の理由としては、Cuの層間絶縁膜中への拡散を抑制することがあげられる。
【0006】
ストッパ膜としては、従来よりSiN膜が広く用いられているが、SiN膜は比誘電率が7前後と高いことから、近年、SiC膜、SiCO膜、SiCN膜等の絶縁膜の開発が進められている。中でも、SiCN膜は、SiOC膜に対して十分に低いエッチングレートを有し、エッチング選択性の点で優れており、さらに誘電率およびリーク特性の点でも優れていることから注目されている。
【0007】
しかしながら、ヴィア先作りのデュアルダマシンプロセスの場合、SiOC:H膜中にヴィアホールを形成する工程において、窒素と水素とを含むNH等のアルカリ性を有する物質(NxHy;x,yは正の整数)の濃度が高くなる。
【0008】
この種のNxHyは、ヴィアホールを形成した後に形成する、配線溝形成用のレジストパターンとなるレジスト、特に化学増幅型レジストの解像を阻害する原因となる。何故なら、レジストの露光部で発生した酸が中和され、溶解が阻害されるからである。そのため、所定形状の配線溝形成用のレジストパターンが形成されず、所定形状の配線を形成することが困難となる問題が生じる(例えば、非特許文献1−3参照)。
【0009】
なお、同様の問題は、配線溝先作りのデュアルダマシンプロセスにおいても存在するが、配線溝は幅が広く、配線溝内でのNxHyの濃度の上昇は起こりにくい。そのため、NxHyはさほど深刻な問題にはならない。
【0010】
配線溝先作りのデュアルダマシンプロセスを採用すれば、上記レジストの解像低下の問題を危惧する必要はなくなるが、配線溝先作りのデュアルダマシンプロセスには、ヴィア先作りのデュアルダマシンプロセスにはない別の問題が存在し、配線溝先作りのデュアルダマシンプロセスを採用すれば全ての問題が解決するというものではない。
【0011】
【非特許文献1】
Overcoming of resist poisoning issue during Si−O−C dielectric integration in Cu Dual Damascene interconnect for 0.1μm technology, M.Fayolle, G.Fanget, J.Torres, and G.Passemard, Proceedings of Advanced Metallization Conference(AMC) 2001, US Session, Montreal(Canada), October 9−11,2001, p209
【0012】
【非特許文献2】
Integration of Cu/ SiOC in Dual Damascene interconnect for 0.1μm technology using a new SiC materiak as dielectric barrier, M.Fayolle,J. Torres, G.Passemard, F.Fusalba, G.Ganget, D.Louis, L.Arnaud, V.Girault, J.Cluzel, H. Feldis, M.Rivoire, O.Louveau, T.Mourier, and L.Broussous, Proccedings of the 2002 International Interconnect Technology Conference, San Francisco(CA, USA), June 3−5, 2002, p39
【0013】
【非特許文献3】
Via First Dual Damascene integration of Nanoporous Ultra Low−k Material, J.C.Lin, H.S.Lee, S.Satyanarayana, H.Martinz, T.Jacobs, K.Brennan, A.Gonzalez, R.Augur, S.L.Shue, C.H.Yu, and M.S.Liang, Proceedings ofthe 2002 International Interconnect Technology Conference, San Francisco(CA, USA), June 3−5, 2002, p48
【0014】
【発明が解決しようとする課題】
上述の如く、下層Cu配線上にストッパ膜としてSiCN膜を形成し、該SiCN膜上に低誘電率絶縁膜としてSiOC:H膜を形成し、その後、ヴィア先作りのデュアルダマシンプロセスによりCu配線を形成する場合、配線溝形成用のレジストパターンとなるレジストの解像が低下し、所定形状の配線を形成することが困難となる問題が生じる。
【0015】
本発明は、上記事情を考慮してなされたもので、その目的とするところは、シリコン、炭素、窒素および水素を含む第1の絶縁膜と、シリコン、炭素、酸素および水素を含む第2の絶縁膜とを含む積層絶縁膜中に接続孔を形成し、その後、該積層絶縁膜上に溝形成用のレジストパターンとなるレジストを形成しても、該レジストの解像低下を防止することができる、上記第1および第2の絶縁膜の形成工程を含む半導体装置の製造方法を提供することにある。
【0016】
【課題を解決するための手段】
本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば下記の通りである。
【0017】
本発明に係る半導体装置の製造方法は、第1の容器内で、シリコン、炭素、窒素および水素を含む第1の絶縁膜を基板上に形成する工程と、前記第1の容器とは別の第2の容器内に前記基板を入れ、前記第2の容器内で希ガスを放電させる工程と、前記第1の絶縁膜上に、シリコン、炭素、酸素および水素を含む第2の絶縁膜を形成する工程とを有することを特徴とする。
【0018】
また、本発明に係る他の半導体装置の製造方法は、シリコン、炭素、窒素および水素を含む第1の絶縁膜を基板上に形成する工程と、前記第1の絶縁膜にエネルギー線を照射する工程と、前記第1の絶縁膜上に、シリコン、炭素、酸素および水素を含む第2の絶縁膜をプラズマCVD法により形成する工程とを有することを特徴とする。
【0019】
また、本発明に係る他の半導体装置の製造方法は、シリコン、炭素、窒素および水素を含む第1の絶縁膜を基板上に形成する工程と、前記基板をHOを含む雰囲気中に晒す工程と、前記基板を加熱する工程と、前記第1の絶縁膜上に、シリコン、炭素、酸素および水素を含む第2の絶縁膜をプラズマCVD法により形成する工程とを有することを特徴とする。
【0020】
本発明によれば、溝形成用のレジストパターンとなるレジストの解像低下の原因となる解像阻害物質の発生を防止することができるので、所期の目的を達成できるようになる。本発明の上記ならびにその他の目的と新規な特徴は、本明細書の記載および添付図面によって明らかになるであろう。
【0021】
【発明の実施の形態】
以下、図面を参照しながら本発明の実施形態を説明する。
【0022】
(第1の実施形態)
図1および図2は、本発明の第1の実施形態に係る半導体装置の製造工程を示す断面図である。
【0023】
図1(a)は、シリコン基板1上に層間絶縁膜2を形成し、その後、ダマシンプロセスにより、層間絶縁膜2内にCu配線3(埋込み配線)を形成した工程を示している。シリコン基板1内には図示しない素子が集積形成されている。層間絶縁膜2およびCu配線3は第1のCu配線層を構成する。なお、バリアメタル膜は省略してある。
【0024】
次に、図示しないプラズマCVD装置の反応容器(第1の反応容器)内にシリコン基板1を搬入し、図1(b)に示すように、上記第1のCu配線層上に、プラズマCVD法により、厚さ50nmのSiCN:H膜(第1の絶縁膜)4を形成する。原料ガスとしては、例えばアルキルシラン等の有機シランとNHとを用いる。上記プラズマCVD装置は、反応容器の内部に高周波電力が印加される電極(対向電極)が設けられてなる容量結合タイプのものである。
【0025】
SiCN:H膜4は、シリコン、炭素および窒素を主成分とし、水素を10%ほど含む。SiCN:H膜4はエッチングストッパ膜として使用され、後述するダマシンプロセスにおいて、Cu配線3のエッチングを防ぐためのものである。
【0026】
従来の方法では、次に、層間絶縁膜の形成工程に進むが、本実施形態では、その前に以下に示す前処理を行う。
【0027】
まず、SiCN:H膜4の形成に用いたプラズマCVD装置の反応容器とは別の、層間絶縁膜を形成するためのCVD装置の反応容器(第2の反応容器)内にシリコン基板1を搬入する前に、上記第2の反応容器の内部をプリコート膜と呼ばれる膜でコーティングする。
【0028】
本実施形態では、プリコート膜として、SiC:H膜(SiおよびCを主成分とし、かつHを含む膜)をCVD法により形成する。原料ガスとしては、例えばアルキルシラン等の有機シランを用いる。なお、水素を含まないプリコート膜を使用しても構わない。
【0029】
また、上記プラズマCVD装置は、第2の反応容器の内部に高周波電力が印加される電極(対向電極)が設けられてなる容量結合タイプのものである。第2の反応容器の内部全体をプリコート膜でコーティングする必要はないが、上記対向電極上には十分な膜厚のコーティング膜を形成することが好ましい。
【0030】
第2の反応容器内には、上記対向電極と対向するように、抵抗加熱ヒーターを有する基板支持台が設けられている。この基板支持台の抵抗加熱ヒーターを用い、上記プリコート膜を形成するときに、第2の反応容器内を450℃以下に加熱することが好ましい。この450℃以下の加熱処理は、以下に説明するHeプラズマ処理よりも、長い時間行うことが好ましい。
【0031】
次に、シリコン基板1を第2の反応容器内に搬入し、さらに第2の反応容器内にHeガスを導入し、Heガスを放電させてプラズマを生成する。このプラズマによるプラズマ処理により、第2の反応容器内のSiC:H膜、特に高周波電力が印加されている対向電極上のSiC:H膜がHeイオンによりスパッタされ、図1(c)に示すように、SiCN:H膜4上にSiC:H薄膜5が堆積する。堆積したSiC:H薄膜5の膜厚は2nm程度である。
【0032】
次に、図1(d)に示すように、SiCN:H膜4上に、プラズマCVD法により、厚さ350nmのSiCO:H膜(第2の絶縁膜)6を形成する。このとき、SiC:H薄膜5は酸化されてSiCO:H膜となり消滅する。
【0033】
SiCO:H膜6の原料ガスとしては、例えばアルキルシラン等の有機シランとOとを用いる。SiCO:H膜6の成膜は、SiC:H薄膜5を形成したプラズマCVD装置の反応容器内からシリコン基板1を取り出さず、該反応容器内でSiC:H薄膜5の成膜に続いて連続的に行うことが好ましい。
【0034】
SiCO:H膜6は、いわゆる低誘電率層間絶縁膜(Low−k膜)であり、その誘電率は2.9程度である。従来より層間絶縁膜として使用されているSiO膜の誘電率は3.9程度である。
【0035】
次に、図1(e)に示すように、SiCO:H膜6上に、プラズマCVD法により、厚さ100nmのSiO膜(第3の絶縁膜)7を形成する。原料ガスとしては、例えばアルコキシシラン等の有機シランとOとを用いる。
【0036】
SiOC:H膜6をはじめとするlow−k膜は、一般に、膜自体の機械的強度やプラズマ耐性が低いため、製造工程中においてlow−k膜中にダメージが生じることが懸念されている。この種のダメージの発生を防止するために、SiOC:H膜6上にSiO膜(キャップ膜)7を形成する。キャップ膜として使用できるものであれば、SiO以外の材料からなる膜を使用しても構わない。
【0037】
次に、図1(f)に示すように、SiO膜7上に、ヴィアホールに対応した開口部を有するレジストパターン8を形成し、その後、レジストパターン8をマスクにしてSiO膜7、SiCO:H膜6をRIE(Reactive Ion Etching)プロセスによりエッチングし、ヴィアホールhを開口する。このとき、SiCN:H膜4がエッチングストッパとして働き、Cu配線3のエッチングは防止される。レジストパターン8は、周知のフォトリソグラフィプロセスにより、化学増幅型レジストを露光・現像して形成したものである。
【0038】
次に、図1(g)に示すように、レジストパターン8を放電したOガスを用いて剥離する。
【0039】
次に、図2(h)に示すように、SiO膜7上に、配線溝に対応した開口部を有するレジストパターン9を形成する。レジストパターン9は、ヴィアホールhを埋め込むように化学増幅型レジストを全面に塗布し、その後、周知のフォトリソグラフィプロセスにより、化学増幅型レジストを露光・現像して形成したものである。このとき、化学増幅型レジストの解像が低下するという問題は生じなかった。化学増幅型レジストの解像の低下が起こらなかった理由は、後で詳説する。
【0040】
次に、図2(i)に示すように、レジストパターン9をマスクにしてSiO膜7、SiCO:H膜6をRIEプロセスによりエッチングし、配線溝tを形成する。このときも、SiCN:H膜4により、Cu配線3のエッチングは防止される。その後、レジストパターン9を放電したOガスにより剥離する。
【0041】
次に、図2(j)に示すように、ヴィアホールh下のSiCN:H膜4をウエットエッチングにより選択的に除去し、その後、ヴィアホールh(SiCN:H膜4を除去して生じたホールも含む。)および配線溝tの内部に、バリアメタル膜10およびCu配線11を埋込み形成し、第2のCu配線層が得られる。
【0042】
バリアメタル膜10およびCu配線11の形成工程を、具体的に説明すると、まず、ヴィアホールhおよび配線溝tの内部を覆うように、全面にバリアメタル膜10をスパッタ法により形成し、続いて、スパッタ法により、バリアメタル膜10上にCuめっきのシード層としての薄いCu層(不図示)を形成する。次に、ヴィアホールhおよび配線溝tの内部を埋め込むように、Cu膜をめっき法により全面に形成し、その後、化学機械研磨(CMP:Chemical Mechanical Polishing)法により、ヴィアホールhおよび配線溝tの外部のバリアメタル膜およびCu膜を除去するとともに、表面を平坦にする。
【0043】
本実施形態の方法により、配線溝形成用のレジストパターン9となる化学増幅型レジストの解像の低下を防止できる理由を説明する前に、本発明者等が見出した、従来の方法(本実施形態の方法から図1(c)の工程を省いた方法)で、化学増幅型レジストの解像の低下が起こる理由について説明する。
【0044】
従来の方法では、SiCN:H膜4を形成した後、直ちに、Low−k膜であるSiCO:H膜6をプラズマCVD法により形成するが、この際に、下地であるSiCN:H膜6の表面がOプラズマにより酸化され、下記の反応が起こると考えられる。
【0045】
≡Si−CH+ 2O→ ≡Si−OH + CO+ H
ここで、≡Si−CHは、SiCN:H膜6中に含まれる基である。
【0046】
上記反応により形成された≡Si−OHは水分(HO)を吸着するいわゆる吸湿サイトとして働くため、SiCN:H膜4とSiCO:H膜6との界面には水分が吸着した層が形成される。
【0047】
レジストパターン9となる化学増幅型レジストの塗布工程では、シリコン基板1を回転させながら化学増幅型レジストを基板全面に塗布し、その後、該化学増幅型レジストを150℃程度の温度で焼成する。この焼成時に、SiCN:H膜4とSiCO:H膜6との界面近傍において、該界面に形成されている水分を吸着した層から脱離したHOが以下の反応でNH基と反応する。
【0048】
≡Si−NH+ HO → ≡Si−OH + NH
ここで、≡Si−NHは、≡Si−CHと同様に、SiCN:H膜6中に含まれる基である。
【0049】
上記反応により発生したアンモニア(NH)は、Low−k膜であるSiCO:H膜6中を拡散する。SiCO:H膜6はSiO膜と比較して膜密度が30%程度低いために、発生したアンモニアは容易にSiCO:H膜6中を拡散すると考えられる。
【0050】
ここで、SiCO:H膜6の上面には緻密なSiO膜7があるために、発生したアンモニアは、上面から外部に拡散脱離することができず、ヴィアホールh内およびその外部周辺に集まることになる。
【0051】
ヴィアホールh内およびその外部周辺に集まったアンモニアは、配線溝形成用のレジストパターン9となる化学増幅型レジストの解像を阻害する原因となる。何故なら、化学増幅型レジストの露光部で発生した酸がアンモニアによって中和され、溶解が阻害されるからである。
【0052】
図3に、アンモニア等の解像阻害物質(NxHy)が発生した場合のレジストパターン9’の断面形状を示す。図3に示すように、レジストの未解像不良が発生し、レジストパターン9’は配線溝となる領域上の一部を覆うように形成される。そのため、レジストパターン9’をマスクに用いてエッチングを行っても、所定通りの形状を有する配線溝は形成されず、所定通りの形状を有する配線は形成されない。
【0053】
これに対して、本実施形態の方法では、SiCN:H膜4上に形成したSiC:H薄膜5がいわゆる犠牲膜となり、SiCO:H膜6の成膜時におけるOプラズマによるSiCN:H膜4の表面酸化を防止できる。これにより、図3に示しような配線溝形成用のレジストパターンとなる化学増幅型レジストの解像不良を回避でき、所定通りの形状を有するCu配線11が得られることが判明した。
【0054】
ここで、SiC:H薄膜5を形成するためのHeガスによるプラズマ処理を、SiCN:H膜4の形成に使用した第1の反応容器の内部で連続して行った場合には、同様の効果は得られず、かえって不良の程度が悪化した。
【0055】
これは、第1の反応容器の内部、特に対向電極上に堆積していたSiCN:H膜がHeプラズマによりスパッタされ、このスパッタされたSiCN:H膜がプラズマ中で励起され生成されたNイオンと下地のSiCN:H膜4とが下記の反応を起こし、
≡Si−CH+ 2N→ ≡Si− + NH+ CN
SiCN:H膜4中にアンモニアが形成されてしまうことが原因であうと考えられる。
【0056】
そのため、SiCN:H膜4を形成した反応容器内で、連続してSiC:H薄膜5を形成するためのプラズマ処理を行うことはできなかった。ただし、影響が現れない程度、つまり、レジストの解像劣化が生じない程度の量の窒素を含む膜であるならば、反応容器内にあらかじめ形成されていても構わない。
【0057】
以上述べたように、本実施形態によれば、ヴィア先作りのデュアルダマシンプロセスにおいて、配線溝形成用のレジストパターン9となるレジストの解像低下の原因となる解像阻害物質(NxHy)の発生を効果的に防止することができ、所定形状のCuダマシン配線10,11を容易に得ることができるようになる。
【0058】
なお、本実施形態は種々変形して実施できる。例えば、本実施形態では、プリコート膜としてSiC:H膜4を用いたが、これに限定されるものではなく、SiCO:H膜を用いても同様に効果が得られた。
【0059】
また、本実施形態では、プリコート膜を形成するためのプラズマ処理のプラズマ源として、希ガスの一つであるHeガスを使用したが、Arガス,Krガス,Xeガス(他の希ガス)を用いても同様の効果が得られた。
【0060】
さらに、希ガスに、水素(H)ガスもしくは酸素(O)ガスまたはそれらの混合ガスを添加しても構わない。混合ガスを10%以下の濃度で添加すると特に高い効果が得られた。
【0061】
さらにまた、希ガスに、シリコンおよび炭素を含む物質のガス、例えば有機シランであるアルキルシランやアルコキシシランのガスを混合しても構わない。アルキルシランやアルコキシシランのガスを90%以下の濃度で混合すると特に高い効果が得られた。
【0062】
また、本実施形態では、プリコート膜を形成するためのプラズマ処理をSiCO:H膜6の成膜の前処理として行ったため、上記プラズマ処理をSiCO:H膜6の成膜温度である350℃で行ったが、この温度に限定されるわけではなく、450℃以下であれば同様の効果が得られた。
【0063】
また、本実施形態では、低誘電率層間絶縁膜として、プラズマCVD法により形成したSiCO:H膜6の例にあげて説明したが、塗布法により形成したポーラス塗布膜、例えばポリメチルシロキサンからなる絶縁膜の場合にも、前処理として上述した希ガスを含むガスのプラズマ処理を行うことで、SiCO:H膜6の場合と同様に、配線溝形成用のレジストパターン9となる化学増幅型レジストの解像低下を防止でき、所定通りの形状を有するCu配線11が得られる。
【0064】
また、本実施形態の各種プロセス条件は、いわゆる90−100nm世代のロジックデバイスに対応したものであるが、本実施形態の方法は、より微細な世代例えば70nm以降の世代の半導体デバイスに対しても有効である。この場合、本実施形態の効果を得るためには、プリコート膜を形成するための希ガスを含むガスでのプラズマ処理を行う前に、SiCN:H膜4が形成されたシリコン基板1を450℃以下の温度で加熱する加熱処理を行うことが好ましいことが分かった。
【0065】
さらに、上記変形例を適宜組み合わせても構わない。
【0066】
(第2の実施形態)
次に、第2の実施形態に係る半導体装置の製造方法について説明する。なお、第1の実施形態と同じ工程は、図1および図2を参照しながら説明する。
【0067】
まず、第1の実施形態と同様に、図1(a)および図1(b)に示すように、シリコン基板1上に、層間絶縁膜2、Cu配線3およびSiCN:H膜4を形成する。
【0068】
次に、シリコン基板1を反応容器の内部に搬入し、該反応容器内に設けられた抵抗加熱ヒーターを有する基板支持台上にシリコン基板1を設置する。反応容器は特に減圧されておらず、反応容器内の気相中には大気と同程度の割合で酸素が存在する。
【0069】
次に、上記抵抗加熱ヒーターを用いて、シリコン基板1を200℃に加熱した後、図4に示すように、SiCN:H膜4の表面に紫外線12を照射する。紫外線12の光源としては、例えば172nm波長を有する紫外線を発生するランプ装置を用いる。また、紫外線12の照射時間は、例えば1分間である。
【0070】
紫外線12の照射を終えた後、反応容器内からシリコン基板1を搬出し、その後、第1の実施形態と同様に、図1(d)−図2(j)に示すように、SiCN:H膜4上に、SiCO:H膜6、SiO膜7、レジストパターン8,9、バリアメタル膜10およびCu配線11を形成する。
【0071】
本実施形態の方法でも、配線溝形成用のレジストパターン9となる化学増幅型レジストの解像の低下を防止でき、第1の実施形態と同様の効果が得られる。以下、化学増幅型レジストの解像の低下を防止でき理由について説明する。
【0072】
本実施形態の場合、SiCN:H膜4の表面に紫外線12を照射する際に、反応容器の気相中のOが励起され、酸素のラジカル(O)が発生する。このOは、SiCN:H膜4中の≡Si−NH基(NxHyの発生源)と以下の反応を起こし、SiCN:H膜4中から≡Si−NH基が取り除かれると考えられる。
【0073】
≡Si−NH+ 4O → ≡Si−O−Si≡ + NO+ H
本実施形態の場合、SiCO:H膜6の形成工程時におけるOプラズマによるSiCN:H膜4の酸化は抑制できないものの、上記のようにNxHyの発生源はなくなる。そのため、配線溝形成用のレジストパターン9となる化学増幅型レジストの露光部で発生した酸が、NxHyによって中和され、溶解が阻害されるという問題は起こらず、化学増幅型レジストの解像低下は起こらない。
【0074】
なお、本実施形態では、SiCO:H膜6の成膜の前処理として、紫外線12の照射処理を行ったが、電子線の照射処理を行っても同様の効果が得られる。これは、紫外線照射の場合と同様に気相中でOが形成され、さらに、SiCN:H膜4中のNxHyの発生源であるSi−NH基のSi−Si結合が、電子線のエネルギーにより切断されるためだと考えられる。特に、SiCN:H膜4中の水分が反応に関与した場合には以下のような反応式が考えられる。
【0075】
2≡Si−NH+ HO → ≡Si−O−Si≡ + 2NH
このような反応により、SiCO:H膜6の形成工程時におけるO2プラズマによる酸化を抑制することはできないが、SiCN:H膜4中のNxHyの発生源を低減することはできるために、図3に示したような配線溝形成用のレジストパターンの解像不良は、回避できることが判明した。
【0076】
また、本実施形態では、基板温度を200℃に加熱して紫外線の照射を行ったが、これに限定されるわけではなく、450℃以下であれば照射時間を最適化すれば、どの温度でも同様の効果を得ることが可能である。電子照射時の基板温度も同様に450℃以下であれば良い。また、紫外線や電子線以外のエネルギー線を使用することも可能である。
【0077】
(第3の実施形態)
次に、第3の実施形態に係る半導体装置の製造方法について説明する。なお、第1の実施形態と同じ工程は、図1および図2を参照しながら説明する。
【0078】
まず、第1の実施形態と同様に、図1(a)および図1(b)に示すように、シリコン基板1上に、層間絶縁膜2、Cu配線3およびSiCN:H膜4を形成する。
【0079】
次に、シリコン基板1を容器内に搬入し、該容器内にHOガスを導入し、その後、図5に示すように、上記容器内を湿度80%、温度45℃の加湿雰囲気13にし、加湿雰囲気13中にシリコン基板1を15分間晒す。
【0080】
次に、上記容器からシリコン基板1を搬出し、その後、反応容器内に設けられた抵抗加熱ヒーターを有する基板支持台上にシリコン基板1を設置し、該抵抗加熱ヒーターを用いてシリコン基板1を350℃に加熱する。
【0081】
その後、第1の実施形態と同様に、図1(d)−図2(j)に示すように、SiCN:H膜4上に、SiCO:H膜6、SiO膜7、レジストパターン8,9、バリアメタル膜10およびCu配線11を形成する。
【0082】
本実施形態の方法でも、配線溝形成用のレジストパターン9となる化学増幅型レジストの解像の低下を防止でき、第1の実施形態と同様の効果が得られる。以下、化学増幅型レジストの解像の低下を防止でき理由について説明する。
【0083】
本実施形態では、加湿雰囲気13中での加湿処理およびその後の加熱処理により、以下の反応がSiCN:H膜4中で起こり、NxHyを生成する原因となる≡Si−NHがSiCN:H膜4中から取り除かれると考えられる。
【0084】
2≡Si−NH+ HO → ≡Si−O−Si≡ + 2NH
本実施形態の場合、SiCO:H膜6の形成工程時におけるOプラズマによるSiCN:H膜4の酸化は抑制できないものの、上記のようにNxHyの発生源はなくなる。そのため、配線溝形成用のレジストパターン9となる化学増幅型レジストの露光部で発生した酸が、上記NxHyによって中和され、溶解が阻害されるという問題は起こらず、化学増幅型レジストの解像低下は起こらない。
【0085】
なお、本実施形態では、基板温度を45℃にして加湿処理を行い、その後、基板温度を350℃にして加熱処理を行ったが、各処理の基板温度はこれらの値に限定されるわけではなく、450℃以下であれば、各処理どの温度でも同様の効果を得ることが可能である。
【0086】
また、加湿処理は、減圧下で容器内に水分を導入することにより行うことも可能である。
【0087】
なお、本発明は、上記実施形態に限定されるものではない。例えば、上記実施形態では、2層のCu配線層の場合について説明したが、3層以上の多層Cu配線層も同様の方法により形成することができる。また、2層目以降の全てのCu配線層ではなく一部の層だけに本発明を適用しても構わない。
【0088】
また、上記実施形態では、Cu配線の場合について説明したが、本発明は他の金属配線にも適用可能である。また、基板はシリコン基板に限定されるものではなく、例えばSOI基板や、歪みシリコンを含む半導体基板、SiGe基板であっても構わない。
【0089】
さらに、ストッパ膜はSiCN:H膜4に限定されるものではなく、シリコン、炭素、窒素および水素を含む他の膜も使用可能である。同様に、低誘電率絶縁膜はSiCO:H膜(第2の絶縁膜)に限定されるものではなく、シリコン、炭素、酸素および水素を含む他の絶縁膜も使用可能である。
【0090】
さらにまた、上記実施形態には種々の段階の発明が含まれており、開示される複数の構成要件における適宜な組み合わせにより種々の発明が抽出され得る。例えば、実施形態に示される全構成要件から幾つかの構成要件が削除されても、発明が解決しようとする課題の欄で述べた課題を解決できる場合には、この構成要件が削除された構成が発明として抽出され得る。
【0091】
その他、本発明の要旨を逸脱しない範囲で、種々変形して実施できる。
【0092】
【発明の効果】
以上詳説したように本発明によれば、シリコン、炭素、窒素および水素を含む第1の絶縁膜と、シリコン、炭素、酸素および水素を含む第2の絶縁膜とを含む積層絶縁膜中に接続孔を形成し、その後、該積層絶縁膜上に溝形成用のレジストパターンとなるレジストを形成しても、該レジストの解像低下を防止することができる、上記第1および第2の絶縁膜の形成工程を含む半導体装置の製造方法を実現できるようになる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係る半導体装置の製造工程を示す断面図
【図2】図1に続く同半導体装置の製造工程を示す断面図
【図3】解像阻害物質が発生した場合のレジストパターンの断面形状を示す断面図
【図4】本発明の第2の実施形態に係る半導体装置の製造方法を説明するための断面図
【図5】本発明の第3の実施形態に係る半導体装置の製造方法を説明するための断面図
【符号の説明】
1…シリコン基板、2…層間絶縁膜、3…Cu配線、4…SiCN:H膜(第1の絶縁膜)、5…SiC:H膜、6…SiCO:H膜(第2の絶縁膜)、7…SiO膜(第3の絶縁膜)、8,9…レジストパターン、10…バリアメタル膜、11…Cu配線、12…紫外線、13…加湿雰囲気、h…ヴィアホール、t…配線溝

Claims (15)

  1. 第1の容器内で、シリコン、炭素、窒素および水素を含む第1の絶縁膜を基板上に形成する工程と、
    前記第1の容器とは別の第2の容器内に前記基板を入れ、前記第2の容器内で希ガスを放電させる工程と、
    前記第1の絶縁膜上に、シリコン、炭素、酸素および水素を含む第2の絶縁膜を形成する工程と
    を有することを特徴とする半導体装置の製造方法。
  2. 前記第2の容器内には、シリコンおよび炭素を含む膜、シリコン、炭素および水素を含む膜、シリコン、炭素および酸素を含む膜、またはシリコン、炭素、酸素および水素を含む膜があらかじめ形成されていることを特徴とする請求項1に記載の半導体装置の製造方法。
  3. 前記第2の容器内にあらかじめ形成された前記膜は、窒素を含まないことを特徴とする請求項2に記載の半導体装置の製造方法。
  4. 前記第1の絶縁膜の膜厚は、前記第2の絶縁膜の膜厚よりも小さいことを特徴とする請求項1−3のいずれか1項に記載の半導体装置の製造方法。
  5. 前記第1および前記第2の絶縁膜を、それぞれ、別の容器内で形成することを特徴とする請求項1−4のいずれか1項に記載の半導体装置の製造方法。
  6. 前記第2の絶縁膜を前記第2の容器内で形成することを特徴とする請求項5に記載の半導体装置の製造方法。
  7. 前記第2の絶縁膜上に、前記第2の絶縁膜と材料が異なる、第3の絶縁膜を形成する工程をさらに有することを特徴とする請求項1−6のいずれか1項に記載の半導体装置の製造方法。
  8. 前記第2の容器内で、前記希ガスを放電させることにより、前記第1の絶縁膜上に、前記第2の容器内にあらかじめ形成された前記膜の材料からなる薄膜を堆積させることを特徴とする請求項2−7のいずれ1項に記載の半導体装置の製造方法。
  9. 前記第2の絶縁膜をプラズマCVD法または塗布法により形成することを特徴とする請求項1−8のいずれか1項に記載の半導体装置の製造方法。
  10. シリコン、炭素、窒素および水素を含む第1の絶縁膜を基板上に形成する工程と、
    前記第1の絶縁膜にエネルギー線を照射する工程と、
    前記第1の絶縁膜上に、シリコン、炭素、酸素および水素を含む第2の絶縁膜をプラズマCVD法により形成する工程と
    を有することを特徴とする半導体装置の製造方法。
  11. 前記エネルギー線は、紫外線または電子線であることを特徴とする請求項10に記載の半導体装置の製造方法。
  12. 前記第1の絶縁膜に前記エネルギー線を照射する工程において、前記基板を加熱することを特徴とする請求項10または11に記載の半導体装置の製造方法。
  13. シリコン、炭素、窒素および水素を含む第1の絶縁膜を基板上に形成する工程と、
    前記基板をHOを含む加湿雰囲気中に晒す工程と、
    前記基板を加熱する工程と、
    前記第1の絶縁膜上に、シリコン、炭素、酸素および水素を含む第2の絶縁膜をプラズマCVD法により形成する工程と
    を有することを特徴とする半導体装置の製造方法。
  14. 前記基板を加熱する工程において、前記基板を減圧下で加熱することを特徴とする請求項13に記載の半導体装置の製造方法。
  15. 前記第1の絶縁膜および前記第2の絶縁膜を含む積層絶縁膜中に接続孔を形成する工程、前記積層絶縁膜に配線溝を形成する工程、および前記接続孔および配線溝内に導電膜を埋め込む工程をさらに備えていることを特徴とする請求項1−14のいずれか1項に記載の半導体装置の製造方法。
JP2002355728A 2002-12-06 2002-12-06 半導体装置の製造方法 Expired - Lifetime JP4142941B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2002355728A JP4142941B2 (ja) 2002-12-06 2002-12-06 半導体装置の製造方法
US10/726,678 US7129175B2 (en) 2002-12-06 2003-12-04 Method of manufacturing semiconductor device
TW092134359A TWI232484B (en) 2002-12-06 2003-12-05 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002355728A JP4142941B2 (ja) 2002-12-06 2002-12-06 半導体装置の製造方法

Publications (3)

Publication Number Publication Date
JP2004193162A true JP2004193162A (ja) 2004-07-08
JP2004193162A5 JP2004193162A5 (ja) 2006-01-19
JP4142941B2 JP4142941B2 (ja) 2008-09-03

Family

ID=32756333

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002355728A Expired - Lifetime JP4142941B2 (ja) 2002-12-06 2002-12-06 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US7129175B2 (ja)
JP (1) JP4142941B2 (ja)
TW (1) TWI232484B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006109735A1 (ja) * 2005-04-08 2006-10-19 Tokyo Electron Limited 成膜方法及び成膜装置
JP2007220998A (ja) * 2006-02-17 2007-08-30 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2018147976A (ja) * 2017-03-03 2018-09-20 キヤノン株式会社 固体撮像装置及びその製造方法

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4701017B2 (ja) * 2005-06-21 2011-06-15 パナソニック株式会社 半導体装置の製造方法及び半導体装置
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) * 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
JP6946374B2 (ja) 2019-06-20 2021-10-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5747119A (en) * 1993-02-05 1998-05-05 Kabushiki Kaisha Toshiba Vapor deposition method and apparatus
US6306746B1 (en) * 1999-12-30 2001-10-23 Koninklijke Philips Electronics Backend process for fuse link opening
US20020137323A1 (en) * 2001-01-03 2002-09-26 Loboda Mark Jon Metal ion diffusion barrier layers

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006109735A1 (ja) * 2005-04-08 2006-10-19 Tokyo Electron Limited 成膜方法及び成膜装置
KR100930430B1 (ko) * 2005-04-08 2009-12-08 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US7897205B2 (en) 2005-04-08 2011-03-01 Tokyo Electron Limited Film forming method and film forming apparatus
JP2007220998A (ja) * 2006-02-17 2007-08-30 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2018147976A (ja) * 2017-03-03 2018-09-20 キヤノン株式会社 固体撮像装置及びその製造方法

Also Published As

Publication number Publication date
TW200425226A (en) 2004-11-16
US20040166680A1 (en) 2004-08-26
TWI232484B (en) 2005-05-11
JP4142941B2 (ja) 2008-09-03
US7129175B2 (en) 2006-10-31

Similar Documents

Publication Publication Date Title
JP4142941B2 (ja) 半導体装置の製造方法
KR100516337B1 (ko) 반도체 디바이스 및 그 제조 방법
TWI358105B (en) Method for fabricating semiconductor device
JP4288251B2 (ja) 半導体相互接続構造体を形成する方法
JP4357434B2 (ja) 半導体装置の製造方法
US6537896B1 (en) Process for treating porous low k dielectric material in damascene structure to form a non-porous dielectric diffusion barrier on etched via and trench surfaces in the porous low k dielectric material
KR100392888B1 (ko) 반도체장치의 제조방법
US6670709B2 (en) Semiconductor device and method of manufacturing the same
TWI278968B (en) Method for forming a multi-layer low-k dual damascene
JP3193335B2 (ja) 半導体装置の製造方法
JPH10125782A (ja) 半導体装置の製造方法
JPH06208993A (ja) 半導体装置の製造方法
JP4567587B2 (ja) 半導体装置の製造方法
JP3768480B2 (ja) 半導体装置及びその製造方法
US20050095828A1 (en) Process for sealing plasma-damaged, porous low-k materials
US7541296B2 (en) Method for forming insulating film, method for forming multilayer structure and method for manufacturing semiconductor device
JP5200436B2 (ja) 半導体装置の製造方法
JPH10256372A (ja) 半導体装置の製造方法
JP2000243749A (ja) 絶縁膜の形成方法
US20040219795A1 (en) Method to improve breakdown voltage by H2 plasma treat
JP2005136308A (ja) 半導体装置の製造方法
JP2011124472A (ja) 半導体装置の製造方法
JP2009117739A (ja) 電子装置及びその製造方法
JP2005142433A (ja) 半導体装置の製造方法
JP2006059848A (ja) レジスト除去方法及び半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051129

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051129

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080422

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080513

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080610

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080613

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110620

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4142941

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120620

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120620

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130620

Year of fee payment: 5

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313115

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term