JP2003514397A - 自己整合されたビア構造における空隙誘電体 - Google Patents

自己整合されたビア構造における空隙誘電体

Info

Publication number
JP2003514397A
JP2003514397A JP2001537794A JP2001537794A JP2003514397A JP 2003514397 A JP2003514397 A JP 2003514397A JP 2001537794 A JP2001537794 A JP 2001537794A JP 2001537794 A JP2001537794 A JP 2001537794A JP 2003514397 A JP2003514397 A JP 2003514397A
Authority
JP
Japan
Prior art keywords
layer
metal
oxide layer
metal layer
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001537794A
Other languages
English (en)
Inventor
サブハス、ボスラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Philips Electronics NV filed Critical Philips Electronics NV
Publication of JP2003514397A publication Critical patent/JP2003514397A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 高速の相互接続構造および構造を作成するための方法が提供される。相互接続構造は、複数の金属配線(120)を有する第1の金属層を含み、且つ第1の金属層上に導電ビア金属層が画定されている。導電ビア金属層は、自己整合された導電ビア(140)を画定すべく配列されている。複数の空隙(202)が複数の金属配線(120)間に配置されるように、非コンフォーマル酸化物層(200)が、第1の金属層および導電ビア金属層上に画定される。それから、キャップ酸化物層(204)が非コンフォーマル酸化物(200)上に画定される。この例では、次の金属層が画定される前に、導電ビアの上面を露出させるために、CMP工程が実行され得る。空隙(202)は、導電ビアの不整合に関連する問題を生ずることなく画定される。

Description

【発明の詳細な説明】
【0001】 (関連出願に対する相互参照) この出願は、「自己整合された導電ビア構造を作成するためのプロセス(PR
OCESS FOR MAKING SELF−ALIGNED CONDUC
TIVE VIA STRUCTURES)」と題され且つ発明者としてSub
has BothraおよびJacob Haskellという名前が挙げられ
た、1997年6月30日に出願された係属中の合衆国特許出願番号第08/8
84,795号(代理人ドケット(摘要)番号VLSI 2901)の合衆国連
邦法35(特許法)第120条による一部継続出願である。この係属中の出願の
内容は、全ての目的のために引用によりここに組み込まれている。
【0002】 (発明の背景) (発明の属する技術分野) 本発明は、一般に半導体回路に関し、より詳細には、空隙を備える高速相互接
続配線を作成し且つこの高速相互接続配線を有する構造が結果的に得られる方法
に関する。
【0003】 (関連技術の説明) 集積回路(IC)の相互接続構造は、一般に、電気的相互接続装置に用いられ
且つ外部回路構成要素との相互接続を提供するためにパターニングされた金属配
線の形態をとる。例として、IC装置は、チャンネル領域により分離された、拡
散層からなるソースおよびドレイン領域と、チャンネル領域上に形成されたゲー
トとを有する金属酸化物半導体(「MOS」)装置を含んでいる。実際問題とし
て、ICチップは、MOSトランジスタのような、数千または数百万の装置を含
み得る。
【0004】 従来の金属相互接続構造における一つの問題は、フォトリソグラフィプロセス
において持ち込まれるミスアライメント(不整合)である。ビアホールは、典型
的には、誘電体層を通して、誘電体層の下に存在する層と誘電体層の上に存在す
る層との間に「電気的な」金属コンタクト(接触)を形成するために形成される
。回路が、ますます小さく且つ緻密になるにつれて、パターニングされた金属層
間の相互接続構造も、ますます緻密になっている。不幸にして、従来のフォトリ
ソグラフィ技術も、それらの限界まで押し進められており、パターニングされた
金属層間の不整合の影響を受ける。
【0005】 図1Aは、不整合の金属コンタクト28を有する従来の半導体装置の断面図で
ある。この半導体装置は、拡散領域12と、拡散領域間に画定されるポリシリコ
ンゲート14とを有する半導体基板10を含んでいる。第1の誘電体層19は、
半導体基板10、拡散領域12およびポリシリコンゲート14の上に堆積される
。ビアホールは、ポリシリコンゲート14および拡散領域12(すなわち、ソー
ス/ドレイン)へ達するように第1の誘電体層19に設けられる。それから、ビ
アホールはタングステンまたは金属により充填され、導電コンタクト16および
18が画定される。この例においては、導電コンタクト16および18は、いく
ぶん不整合であるが、この場合には重大な電気的な問題が生じることはない。し
かしながら、第2の誘電体層22を介して画定されている導電コンタクト28の
パターンニングには、深刻な不整合が生じていることが示されている。
【0006】 察知され得るように、これらのタイプの不整合は、素子のフィーチャサイズ(
feature size)が縮小化し続けるにつれて、より一般になってしま
っている。図解されているように、第1層金属配線24から第2層金属配線30
へ相互接続するのに用いられている導電コンタクト28は、隣接してパターンニ
ングされたフィーチャ間の電気的短絡を生じさせ得る。例として、相互接続密度
パターンが増大するとき、最も近いフィーチャ間間隔を決定するために設計者に
より使用されるレイアウト「設計ルール」は、必然的にそれらの限界に追い込ま
れる。すなわち、フィーチャは、フィーチャ間の電気的短絡を回避すべく充分に
離間させて間隔があけられるべく設計されるけれども、(緻密フォトリソグラフ
ィパターンニングにおいては避けることができない)不整合が、設計ルールが規
定された最小のフィーチャ間間隔にひどく違反する配置にフィーチャをレイアウ
トさせる。
【0007】 相互接続スピードが、金属の密度、金属階層の数、相互接続長さ、相互接続形
状、能動素子特性などのような多くの要因に依存していることも知られている。
しかしながら、与えられた相互接続系については、スピードは、相互接続抵抗、
キャパシタンスおよび駆動電流によって直接的に決定される。材料の観点からは
、支配的な要因は、相互接続系に用いられる誘電体(または絶縁材料)材料の誘
電率である。誘電体は、相互接続配線を囲み、且つ相互接続の寄生キャパシタン
スに寄与する。この寄生キャパシタンスは、絶縁体の誘電率に直接的に比例する
。周知のように、誘電率の減小は、結果として、相互接続スピードの増大を引き
起こし、且つ相互接続(すなわち、異なる金属層)に消費される電力も低下させ
る。この実現は、集積回路における応用のための低誘電率材料の分野における実
質的な活性化に導く。
【0008】 二酸化シリコン(集積回路において最も普通に採用される絶縁体である)の比
誘電率は、約4.0である。低誘電率材料としての応用のために現在リサーチさ
れる全ての材料は、2.0から4.0の範囲内の比誘電率を有している。しかし
ながら、最も低い誘電率を有する物質は、比誘電率が1の空気である。それゆえ
、誘電体としての空気の使用が最善の利益を提供するであろう。もちろん、この
ことは、当該産業において広く認識されている。
【0009】 最近、金属配線間の空隙の使用が、可能性のある解決策として提案されている
。例えば、誘電率を低下させるための空隙の従来の使用法が開示されている、J
.G.Fleming他による論文、「レベル内キャパシタンスを低下させるた
めの空隙構造の使用法(USE OF AIR GAP STRUCTURES
TO LOWER INTRALEVEL CAPACITANCE)」、D
UMIC Conference 222D/97/0139(1997年)、
を参照されたい。この論文は、参照によりここに組み込まれている。空隙の実現
は、キャパシタンスを低減するのを助けるけれども、従来の空隙の製作は、いく
つかの理由で実際的ではない。そのような理由の1つは、形成される空隙のサイ
ズおよび位置は、隣接する金属相互接続配線間の間隔に依存するということであ
る。間隔が特定の幅に成長したときは、空隙は金属配線のレベルの上方に延びる
(すなわち、より高いレベルに形成される)。続いて行われるCMP処理の間、
空隙は、残留物をトラップしおよび/または後工程のビア金属堆積における横梁
(stringer)を形成して、拡げることができ、そしてそれは、結果とし
て歩留まりの低下を生じさせ得る。
【0010】 図1Bは、空隙が金属配線間に意図的に製作されて、導電ビアにおける不整合
が生じたときに生じ得る問題を示している。例えば、基板50が示されており、
金属配線54、56を有している。それから、誘電体層52が、金属配線54、
56上に、空隙62が形成されるようにして、堆積される。この例において、空
隙62は金属配線54、56上に移動してしまったものとして示されているが、
誘電体層52の高さは、依然として、誘電体層52の上部を平坦化するために使
用される化学的機械的研磨(CMP)工程によって、空隙62が露出されないほ
ど、充分に厚い。何故ならば、導電ビア58および60における不整合が共通で
あり、誘電体層52を貫通してビアホールが形成されるときに、空隙62への通
路が画定されるからである。
【0011】 図1Cの上面図に示されるように、導電ビア58、60を形成するために用い
られるビアホールの不整合は、金属配線54、56に沿う異なる位置となる。ビ
アホールが画定され且つ空隙62への通路が作成されたときに、プロセスガスお
よび他の化学薬品が、空隙62内にトラップされ得ることに留意されたい。さら
にまた、ビアホールを充填すべく、タングステンの堆積が行なわれるとき、化学
蒸着法(CVD)工程を用いたタングステンの堆積は、タングステンに、空隙6
2の内壁64を覆うようにさせることができる。これが生じたとき、導電性リン
クは、タングステン被覆された空隙64を通して形成され得るとともに、導電ビ
ア58、60は、意図的でなく、電気的に接続されるかもしれない(すなわち、
電気的短絡を生じさせる)。もしもこれが生じたら、製作された回路全体は、そ
の意図された目的についての動作に失敗し、そしてそれはそれゆえ、生産におけ
る著しい低下を生じさせるであろう。
【0012】 したがって、上述の点から見て、空隙を組み込み、しかしながら、導電ビアの
不整合に関連のある問題を有することなく、高速相互接続構造を作成するための
方法の必要性がある。
【0013】 (発明の概要) 広く言えば、本発明は、空隙を有する高性能相互接続構造を作成する方法を提
供することにより、これらの必要性を満たすものである。本発明は、プロセス、
装置、システム、機器、または方法としてを含む、多数の手法に実現され得るこ
とを認識されるべきである。いくつかの、本発明の発明の実施形態が以下に説明
される。
【0014】 一つの実施形態において、相互接続構造が開示されている。相互接続構造は、
複数の金属配線を有する第1の金属層、および第1の金属層上に画定される導電
ビア金属層を含んでいる。導電ビア金属層は、自己整合された導電ビアを画定す
べく形成されている。非コンフォーマル(non−conformal)酸化物
層は、複数の空隙が、複数の金属配線間に配置されるように、第1の金属層およ
び導電ビア金属層上に画定される。キャップ酸化物層は、非コンフォーマル酸化
物上に画定される。この実施形態においては、次の金属層が画定される前に、導
電ビアの上面を露出させるべく、CMP工程が実行され得る。空隙は、導電ビア
の不整合に関連付けられる問題なしに画定されることに留意されるべきである。
【0015】 他の一つの実施形態においては、相互接続構造を作成する方法が開示されてい
る。この方法は、基板を設けること、および第1のレベル上に複数の金属配線を
形成することを含んでいる。それから、自己整合されたビアが、複数の金属配線
上に形成される。コンフォーマル酸化物層が、それから、少なくとも複数の金属
配線のいくつかの間に空隙が形成されるように、複数の金属配線および自己整合
されたビア上に堆積される。それゆえ、空隙は、相互接続構造に、より高いスピ
ードおよび性能を提供する。この好ましい実施形態においては、方法は、ボイド
を形成することなく高いアスペクト比の領域を充填すべく、コンフォーマル酸化
物層上に、キャップ酸化物層を堆積することをも含んでおり、そして、導電ビア
にまでCMP工程を実行する。
【0016】 さらにその他の一つの実施形態においては、半導体チップの相互接続構造が開
示されている。相互接続構造は、(a)下層上に画定される複数の金属配線を有
する金属層と、(b)当該導電ビア金属層が、自己整合された導電ビアを画定す
るように、第1の金属層上に画定される導電ビア金属層と、(c)第1の金属層
上に画定される非コンフォーマル酸化物層であって、そして導電ビア金属層は、
複数の金属配線の少なくともいくつかの間に配置される複数の空隙を画定する、
非コンフォーマル酸化物層と、(d)非コンフォーマル酸化物上に画定されるH
DP酸化物層と、を含んでいる。HDP酸化物層は、CMP工程が行なわれる前
に、導電ビア金属層間のトポグラフィックな変化を充填すべく形成されている。
【0017】 発明の他の局面および利点は、発明の原理を実施例を介して説明する添付図面
に関連して採用される以下の詳細な説明から明白になるであろう。
【0018】 (好ましい実施形態の詳細な説明) 本発明は、同様の参照番号が同様の構造的要素を示す、添付図面に関連付けら
れる以下の詳細な説明により容易に理解されるであろう。
【0019】 高速相互接続構造を作成する方法のための発明が開示されている。以下の説明
において、本発明の完全な理解を提供するために多数の具体的な細目が述べられ
ている。しかしながら、当業者には、本発明が、これらの具体的な細目のいくつ
かまたは全てがなくても実行され得ることが、理解されるであろう。他の例にお
いては、本発明を不必要にあいまいにすることがないようにするため、よく知ら
れているプロセス動作は、詳細には説明されていない。
【0020】 以下においては、議論は、まず、自己整合されたビア構造を作成するための方
法を画定する図2〜図5Kの参照を含んでいる。それから、図6A〜図7Cは、
自己整合されたビア構造を実現する金属配線間の空隙の製作に焦点を合わせるで
あろう。
【0021】 図2は、本発明の1つの実施形態に従って、多数の能動素子および製作された
層を有する半導体基板100の横断面図である。図示のように、半導体基板10
0は、半導体基板100内に形成され且つポリシリコンゲート114に関連付け
られた拡散領域112を有する。半導体設計において、隣接する能動素子を分離
するために典型的に実現されたフィールド酸化物113も示されている。第1の
誘電体層119は、半導体基板100、拡散領域112、ポリシリコンゲート1
14、およびフィールド酸化物113の各部分を覆って堆積される。概して、第
1の誘電体層119は、その後に堆積される層のために実質的に平坦な上面を提
供すべく、続いて平坦化される堆積された二酸化シリコン(SiO)層のよう
に一般的に使用されるいかなる誘電体であっても良い。例として、第1の誘電体
層119は、化学機械研磨(CMP)方法、または実質的に平坦な上面を提供す
るのに適する他の適切ないかなる方法を用いて平坦化しても良い。
【0022】 一旦平坦化されると、底部バリア層120cが、第1の誘電体層119上に、
約100×10−10mから約800×10−10mの間の好ましい厚さに堆積
される。さらに好ましくは、底部バリア層120cは、約150×10−10
から約500×10−10mの間の厚さに、そして最も好ましくは、約200×
10−10mの厚さに堆積される。この実施形態においては、底部バリア層12
0cは、第1の誘電体層119の上面上に平坦に堆積された窒化チタン(TiN
)層である。もちろん、チタン(Ti)、またはチタン/窒化チタン(Ti/T
iN)の組合わせのような、他の適切なバリア層も使用しても良い。
【0023】 一旦、底部バリア層120cが堆積されると、好ましくは約99.5%のアル
ミニウムと約0.5%の銅を含むアルミニウム/銅(Al/Cu)混合物からな
る、相互接続金属層120bが底部バリア層120c上に堆積される。相互接続
金属層120bは、好ましくは、約3,000×10−10mと約10,000
×10−10mの間の、そしてさらに好ましくは約4,000×10−10mと
約7,000×10−10mの間の、そして最も好ましくは約5,000×10 −10 mの厚さに堆積される。次に、約100×10−10mと800×10 10 mとの間の、より好ましくは約150×10−10mと600×10−10 mとの間の、さらに好ましくは約300×10−10mの厚さを有する好ましく
は窒化チタン(TiN)からなる上部バリア層120aが、相互接続金属層12
0b上に設けられる。上部バリア層120aは、チタン/窒化チタン(Ti/T
iN)の組合わせであってもよい。さらに、上部バリア層120aは、フォトリ
ソグラフィパターニング精度を改善するのを助ける良好な反射防止層(ARC)
材料である。以下に述べられるように、層120a、120b、および120c
は、半導体基板100に形成される相互接続装置に用いられ得る第1のレベルの
金属相互接続配線を形成すべく続いてパターニングされるであろう。したがって
、ポリシリコンゲート114および拡散領域112への導電ビアは、記述を容易
にするために示されていない。
【0024】 図3は、本発明の一つの実施形態に従って上部バリア層120a上にエッチン
グストップ層130が堆積された後における図2の横断面を示している。エッチ
ングストップ層130は、約200×10−10mと1,000×10−10
との間の、より好ましくは、約300×10−10mと800×10−10mと
の間の、最も好ましくは、約600×10−10mの、厚さを有する好ましくは
タングステンチタン(TiW)層である。他の一つの実施形態においては、エッ
チングストップ層130は、図5Bおよび表Aを参照して以下に非常に詳細に説
明されるであろうCl/BClエッチング薬品をストップするのに適するタ
ングステン(W)層またはタングステンシリサイド(WSi)層であっても良
い。
【0025】 図4は、エッチングストップ層130上に導電ビア金属層140bおよび上部
バリア層140aが連続的に堆積された後における図3の横断面図を示している
。好ましくは、導電ビア金属層140bは、上述された相互接続金属層120b
のそれと同様にアルミニウム/銅(Al/Cu)混合物である。好ましくは、導
電ビア金属層140bは、約3,000×10−10mと約10,000×10 −10 mの間の、そしてさらに好ましくは、約4,000×10−10mと約7
,000×10−10mの間の、そして最も好ましくは、約5,000×10 10 mの、厚さに堆積される。
【0026】 一旦、導電ビア金属層140bが、適切な厚さに堆積されると、窒化チタン(
TiN)層である上部バリア層140aが、約100×10−10mと約800
×10−10mとの間の、最も好ましくは、約150×10−10mと約600
×10−10mとの間の、さらに最も好ましくは約300×10−10mの、厚
さに堆積される。この実施形態において、上部バリア層140aは、上部バリア
層120aのそれと同様であり、チタンと窒化チタン(Ti/TiN)の組合せ
であっても良い。上述されたように、上部バリア層140aは、フォトリソグラ
フィパターニング工程を改善するのを助ける良好な反射防止層(ARC)材料で
ある。以下に示されるであろうように、導電ビア金属層140bおよび上部バリ
ア層140aは、好ましくは、第1の金属層120a、120b、および120
cから形成されるパターニングされた相互接続配線への電気的相互接続に用いら
れる自己整合導電ビアを画定すべく続いてパターニングされる。
【0027】 図5Aは、本発明の一つの実施形態に従って、上部バリア層140a上に、好
ましくは窒化シリコン(SiN)層である、ハードマスク層150が堆積された
後における図4の横断面図を示している。好ましくは、ハードマスクは、約50
0×10−10mと約2,000×10−10mとの間の、そしてより好ましく
は、約700×10−10mと約1,500×10−10mとの間の、さらに最
も好ましくは、約1,000×10−10mの、厚さに堆積される。一つの実施
形態において、ハードマスク層150は、図5Jの下方に示されるように、導電
ビア金属層140bおよび上部バリア層140aのパターニングによって形成さ
れる結果的な導電ビアをパターニングするためにその後に使用される。ハードマ
スク層150のための他の適切な材料は、窒化シリコン(SiN)層とほぼ同一
の厚さに堆積される二酸化シリコン(SiO)層、または酸窒化シリコン(S
iON)層であっても良い。
【0028】 ハードマスク層150が堆積された後に、フォトレジスト層160が、ハード
マスク層150の上面の上にスピンコートされる。一つの実施形態において、フ
ォトレジスト層160は、約3,000×10−10mと約15,000×10 −10 mとの間の、そしてより好ましくは約4,000×10−10mと約9,
000×10−10mとの間の、さらに最も好ましくは約5,000×10−1 mの厚さに設けられる。概して、フォトレジスト厚は、図5Cに示されるよう
に、ハードマスク150上にいくらかのフォトレジストが残るように選択される
【0029】 図5Bは、本発明の一つの実施形態に従って第1の誘電体層119上に堆積さ
れた最上部層の斜視図を示している。理解の容易さのために、下部金属配線上に
形成される導電ビアの自己整合特質の優位性を説明するために図5Bから図5K
においては最上部層のみが示される。この点において、フォトレジスト層160
は、(例えば、後述する図5Kに示されるように)層120a、120b、およ
び120cからパターニングされる所望の金属相互接続配線特質形状のアウトラ
インを画定するために、従来のフォトリソグラフィ技術を用いてパターニングさ
れる。一旦、フォトレジスト層160が図示されるようにパターニングされると
、半導体ウェハは、2つのエッチング工程に供される(Etch―1)。第1の
エッチング工程は、ハードマスク150層の露出された領域を通してのエッチン
グによく適合されている、O/CHFの化学的性質(後述の表Cに記述され
ている)を利用する。一旦、ハードマスク層150のエッチングが完了すると、
第2のCl/BClエッチングの化学的性質(後述の表Aに記述されている
)が上部バリア層140aおよび導電ビア金属層140bを通してのエッチング
に用いられる。しかしながら、上述したように、エッチングストップ層130は
、一旦導電ビア金属層140bが除去されたら、さらに何らかの物質が除去され
ないようにエッチング薬品を停止させるのに、むしろ非常に適している。
【0030】 図5Cは、本発明の一つの実施形態に従って図5BにおいてEtch―1のエ
ッチング工程が行なわれた後に結果として得られる構造を示している。それゆえ
、この展望は、パターニングされたフォトレジスト層160’が、下に位置する
面を保護しない領域において導電ビア金属層140bおよび上部バリア層140
aが完全に除去されることを説明する。Etch―1プロセスの後、フォトレジ
スト層160'は、約1,000×10−10mと約2,500×10−10
との間の、そして最も好ましくは約2,000×10−10mの、厚さを持って
残るであろう。最も重要なことは、ハードマスク層150、上部バリア層140
aおよび導電ビア金属層140bを通してのエッチングに用いられるエッチング
の化学的性質は、第2のCl/BClエッチングの化学的性質がチタンタン
グステン(TiW)、タングステン(W)、またはタングステンシリサイド(W
Si)を全くエッチングしないので、実質的にエッチストップ層130を通さ
ないことである。
【0031】 図5Dは、本発明の一つの実施形態に従って、エッチングストップ層130を
除去するのに用いられるもう一つのエッチング(Etch―2)工程を説明して
いる。好ましくは、エッチングストップ層130を除去するために、後述の表B
に記述されるBCl/SFの化学的性質が用いられる。一旦、Etch―2
が、パターニングされたフォトレジスト160'およびハードマスク150によ
って保護されていない領域上のエッチングストップ層130を除去すると、上部
バリア層120aが露出されるであろう。Etch―2の間、パターニングされ
たフォトレジスト160'の大部分は、実質的に除去されるであろうが、下に位
置するハードマスク150も、上部バリア層140aおよび導電ビア金属層14
0bの保護を助けるであろう。しかしながら、フォトレジスト160'が全く残
らないのを確実にするために、次の工程に進む前に従来のレジスト剥離工程が行
なわれる。
【0032】 図5Eは、本発明の一つの実施形態に従って、ハードマスク層150上に形成
されるフォトレジストマスクパターン152および他のエッチング工程(Etc
h―3)を示している。フォトレジストマスクパターン152は、好ましくは、
自己整合された導電ビアの位置を画定するために用いられるEtch―3工程か
らハードマスク150の選択された領域を保護するためにハードマスク150上
にパターニングされる。特に、結果として得られる導電ビア(すなわち、導電ビ
ア金属層140bおよび上部バリア層140aにより形成される)が、フォトレ
ジストマスクパターン152の下に位置している。図示されているように、フォ
トレジストマスクは、若干不整合(すなわち、右にシフトされている)されてい
る。これらの不整合は、共通であり、そして、非常に厳しい許容誤差の範囲内で
次第により小さなフィーチャをパターニングすることの必要性に伴って、より厳
密になってしまう。そのように、フォトレジストマスク152の一部分は、上部
バリア層120aの一部の上に、そして導電ビア金属層140bおよび上部バリ
ア層140aの側壁に付設される。それゆえ、ハードマスク150の左側部分は
、保護されず、それによって、後述する図6Aに図解されているように、より薄
い導電ビア構造を形成させるであろう。フォトレジストによって一旦パターニン
グされると、Etch―3は、好ましくは、フォトレジストマスクパターン15
2によって覆われていないハードマスク150の領域を除去するのに用いられる
。このように、Etch―3は、後述の表Cに記述される上述したO/CHF の化学的性質を実現する。
【0033】 図5Fは、半導体装置の表面全体にわたって画定され得る他の代表的なパター
ン(すなわち相互接続配線)を示している上面図である。この例においては、領
域180は、ハードマスク層150および上部バリア層120aの一部の上に画
定される例としてのフォトレジストパターン152を説明している。フォトレジ
ストマスクパターン152'の下で導電ビアが最終的に存在するであろう他の領
域も示されている。本発明の結果として得られるビア構造は、自己整合され、且
つ下に存在するハードマスク層150(すなわち、図5Kに示されるような)の
輪郭(すなわち、外形)の外側に画定されることはないので、従来技術のリーク
電流および短絡の問題は最早存在しないであろう。
【0034】 図5Gは、隣接する相互接続配線上のフォトレジストパターン152の次に画
定されるフォトレジストパターン152'を示す図5Fの上面図である。後述の
図6Bに示されるように、隣接する導電ビアが互いにすぐそばに設計され、且つ
フォトレジストパターンが、ほぼ適合するときでさえも、結果的な導電ビア金属
層140は、依然として、下に位置する相互接続配線120に完全に整合される
であろう。
【0035】 他の実施形態において、不整合により生じるより薄いビアの生成を回避するた
めに、設計者は、フォトレジストマスクパターン152、152'を画定するた
めに用いられるレチクルパターンのリサイズ(サイズ変更)を単に実施して良い
。図5Hに示されるように、フォトレジスト層をパターン化するのに用いられる
レチクルマスクのサイズを増大させるべく、且つより大きな形状を有するフォト
レジストマスク152および152'を画定すべく、リサイズが実施される。こ
のように、図5Eに示される結果として得られるフォトレジストマスクパターン
152は、最終的な導電ビアが後に存在するであろう、ハードマスク層150の
上面を完全に覆ってもよく、且つ後述の図5JにおいてEtch―4が行なわれ
る。
【0036】 図5Iは、フォトレジストパターン152および152'のサイズを増大させ
るべくリサイズが行なわれた後の図5Gの上面図である。しかしながら、隣接す
る相互接続配線上に2つの隣接して配置されるフォトレジストパターン152お
よび152'が存在するので、フォトレジスト材料は、融合しがちである。従来
技術の設計においては、不整合によりビアマスクが融合されると、導電ビアは必
然的に誤った電気的相互接続(すなわち、2つの隣接する相互接続配線が偶発的
に一緒に短絡されてしまうこと)を生成する一つの大きな導電ビアとして形成さ
れる。それゆえ、図5Iに示されるように仮にフォトレジストパターン152お
よび152'が融合されたとしても、結果的なビアが、依然として間隔をあけら
れ且つ後述の図6Dに示されるように完全に整合されるであろうことを実現する
ことが重要である。
【0037】 図5Jにおいて、一旦、フォトレジスト材料が剥離されると、ハードマスクパ
ターン150'が、上部バリア層140a上に残り、それによってハードマスク
パターン150の下に位置する領域を画定し、そしてそこでは、図5Kに示され
るように、結果としての導電ビア140が存在するであろう。したがって、Et
ch―4工程の間、ハードマスクパターン150'で覆われない全領域およびエ
ッチングストップ層130が除去され、それによって伝導性相互接続配線120
および導電ビア金属層140(残りのハードマスク150″とともに)を画定す
る。すなわち、Etch―4は、好ましくは、導電ビア金属層140bおよび上
部バリア層140aを通って下がりエッチングストップ層130へ、そして上部
バリア層120a、相互接続金属層120b、および底部バリア層120cを介
して下の第1の誘電体層119へエッチングするのによく適合するCl/BC
の化学的性質(後述の表Aに記述されている)である。結果として、図5K
は、下に位置する伝導性相互接続配線120に完全に自己整合される被覆導電ビ
ア140を有する結果的な伝導性相互接続配線120を示している。従来技術の
図1A〜図1Cにおけるように、伝導性相互接続配線120のエッジを超えてビ
アの重なりが生じることがないことは認識されるべきである。
【0038】 図6Aは、本発明の一つの実施形態に従って、自己整合される導電ビア140
を有する第1の金属レベル上の相互接続配線120の横断面図を示している。こ
の実施形態において、隣接する金属配線の間の空隙を有する伝導性配線120を
形成するために、非コンフォーマル(non−conformal)酸化物20
0が、相互接続配線120および導電ビア140の上に堆積される。図に示され
るように、非コンフォーマル酸化物200は、隣接する金属相互接続配線120
間に空隙202を形成するであろう。空隙202は、それゆえ、減小されたフィ
ーチャ間容量に起因して、相互接続配線の性能をより高いスピードに増大させる
ことを可能とするであろう。この実施形態において、非コンフォーマル酸化物2
00は、好ましくは、相互接続配線120および導電ビア140の両方の上に非
非コンフォーマルに堆積されるであろうプラズマエンハンス化学蒸着法(PEC
VD)により形成された酸化物である。代替的に、非コンフォーマル酸化物20
0は、バイアス電力の印加なしにチャンバ内で工程する高密度プラズマ(HDP
)堆積工程を用いても堆積することができる。いずれのケースにおいても、非コ
ンフォーマル酸化物200は、隣接する相互接続配線120の間に、空隙202
を残すべく形成されるべきである。
【0039】 この好ましい実施形態において、相互接続配線120の間の分離間隔は、好ま
しくは約2,000Åと約8,000Åとの間、そして最も好ましくは約4,0
00Åである。もちろん、分離間隔は、固有のIC設計および実現されるミクロ
ン技術に実際に依存する。相互接続配線120間の分離間隔が増すにつれて、相
互接続配線120間における空隙202の作成することがより困難になるであろ
う。好ましい実施形態において、非絶縁酸化物200は、好ましくは1,000
×10−10mと約5,000×10−10mとの範囲の間、そして最も好まし
くは約2,500×10−10mである厚さに堆積される。
【0040】 図6Bは、本発明の一つの実施形態に従って、HDP堆積工程が行なわれた後
の図6Aの構造を示している。HDP堆積は、非コンフォーマル酸化物200上
に酸化物204を堆積すべく形成されている。周知のように、HDP堆積は、空
所の形成なしに、高アスペクト比領域を充填すべく構成されるであろう。それゆ
え、酸化物204は、導電ビア140の最上部よりも高いレベルに堆積されるよ
うに形成されるべきである。一旦、酸化物204が堆積されると、構造は、堆積
された酸化物層の上面を平坦化させるべく化学機械研磨(CMP)工程を経て処
理され得る。
【0041】 好ましくは、CMP工程は、導電ビア140から酸化物層204および非コン
フォーマル酸化物200の最上部を除去すべく構成されているであろう。CMP
工程の間に、図6Cに図解されたように、導電ビア140の金属を露出させるべ
くハードマスク150″が除去されることが好ましい。一旦、CMP工程が行な
われると、金属層206は、全面にわたって堆積され、それからパターニング工
程が続いて行なわれ得る。
【0042】 パターニング工程は、各々導電ビア140に導電接触される金属フィーチャ2
06aおよび206bを画定すべく構成されるであろう。最も好ましい実施形態
においては、導電性金属配線206aおよび206bは、また、相互接続配線1
20に関連して議論した通り金属材料のサンドイッチとなる。本実施形態の自己
整合される導電ビアを実現した結果として、空隙202上に侵入するかもしれな
い導電ビアにおける不整合を持つことの心配なしに相互接続配線120間の空隙
202を集積化することも可能である。すなわち、相互接続配線120と導電ビ
ア140との間に不整合がないので、空隙202が、ガス、処理薬品およびそれ
と同種のものを空隙202内に導入するかもしれない不整合のビアホールに露出
することはないであろう。加えて、タングステン堆積工程の間に空隙がタングス
テンで堆積される問題も除去され、それによって相互接続配線120に沿う短絡
の危険を回避する。
【0043】 図7Aは、空隙202が自己整合されたビア構造と共に用いられる本発明の他
の実施形態を示している。この実施形態においては、非コンフォーマル酸化物2
00が空隙202を形成すべく堆積された後は、ヒドロジェンシルセスキオクサ
ン(hydrogen silsesquioxane(HSQ))層が非コン
フォーマル酸化物200上にスピンコートされる。このようにして、HSQ層2
05は、スピンコートされた(あるいは大きなトポグラフィ的な変動を有する外
面でさえも)構造全体にわたり、高アスペクト領域に充填すべく設計された主と
してスピン・オン・ガラス(SOG)材料である。この実施例において、HSQ
層205は、導電ビア140間の領域に充填される。
【0044】 一旦、HSQ層がスピンコートされると、この方法は、図7Bに示されるよう
に、HDP堆積に進むであろう。HDP堆積は、それゆえ、非コンフォーマル酸
化物200だけでなく、HSQ層205上に酸化物204を堆積するであろう。
さて、HDP酸化物204が堆積されると、図7Cに示されるように、堆積され
た酸化物の上面を平坦化するのにCMP工程が用いられる。上述されたように、
CMP工程は、好ましくは、酸化物を導電ビア104の最上部の金属部まで除去
すべく構成されている。したがって、ハードマスク150″は、後工程で堆積さ
れ且つパターニングされる金属配線206aおよび206bに対して、良好な伝
導性のコンタクトが、形成され得る。
【0045】 後述の表Aから表Cは、Etch―1、Etch―2、Etch―3、および
Etch―4として説明された種々のプラズマチャンバエッチング工程を実行す
るのに用いられる例示的なパラメータを説明するために提供されている。どのタ
イプのプラズマエッチャーも使用されてよいが、好ましいエッチャーは、カリフ
ォルニア、フレモントのラムリサーチ社(Lam Research Corp
oration)から入手されるであろう。特定の実施例においては、ラムリサ
ーチ9600SEが、表Aおよび表Bのエッチング工程を実行するのに用いられ
てよい。同様の方法で、ラムリサーチ4520XLが、表Cのエッチング工程を
実行するのに用いられてよい。さらに、次のパラメータは、例えば「6インチウ
ェハ」に関連しているけれども、パラメータは、半導体装置の製造に採用された
ような、種々のサイズおよび形状の基板に適合させるべく変更されてもよい。さ
らにまた、ここに説明された自己整合導電ビアは、0.35、0.25、0.1
8、0.15およびより小さなミクロン技術プロセスにて製作されてもよい。
【0046】
【表1】
【0047】
【表2】
【0048】
【表3】
【0049】 上述した発明は、理解の明確さを目的として幾分か詳細に説明されてきたが、
添付された特許請求の範囲の視野の範囲内において特定の変更および修正が実施
されてもよいことが分かるであろう。したがって、呈示した実施形態は、説明的
なものとして、且つ限定的にではなく考慮されるべきであり、該発明はここに与
えられた詳細に限定されることはなく、添付された特許請求の範囲の視野および
均等物の範囲内で変更されてもよい。
【図面の簡単な説明】
【図1A】 不整合の金属コンタクトを有する従来の半導体装置の横断面図。
【図1B】 不整合が、金属配線間に形成される空隙内に開口を生じさせ得るケースを示す
断面図。
【図1C】 導電ビアの形成が空隙内でのタングステンの堆積を生じさせ且つ結果として電
気的短絡を生じた図1Bの上面図。
【図2】 本発明の一つの実施形態に従って多数の活性装置と製作された層を有する半導
体基板の横断面図。
【図3】 本発明の一つの実施形態に従って上面バリア層上にエッチングストップ層が堆
積された後における図2の横断面図。
【図4】 本発明の一つの実施形態に従ってエッチングストップ層上に導電ビア金属層お
よび反射防止層が連続的に堆積された後における図3の横断面図。
【図5A】 本発明の一つの実施形態に従って反射防止層上にハードマスク層およびフォト
レジスト層が連続的に堆積された後における図4の横断面図。
【図5B】 本発明の一つの実施形態に従って第1の誘電体層上に堆積された最上部層の斜
視図。
【図5C】 本発明の一つの実施形態に従って図5Bにエッチング工程が行なわれた後に結
果として得られる構造を示している斜視図。
【図5D】 本発明の一つの実施形態に従ってエッチングストップ層の一部を除去するため
に用いられる他のエッチング工程を示している斜視図。
【図5E】 本発明の一つの実施形態に従って、残っているハードマスク層上に形成される
ビアのフォトレジストマスクパターンおよび他のエッチング工程を示している斜
視図。
【図5F】 本発明の一つの実施形態に従って、半導体装置の表面全体にわたって画定され
得る他の代表的な相互接続パターンおよびそれに関連するビアのフォトレジスト
マスクパターンを示している上面図。
【図5G】 本発明の一つの実施形態に従って、半導体装置の表面全体にわたって画定され
得る他の代表的な相互接続パターンおよびそれに関連するビアのフォトレジスト
マスクパターンを示している上面図。
【図5H】 本発明の一つの実施形態に従って、半導体装置の表面全体にわたって画定され
得る他の代表的な相互接続パターンおよびそれに関連するビアのフォトレジスト
マスクパターンを示している上面図。
【図5I】 本発明の一つの実施形態に従って、半導体装置の表面全体にわたって画定され
得る他の代表的な相互接続パターンおよびそれに関連するビアのフォトレジスト
マスクパターンを示している上面図。
【図5J】 本発明の一つの実施形態に従って、導電ビア構造の配置を画定するために用い
られる残りのハードマスクパターンを示している斜視図。
【図5K】 本発明の一つの実施形態に従って、相互接続配線上に形成される結果的に得ら
れる相互接続配線および整列された導電ビア構造を示している斜視図。
【図6】 図6A乃至図6Cは、金属層および自己整合されたビアの間に空隙を作成する
ための方法のを示している工程断面図。
【図7】 図7A乃至図7Cは、金属層および自己整合されたビアの間に空隙を形成すべ
く酸化物層を堆積するための代替的な方法を示している工程断面図。
【符号の説明】
120 金属配線 140 導電ビア 200 非コンフォーマル酸化物 202 空隙 204 キャップ酸化物
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5F033 HH09 HH18 HH19 HH23 HH28 HH33 JJ01 JJ09 JJ18 JJ19 JJ23 JJ28 JJ33 KK01 KK04 KK09 KK18 KK19 KK28 KK33 LL04 MM08 MM13 NN06 NN07 NN19 QQ03 QQ08 QQ09 QQ10 QQ11 QQ24 QQ28 QQ48 RR01 RR04 RR06 RR09 RR29 SS15 SS21 WW01 WW02 XX01 XX03 XX04 XX25 XX27

Claims (18)

    【特許請求の範囲】
  1. 【請求項1】 複数の金属配線を有する第1の金属層と、 前記第1の金属層上に画定され、自己整合された導電ビアを画定している導電
    ビア金属層と、 前記複数の金属配線間に配置される複数の空隙を画定して、前記第1の金属層
    および前記導電ビア金属層上に画定される非コンフォーマル酸化物層と、 前記非コンフォーマル酸化物上に画定されるキャップ酸化物層と、 を備えた相互接続構造。
  2. 【請求項2】 前記非コンフォーマル酸化物は、PECVD酸化物および非バイアスHDP酸
    化物のうちの1つである請求項1記載の相互接続構造。
  3. 【請求項3】 前記非コンフォーマル酸化物層の上で且つ前記キャップ金属層の下に配置され
    るHSQ層をさらに備えた請求項1記載の相互接続構造。
  4. 【請求項4】 前記キャップ金属層は、HDP酸化物である請求項1記載の相互接続構造。
  5. 【請求項5】 前記複数の金属配線間の分離間隔は、約2,000×10−10mと約8,0
    00×10−10mとの間で変化する請求項1記載の相互接続構造。
  6. 【請求項6】 前記非コンフォーマル酸化物層は、約1,000×10−10mと約5,00
    0×10−10mとの間の厚さを有する請求項1記載の相互接続構造。
  7. 【請求項7】 前記第1の金属層は、いかなるレベルの相互接続構造においても画定され、且
    つ前記導電ビア金属層は、前記第1の金属層上に画定される請求項1記載の相互
    接続構造。
  8. 【請求項8】 相互接続構造を作成する方法であって、 基板を提供する工程と、 第1のレベル上に複数の金属配線を形成する工程と、 前記複数の金属配線上に自己整合されたビアを形成する工程と、 少なくとも前記複数の金属配線のいくつかの間に空隙が形成されるように、前
    記複数の金属配線および前記自己整合されたビア上にコンフォーマル酸化物層を
    堆積する工程と、 を有する相互接続構造を作成する方法。
  9. 【請求項9】 ボイドを形成することなく高いアスペクト比の領域を充填すべく、前記コンフ
    ォーマル酸化物層上に、キャップ酸化物層を堆積する工程を更に有する請求項8
    記載の相互接続構造を作成する方法。
  10. 【請求項10】 前記キャップ酸化物層は、HDP酸化物堆積技法を用いて堆積される請求項9
    記載の相互接続構造を作成する方法。
  11. 【請求項11】 前記キャップ酸化物層および前記非コンフォーマル酸化物層を、前記自己整合
    されたビアを露出させるべく、化学的機械的研磨する工程を更に有する請求項9
    記載の相互接続構造を作成する方法。
  12. 【請求項12】 前記研磨されたキャップ酸化物層、前記非コンフォーマル酸化物層、および前
    記露出された自己整合されたビアの上に金属層を堆積する工程と、 少なくとも1つが、前記露出された自己整合されたビアに電気的に接触する金
    属配線を画定すべく前記金属層をパターニングする工程と、 を更に有する請求項11記載の相互接続構造を作成する方法。
  13. 【請求項13】 半導体チップの相互接続構造であって、 下層上に画定される複数の金属配線を有する金属層と、 前記第1の金属層上に画定され、自己整合された導電ビアを画定している導電
    ビア金属層と、 前記複数の金属配線の少なくともいくつかの間に配置される複数の空隙を画定
    して、前記第1の金属層および前記導電ビア金属層上に画定される非コンフォー
    マル酸化物層と、 前記非コンフォーマル酸化物上に画定されるHDP酸化物層であって、該HD
    P酸化物層は、前記導電ビア金属層間の形態上の変化を充填すべく形成されてい
    るHDP酸化物層と、 を備えた半導体チップの相互接続構造。
  14. 【請求項14】 前記非コンフォーマル酸化物は、PECVD酸化物および非バイアスHDP酸
    化物のうちの1つである請求項13記載の半導体チップの相互接続構造。
  15. 【請求項15】 前記非コンフォーマル酸化物層と前記HDP酸化物層との間に配置されるHS
    Q層をさらに具備する請求項14記載の半導体チップの相互接続構造。
  16. 【請求項16】 前記複数の金属配線間の分離間隔は、約2,000×10−10mと約8,0
    00×10−10mとの間で変化する請求項13記載の半導体チップの相互接続
    構造。
  17. 【請求項17】 前記非コンフォーマル酸化物層は、約1,000×10−10mと約5,00
    0×10−10mとの間の厚さを有する請求項13記載の半導体チップの相互接
    続構造。
  18. 【請求項18】 前記下層は、金属配線、導電ビア、および絶縁層のうちの少なくとも一部であ
    る請求項13記載の半導体チップの相互接続構造。
JP2001537794A 1999-11-12 2000-10-27 自己整合されたビア構造における空隙誘電体 Pending JP2003514397A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/439,098 US6281585B1 (en) 1997-06-30 1999-11-12 Air gap dielectric in self-aligned via structures
US09/439,098 1999-11-12
PCT/US2000/029519 WO2001037339A1 (en) 1999-11-12 2000-10-27 Air gap dielectric in self-aligned via structures

Publications (1)

Publication Number Publication Date
JP2003514397A true JP2003514397A (ja) 2003-04-15

Family

ID=23743285

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001537794A Pending JP2003514397A (ja) 1999-11-12 2000-10-27 自己整合されたビア構造における空隙誘電体

Country Status (6)

Country Link
US (1) US6281585B1 (ja)
EP (1) EP1151473A1 (ja)
JP (1) JP2003514397A (ja)
KR (1) KR20010105323A (ja)
CN (1) CN1343372A (ja)
WO (1) WO2001037339A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011176174A (ja) * 2010-02-25 2011-09-08 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
JP2013062464A (ja) * 2011-09-15 2013-04-04 Renesas Electronics Corp 半導体装置および半導体装置の製造方法

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6972421B2 (en) 2000-06-09 2005-12-06 Cymer, Inc. Extreme ultraviolet light source
KR100400035B1 (ko) * 2001-02-21 2003-09-29 삼성전자주식회사 균일한 접촉 저항을 갖는 콘택을 구비한 반도체 소자 및그의 제조방법
US7042095B2 (en) * 2002-03-29 2006-05-09 Renesas Technology Corp. Semiconductor device including an interconnect having copper as a main component
US6911389B2 (en) * 2002-09-18 2005-06-28 Texas Instruments Incorporated Self aligned vias in dual damascene interconnect, buried mask approach
US7214609B2 (en) * 2002-12-05 2007-05-08 Texas Instruments Incorporated Methods for forming single damascene via or trench cavities and for forming dual damascene via cavities
US20060183317A1 (en) * 2003-03-14 2006-08-17 Junji Noguchi Semiconductor device and a method of manufacturing the same
US7071532B2 (en) * 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
US7071091B2 (en) * 2004-04-20 2006-07-04 Intel Corporation Method of forming air gaps in a dielectric material using a sacrificial film
US20050245074A1 (en) * 2004-04-29 2005-11-03 Ping Jiang In-situ etch-stop etch and ashing in association with damascene processing in forming semiconductor interconnect structures
JP4956919B2 (ja) * 2005-06-08 2012-06-20 株式会社日立製作所 半導体装置およびその製造方法
KR100712517B1 (ko) * 2005-07-14 2007-04-30 삼성전자주식회사 에어 갭 구조를 갖는 반도체 소자의 인터포저
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
KR20090067453A (ko) * 2007-12-21 2009-06-25 주식회사 동부하이텍 반도체 소자 및 그의 제조 방법
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
CN102339789B (zh) * 2011-10-28 2015-06-10 上海华虹宏力半导体制造有限公司 金属互连结构的形成方法
KR20140018546A (ko) * 2012-08-02 2014-02-13 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US8669180B1 (en) * 2012-11-26 2014-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with self aligned end-to-end conductive line structure and method of forming the same
US9059257B2 (en) 2013-09-30 2015-06-16 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps
US9564396B2 (en) * 2014-09-26 2017-02-07 Taiwan Semiconductor Manufucturing Company, Ltd. Semiconductor device and process
WO2016158440A1 (ja) * 2015-03-31 2016-10-06 ソニーセミコンダクタソリューションズ株式会社 固体撮像素子および電子機器
US10256296B2 (en) 2015-11-24 2019-04-09 International Business Machines Corporation Middle-of-line (MOL) capacitance reduction for self-aligned contact in gate stack
US9837355B2 (en) 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
US9966337B1 (en) 2017-03-15 2018-05-08 International Business Machines Corporation Fully aligned via with integrated air gaps
US10361117B2 (en) 2017-12-11 2019-07-23 International Business Machines Corporation Selective ILD deposition for fully aligned via with airgap
US10692759B2 (en) * 2018-07-17 2020-06-23 Applied Materials, Inc. Methods for manufacturing an interconnect structure for semiconductor devices
US10796949B2 (en) 2018-10-19 2020-10-06 International Business Machines Corporation Airgap vias in electrical interconnects
US11508617B2 (en) * 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
KR20210049604A (ko) 2019-10-25 2021-05-06 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
CN110970379B (zh) * 2019-12-06 2022-07-12 中国科学院微电子研究所 金属化叠层及其制造方法及包括金属化叠层的电子设备
CN116169118A (zh) * 2019-12-06 2023-05-26 中国科学院微电子研究所 金属化叠层及其制造方法及包括金属化叠层的电子设备
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition
US11916010B2 (en) 2020-05-21 2024-02-27 Intel Corporation Back end of line integration for self-aligned vias

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4997746A (en) 1988-11-22 1991-03-05 Greco Nancy A Method of forming conductive lines and studs
US5439847A (en) 1993-11-05 1995-08-08 At&T Corp. Integrated circuit fabrication with a raised feature as mask
EP0706215A3 (en) * 1994-09-15 1996-11-20 Texas Instruments Inc Semiconductor device improvements and manufacturing
US5955786A (en) * 1995-06-07 1999-09-21 Advanced Micro Devices, Inc. Semiconductor device using uniform nonconformal deposition for forming low dielectric constant insulation between certain conductive lines
US5641712A (en) * 1995-08-07 1997-06-24 Motorola, Inc. Method and structure for reducing capacitance between interconnect lines
US5900668A (en) * 1995-11-30 1999-05-04 Advanced Micro Devices, Inc. Low capacitance interconnection
US5869880A (en) 1995-12-29 1999-02-09 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
US5759913A (en) 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
US5814555A (en) 1996-06-05 1998-09-29 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to lessen capacitive coupling
US5792706A (en) 1996-06-05 1998-08-11 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to reduce permitivity
US6100205A (en) * 1997-04-02 2000-08-08 United Microelectronics Corp. Intermetal dielectric layer formation with low dielectric constant using high density plasma chemical vapor deposition process
US6078088A (en) * 1999-01-05 2000-06-20 Advanced Micro Devices, Inc. Low dielectric semiconductor device with rigid lined interconnection system
US6200900B1 (en) * 1999-10-08 2001-03-13 National Semiconductor Corporation Method for formation of an air gap in an integrated circuit architecture

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011176174A (ja) * 2010-02-25 2011-09-08 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
JP2013062464A (ja) * 2011-09-15 2013-04-04 Renesas Electronics Corp 半導体装置および半導体装置の製造方法

Also Published As

Publication number Publication date
WO2001037339A1 (en) 2001-05-25
EP1151473A1 (en) 2001-11-07
CN1343372A (zh) 2002-04-03
US6281585B1 (en) 2001-08-28
KR20010105323A (ko) 2001-11-28

Similar Documents

Publication Publication Date Title
JP2003514397A (ja) 自己整合されたビア構造における空隙誘電体
US11217476B2 (en) Method of forming an interconnect structure of a semiconductor device
US9543193B2 (en) Non-hierarchical metal layers for integrated circuits
US6177329B1 (en) Integrated circuit structures having gas pockets and method for forming integrated circuit structures having gas pockets
US10784160B2 (en) Semiconductor device having voids and method of forming same
US20080237868A1 (en) Method and structure for ultra narrow crack stop for multilevel semiconductor device
US11594419B2 (en) Reduction of line wiggling
US9412651B2 (en) Air-gap formation in interconnect structures
JPH0855913A (ja) サブミクロン相互接続の選択的空隙充填方法
US20090087992A1 (en) Method of minimizing via sidewall damages during dual damascene trench reactive ion etching in a via first scheme
JPH10223760A (ja) アルミニウム相互接続のプラズマ処理による空気ギャップ形成の方法
US6133635A (en) Process for making self-aligned conductive via structures
US6248252B1 (en) Method of fabricating sub-micron metal lines
CN112435983A (zh) 金属内连线结构及其制作方法
US7112537B2 (en) Method of fabricating interconnection structure of semiconductor device
US11699589B2 (en) Method for forming patterned mask layer
TWI801614B (zh) 半導體元件及其製作方法
US8664743B1 (en) Air-gap formation in interconnect structures
US20230099965A1 (en) Airgap isolation for back-end-of-the-line semiconductor interconnect structure with top via
US20230102662A1 (en) Top via interconnects with line wiggling prevention
US6391766B1 (en) Method of making a slot via filled dual damascene structure with middle stop layer
TW201222724A (en) Dual damascene process
JP2003068846A (ja) 半導体装置およびその製造方法
KR20010113508A (ko) 이중 다마신 구조와 커패시터를 포함하는 집적회로
TW200805488A (en) Unlanded via process without plasma damage