JP2002110782A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法

Info

Publication number
JP2002110782A
JP2002110782A JP2000297485A JP2000297485A JP2002110782A JP 2002110782 A JP2002110782 A JP 2002110782A JP 2000297485 A JP2000297485 A JP 2000297485A JP 2000297485 A JP2000297485 A JP 2000297485A JP 2002110782 A JP2002110782 A JP 2002110782A
Authority
JP
Japan
Prior art keywords
trench
insulating film
film
groove
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000297485A
Other languages
English (en)
Other versions
JP3548512B2 (ja
Inventor
Kazuo Ogawa
和夫 小川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP2000297485A priority Critical patent/JP3548512B2/ja
Priority to KR10-2001-0055837A priority patent/KR100427782B1/ko
Priority to TW090123444A priority patent/TW508728B/zh
Priority to US09/964,995 priority patent/US20020056881A1/en
Publication of JP2002110782A publication Critical patent/JP2002110782A/ja
Application granted granted Critical
Publication of JP3548512B2 publication Critical patent/JP3548512B2/ja
Priority to US11/038,927 priority patent/US7273795B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 【課題】トレンチ素子分離領域の端部の窪み発生を安定
的に抑制し、ハンプ現象の生じないMOSトランジスタ
を安定して製造できるようにする。 【解決手段】シリコン基板1上にパット酸化膜2と窒化
珪素膜3を形成し、窒化珪素膜3をエッチングマスクに
したドライエッチングでトレンチ4を形成し、更に窒化
珪素膜3を酸化マスクにしてシリコン基板1を熱酸化
し、上記熱酸化工程において窒化珪素膜3表面に形成さ
れる改質層をフッ素含有の中性ラジカルで除去する。そ
して、上記改質層を除去した後に上記窒化珪素膜3表面
を所定の膜厚量エッチングし、窒化珪素膜3a形成後に
トレンチ4を充填するように全面に埋込み絶縁膜を堆積
させ、上記窒化珪素膜3aを研磨ストッパとして埋込み
絶縁膜を化学機械研磨してトレンチ素子分離絶縁物8を
形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置および
その製造方法に関し、特に、トレンチ素子分離領域の構
造とその形成方法に関する。
【0002】
【従来の技術】MOSトランジスタ等の半導体素子の構
造の微細化及び高密度化は依然として精力的に推し進め
られている。微細化については、現在では0.15μm
程度の寸法で形成される半導体素子が用いられ、この寸
法を設計基準にしたメモリデバイスあるいはロジックデ
バイス等の半導体装置が実用化あるいは開発検討されて
きている。
【0003】このような微細化は、半導体装置の高集積
化、高速化等による高性能化あるいは多機能化にとって
最も効果的な手法であり、今後の半導体装置の製造にと
って必須となっている。そして、このような半導体素子
の微細化に伴い、半導体素子間を電気的に分離する素子
分離領域は、トレンチ(溝)に絶縁体物が埋め込まれて
形成されるようになってきている。このトレンチ素子分
離の技術は古く20年以上も前から提案され、初めバイ
ポーラトランジスタで実用化され、現在ではMOSトラ
ンジスタで構成された半導体装置にも適用されるように
なった。
【0004】しかし、上記の場合に生ずる特有の問題
は、MOSトランジスタのサブスレッショールド特性に
おいてハンプ現象が生じ易くなることである。これにつ
いて図9に基づいて説明する。図9は、MOSトランジ
スタのソース−ドレイン電流とゲート電圧の関係を示
す。ここでは、いわゆるサブスレッショールド領域とチ
ャネル生成状態(すなわちオン状態)の領域とが示され
る。図中の異常な特性として破線で示すように、サブス
レッショールド領域において、正常なMOSトランジス
タの場合(実線で示される)よりソース−ドレイン電流
が増加するようになる。そして、この電流は、MOSト
ランジスタが完全にオン状態になると正常なMOSトラ
ンジスタの場合と同じになる。図9に示すようなソース
−ドレイン電流・ゲート電圧特性に現れる破線で示すよ
うなコブをハンプという。
【0005】このようなハンプ発生は、トレンチ上端部
が鋭い角部となり易いことによる。あるいは、トレンチ
に埋め込んだ絶縁体物に深い窪みが生じることに起因す
る。このようなハンプ現象が生じると、MOSトランジ
スタのしきい値が設計値より小さくなる。また、MOS
トランジスタのゲート絶縁膜の信頼性が低下するために
不良の半導体装置が多発し歩留まりが低下するようにな
る。
【0006】上記の特有の問題を解決する方法として種
々のものが提案されている。その中で、一例として特開
2000−049222号公報に記載された従来技術が
ある(以下、第1の従来例という)。この第1の従来例
について図10に従って説明する。図10はトレンチ素
子分離の製造工程順の略断面図である。以下、符号の説
明は本発明の説明に沿い上記公開公報に記載のものとは
変えてある。
【0007】図10(a)に示すように、シリコン基板
101の所定の領域に、パターニングしたパット酸化膜
102と窒化珪素膜103とをエッチングマスクにし、
ドライエッチングでトレンチ104を形成する。次に、
上記パット酸化膜102の露出部をエッチングし後退部
105を形成する。
【0008】次に、図10(b)に示すように、上記工
程で露出したトレンチ104の表面を等方性エッチング
処理し、トレンチ上端部の鋭い角部を丸めた角部106
にする。そして、熱酸化を行い、トレンチ104の内面
にライナー酸化膜107を形成する。ここで、ライナー
酸化膜107はパット酸化膜102と一体になる。更
に、化学気相成長(CVD)法で窒化珪素膜103を被
覆しトレンチ104を充填するように全面に埋込み用絶
縁膜108を堆積する。
【0009】次に、公知の化学機械研磨(CMP)法で
窒化珪素膜103を研磨ストッパとして上記の埋込み用
絶縁膜108を研磨し、図10(c)に示すように、ト
レンチ素子分離絶縁物109を充填する。
【0010】次に、上記窒化珪素膜103、および、上
記パット酸化膜102をエッチング除去する。このよう
にして、トレンチ104の上端部にあった鋭い角部は丸
められ、シリコン基板101の所定領域のトレンチ10
4にライナー酸化膜107とトレンチ素子分離絶縁物1
09とが充填されたトレンチ素子分離領域が形成され
る。しかし、この場合、トレンチ素子分離絶縁物109
の端部において窪み110,110aが形成され易い。
これは、窒化珪素膜103がトレンチ104の上端部で
オーバーハング形状になり、トレンチ素子分離絶縁物1
09が上記オーバーハングとなったところでトレンチ1
04を埋め込めなくなるからである。
【0011】以後の工程で(図示せず)ゲート絶縁膜を
形成し、上記ゲート絶縁膜を被覆し更にトレンチ素子分
離絶縁物109表面を跨るようにゲート電極を形成す
る。このようにして、トレンチ素子分離域で囲われるM
OSトランジスタを形成することになる。
【0012】そこで、上述したトレンチ素子分離絶縁物
の端部に生じる窪みを防止する技術が種々に検討されて
いる。その技術の1つに、上述したようなトレンチ素子
分離領域の形成において、ライナー酸化膜の形成工程後
に、窒化珪素膜表面を少しエッチングする工程(以下、
プルバック工程という)を加えてから埋込み用絶縁膜を
形成する手法が米国特許第5,981,356号に記載
されている(以下、第2の従来例と記す)。
【0013】次に、この第2の従来例について図11と
図12に従って説明する。図11と図12はトレンチ素
子分離の製造工程順の略断面図である。以下、符号の説
明は本発明の説明に沿い上記公報に記載のものとは変え
てある。
【0014】図11(a)に示すように、シリコン基板
201の所定の領域に、パターニングしたパット酸化膜
202と窒化珪素膜203とをエッチングマスクにし、
ドライエッチングでトレンチ204を形成する。そし
て、熱酸化でトレンチ204の内面にライナー酸化膜2
05を形成する。ここで、ライナー酸化膜205はパッ
ト酸化膜202と一体になる。
【0015】次に、希フッ酸溶液に浸した後、ホット燐
酸溶液で上記窒化珪素膜203表面をエッチングし(プ
ルバック工程)、図11(b)に示すような窒化珪素膜
203aを形成する。窒化珪素膜の端部206は、上記
トレンチの上端部から後退する。そして、ライナー酸化
膜205の形成領域から離れるようになる。
【0016】次に、図11(c)に示すように、窒化珪
素膜203aを被覆しトレンチ204を充填するように
全面に埋込み用絶縁膜207を堆積する。
【0017】そして、図12(a)に示すように、窒化
珪素膜203aを研磨ストッパとして上記の埋込み用絶
縁膜207をCMP法で研磨し、トレンチ素子分離絶縁
物208を充填する。
【0018】次に、上記窒化珪素膜203aをエッチン
グ除去する。そして、図12(b)に示すように、アル
ゴンのスパッタ等でトレンチ素子分離絶縁物208aの
表面を整形して、なだらかなトレンチ素子分離絶縁物2
08bを形成する。さらに、希フッ酸によるエッチング
を施して、ドーム形状のトレンチ素子分離絶縁物208
cにする。パット酸化膜202の膜厚は、上記の処理工
程で薄くなりパット酸化膜202aとなる。ここで、ラ
イナー酸化膜205はトレンチ素子分離絶縁物208a
で保護され、上記の処理工程でエッチングされることは
ない。この第2の従来例では、窒化珪素膜の端部206
がトレンチの上端部から後退するのに対応して、トレン
チ素子分離絶縁物208cはトレンチ204の上端部か
らはみ出るようになる。
【0019】米国特許第5,981,356号には記載
されていないが、MOSトランジスタの製造では、図1
2(c)に示すように、以後の工程でMOSトランジス
タのチャネルドープ層として拡散層209を形成する。
しかし、拡散層209はシリコン基板201表面部で不
均一な深さになる。これは、パット酸化膜202aとト
レンチ素子分離絶縁物208cの端部との膜厚が異なる
ために、不純物のイオン注入する工程においてその下の
シリコン基板201表面への注入深さが不均一になるか
らである。
【0020】このようにして、シリコン基板201の所
定領域のトレンチ204にライナー酸化膜205とトレ
ンチ素子分離絶縁物208cとが充填されたトレンチ素
子分離領域が形成される。
【0021】以後の工程で(図示せず)ゲート絶縁膜を
形成し、上記ゲート絶縁膜を被覆し更にトレンチ素子分
離絶縁物208c表面を跨るようにゲート電極を形成す
る。このようにして、トレンチ素子分離域で囲われるM
OSトランジスタを形成することになる。
【0022】
【発明が解決しようとする課題】上述したように、従来
の技術である第1の従来例では、トレンチ素子分離絶縁
物の端部に窪みが生じ易い。そして、トレンチ素子分離
領域を有する半導体装置の製造工程において上記の窪み
の制御は非常に困難である。これは、上述したように窒
化珪素膜103がトレンチ104の上端部でオーバーハ
ング形状になり易く、またその形状の制御が難しいから
である。
【0023】また、従来の技術である第2の従来例で
は、MOSトランジスタのしきい値のバラツキが大きく
なる。特にMOSトランジスタのチャネル幅が小さくな
るとこのバラツキは顕著になる。これは、上述したよう
にMOSトランジスタのチャネルドープ層となる拡散層
の深さが不均一となり、チャネルドープ層の不純物濃度
が不均一になるからである。
【0024】そして、この第2の従来例の技術では、上
記の窪みの発生を原理的にはなくすることができる。し
かし、この第2の従来例の技術を半導体装置の量産工程
に適用すると、上記窪みの生じる場合があり、また、そ
の窪みの深さが大きくばらつくようになる。これは、上
述したプルバック工程において、窒化珪素膜の端部20
6のトレンチ204の上端部から後退する量が制御でき
ないからである。
【0025】本発明の目的は、上記の問題を簡便に解決
し、高い制御性のもとに形成できるトレンチ素子分離の
構造とその製造方法を提供することにある。
【0026】
【課題を解決するための手段】このために本発明の半導
体装置では、半導体基板表面に設けた溝と、その内壁に
形成した酸化膜と、前記酸化膜を介して前記溝を充填す
る溝埋込み絶縁体物とを有し半導体素子間を絶縁体分離
するトレンチ素子分離領域において、前記溝の上端部と
前記溝埋込み絶縁体物の端部とが同一線上に位置するよ
うに形成されている。
【0027】あるいは、本発明の半導体装置では、半導
体基板表面に設けた溝と、その内壁に形成した酸化膜
と、前記酸化膜を介して前記溝を充填する溝埋込み絶縁
体物とを有しMOSトランジスタ間を絶縁体分離するト
レンチ素子分離領域において、前記溝の上端部と前記溝
埋込み絶縁体物の端部とが同一線上に位置するように形
成され、前記トレンチ素子分離領域で区画された半導体
基板表面に前記溝埋込み絶縁体物をマスクとした不純物
イオン注入を通して前記MOSトランジスタのチャネル
ドープ層が形成されている。
【0028】あるいは、本発明の半導体装置の製造方法
は、半導体基板表面にトレンチ素子分離領域を形成する
方法であって、前記半導体基板表面に第1の絶縁膜と耐
酸化性のある第2の絶縁膜とをこの順に積層しパターニ
ングする工程と、前記第2の絶縁膜パターンをエッチン
グマスクにして前記半導体基板をドライエッチングし溝
を形成する工程と、前記第2の絶縁膜パターンを酸化マ
スクにして前記半導体基板を熱酸化し前記溝の内壁に酸
化膜を形成する工程と、前記熱酸化工程において前記第
2の絶縁膜表面に形成される改質層をフッ素含有の中性
ラジカルで除去する工程と、前記改質層を除去した後、
前記第2の絶縁膜表面を所定の膜厚量エッチングする工
程と、前記第2の絶縁膜表面のエッチング後に前記溝を
充填するように全面に埋込み絶縁膜を堆積させ前記第2
の絶縁膜を研磨ストッパとして前記埋込み絶縁膜を化学
機械研磨し溝埋込み絶縁体物を形成する工程とを含む。
【0029】ここで、前記第2の絶縁膜はシリコン窒化
膜で構成される。また、前記半導体基板はシリコン基板
であり、前記中性ラジカルはフッ素ラジカルである。
【0030】そして、前記改質層除去の終点判定を反応
生成物NHからの波長336nmの発光の強度変化を計
測して行う。または、前記改質層除去の終点判定を反応
生成物CNからの波長388nmの発光の強度変化を計
測して行う。
【0031】そして、前記溝埋込み絶縁体物の端部位置
と前記溝の上端部位置とが一致するように前記第2の絶
縁膜を所定の膜厚量エッチングする。
【0032】あるいは、本発明の半導体装置の製造方法
では、前記溝埋込み絶縁体物を形成してトレンチ素子分
離領域を設けた後に、MOSトランジスタのチャネルド
ープ層をイオン注入と熱処理とで形成する。
【0033】上記の第1の絶縁膜は半導体基板の熱酸化
で形成するシリコン酸化膜であり、前記埋込み絶縁膜が
気相成長法で堆積するシリコン酸化膜である。
【0034】本発明では、上述した第2の絶縁膜表面の
改質層除去が安定して行える。そして、上述したプルバ
ック工程において、第2の絶縁膜のエッチング量は高精
度に制御される。
【0035】このために、素子活性領域とトレンチ素子
分離領域との境界部に生成する窪み、すなわちトレンチ
素子分離絶縁物の端部に生じる窪みの発生は安定して完
全に抑制される。そして、従来の技術で説明したハンプ
現象が完全に抑制される。また、MOSトランジスタの
しきい値のバラツキも大幅に低減する。このようにし
て、トレンチ素子分離領域を有するMOSトランジスタ
が高い制御性と高い歩留まりのもとで製造できるように
なる。
【0036】
【発明の実施の形態】次に、本発明の第1の実施の形態
を図1乃至図3に基づいて説明する。図1と図2は、本
発明のトレンチ素子分離領域の形成工程順の断面図であ
る。そして、図3は、本発明の特徴部を詳細に説明する
ための、トレンチ素子分離領域の形成における一工程の
断面図である。
【0037】従来の技術の図10(a)、図10(b)
で説明した製造工程は、本発明の実施の形態でも同様に
行われる。すなわち、図1(a)に示すように、シリコ
ン基板1の所定の領域に、第1の絶縁膜であるパターニ
ングしたパット酸化膜2と第2の絶縁膜である窒化珪素
膜3とをエッチングマスクにし、ドライエッチングでト
レンチ4を形成する。ここで、パット酸化膜2は膜厚1
0nm程度のシリコン酸化膜であり、窒化珪素膜3は膜
厚150nm程度である。そして、上記パット酸化膜2
の露出部にはエッチングで後退部5を形成する。
【0038】次に、図1(b)に示すように、上記工程
で露出したトレンチ4の表面を所定の条件で熱酸化し、
トレンチ4の内面にライナー酸化膜6を形成する。ここ
で、ライナー酸化膜6は、膜厚20nm程度のシリコン
酸化膜であり、パット酸化膜2と一体になる。ここで、
上記の所定の熱酸化で上述したような鋭い角部は除去さ
れ丸めた角部7となる。
【0039】上述したライナー酸化膜6を形成するため
の熱酸化により、窒化珪素膜3の表面は僅かに酸窒化膜
に改質される。これが改質層である。そこで、本発明で
は図3で詳述するような方法で上記の改質層を非常に高
い精度で除去する。引き続いて、図1(c)に示すよう
に、窒化珪素膜3表面を高精度にエッチングする。すな
わち、高精度のプルバック工程を施す。このプルバック
工程では、図1(c)に破線で記した窒化珪素膜3はエ
ッチングで一様に後退し、窒化珪素膜3aが形成され
る。ここで、その後退量は所定の値になるように高精度
に設定される。なお、上記のエッチング液は公知のホッ
ト燐酸溶液である。
【0040】次に、バイアスECR(Electron
Cyclotron Resonance)法による
プラズマCVD法で埋込み用絶縁膜を堆積する。この方
法では、モノシラン(SiH4 )ガスおよび亜酸化窒素
(N2 O)ガスをECRでプラズマ励起してシリコン酸
化膜を堆積する。なお、この場合には、上記プラズマと
シリコン基板間に直流バイアスが印加される。このバイ
アスECR法で成膜した埋込み用絶縁膜は、CVD法で
形成するシリコン酸化膜の中で特に緻密性の高い膜であ
る。
【0041】ここで、上記埋込み用絶縁膜に酸素雰囲気
で650℃程度の熱処理を施してもよい。この熱処理を
埋込み用絶縁膜の焼き締め処理という。この埋込み用絶
縁膜はこの焼き締め処理で更に緻密化される。
【0042】上述した埋込み用絶縁膜の緻密化の方法と
しては、埋込み用絶縁膜表面にレーザ光を照射する方法
がある。このレーザ光の照射で埋め込み用絶縁膜の表面
部にエネルギーを与える。ここで、レーザ光として、フ
ッ素(F2 )ガスからのエキシマレーザ光を使用すると
よい。このレーザ光の波長は157nm程度であり、埋
め込み用絶縁膜を構成するシリコン酸化膜を透過しな
い。このために、レーザ光のエネルギーは埋込み用絶縁
膜の表面部にのみ吸収される。この他、レーザ光として
は、ArFガスからのエキシマレーザ光でもよい。この
場合の波長は193nmであり上記の場合より深い範囲
まで緻密化した絶縁層が形成される。ここで、シリコン
基板1は室温程度の温度に保たれる。また、この場合の
雰囲気は、低圧の酸素雰囲気にするとよい。
【0043】上述したように、埋込み用絶縁膜を緻密化
すると、半導体装置の製造工程で必要なフッ酸系溶液で
の処理工程において、後述する溝埋込み絶縁体物のエッ
チングが抑制されるようになる。
【0044】そして、図1(d)に示すように、窒化珪
素膜3aを研磨ストッパとしCMP法で上記の埋込み用
絶縁膜を研磨し、溝埋込み絶縁体物であるトレンチ素子
分離絶縁物8をトレンチ4内に埋め込む。
【0045】このようにして、上述した窒化珪素膜3a
およびパット酸化膜2を除去する。そして、シリコン基
板1の主表面を露出させる。この工程でトレンチ素子分
離絶縁物8の表面もエッチングされる。更に、シリコン
基板1の主表面を熱酸化し保護絶縁膜9を形成した後、
図2(a)に示すように、レジストマスク10を形成し
これをイオン注入マスクにして、N型不純物イオン11
をイオン注入する。そして、N型拡散層12を形成す
る。同様に、図2(b)に示すように、レジストマスク
10aを形成しこれをイオン注入マスクにして、P型不
純物イオン13をイオン注入しP型拡散層14を形成す
る。ここで、N型拡散層12、P型拡散層14はMOS
トランジスタのチャネルドープ層となる。
【0046】以下、上記保護絶縁層9をフッ酸溶液で除
去する。この保護絶縁層9の除去工程で、トレンチ素子
分離絶縁物8の表面および端部もエッチングされる。こ
のようにして、シリコン基板1の所定領域のトレンチ4
にライナー酸化膜6とトレンチ素子分離絶縁物8とが充
填されたトレンチ素子分離領域が形成される。そして、
上記トレンチ素子分離絶縁物8で区画されたシリコン基
板1の表面にN型拡散層12とP型拡散層14がMOS
トランジスタのチャネルドープ層として形成される。
【0047】以後の工程では従来の技術と同様に、ゲー
ト絶縁膜を形成し、ゲート絶縁膜を被覆し更にトレンチ
素子分離絶縁物8表面を跨るようにゲート電極を形成す
る。このようにして、トレンチ素子分離域で囲われるM
OSトランジスタが形成される。
【0048】次に、本発明の特徴的な工程となる改質層
すなわち酸窒化膜の除去工程について図3に基づいて説
明する。ここで、図1と同じものは同一符号で示す。
【0049】図1で説明したように、シリコン基板1の
所定の領域に、パット酸化膜2、窒化珪素膜3をドライ
エッチングのマスクにしてトレンチ4を形成した後、所
定の熱酸化でライナー酸化膜6を形成する。この熱酸化
工程で、窒化珪素膜3の表面は酸窒化膜15に改質され
る。
【0050】本発明では、上記の酸窒化膜15の除去を
次のようなドライエッチングで行う。すなわち、フッ素
化合物と酸素とをプラズマ励起室でプラズマ励起する。
そして、上記プラズマ励起室から離れたチャンバーに図
3に示す構造のシリコン基板が載置される。そして、こ
のチャンバーには、プラズマ励起室で生成した活性種の
うち寿命の長い中性ラジカルA* が導入される。そし
て、本発明ではこの中性ラジカルA* により改質層であ
る上記酸窒化膜15を選択的に除去する。このようにし
てから、上述したプルバック工程において、窒化珪素膜
3の高精度の一様なエッチングがなされる。
【0051】具体的には、CHF3 とO2 とHeの混合
ガスを13.56MHzの高周波でプラズマ励起する。
このプラズマ励起で生じる中性ラジカル16はフッ素ラ
ジカルである。そして、このフッ素ラジカルにより上記
酸窒化膜15を30秒程度で除去する。ここで、上記酸
窒化膜15のみを高精度に除去するために、プラズマエ
ッチングにおける終点判定を行う。上述したプラズマエ
ッチングが開始されると酸窒化膜15とフッ素ラジカル
が化学反応し反応生成物NHが生じる。そこで、このN
Hから発光する336nmの発光強度変化をモニターす
る。上記酸窒化膜15が除去されると窒化珪素膜3が露
出する。この時点で、窒化珪素膜3とフッ素ラジカルと
が化学反応しNHが急増し336nmの発光強度が急増
する。この発光強度変化あるいは上記強度の微分変化よ
り終点判定をする。
【0052】あるいは、中性ラジカル16をCF4 とO
2 とHeの混合ガスのプラズマ励起で生成する。この場
合も、中性ラジカル16はフッ素ラジカルである。この
場合の終点検出は、反応生成物であるCNから発光する
388nmの発光強度変化モニターで行う。
【0053】この酸窒化膜15の除去工程において、ラ
イナー酸化膜6のエッチングが進行しないことが望まし
い。これについて、下記の表1に基づいて説明する。
【0054】
【表1】
【0055】従来の技術で説明したプルバック工程を施
すトレンチ素子分離領域の形成方法では、上記酸窒化膜
の除去は希フッ酸溶液中で行われる。表1では、この希
フッ酸溶液での除去を従来の方法として示している。そ
して、上記CHF3 とO2 とHeの混合ガスのプラズマ
励起の場合を本発明(1)とし、CF4 とO2 とHeの
混合ガスのプラズマ励起の場合を本発明(2)として示
す。
【0056】表1から判るように、酸窒化膜15を完全
に除去する場合に、従来の方法ではライナー酸化膜6が
9nm程度エッチングされる。これに対して、本発明
(1)の場合では、ライナー酸化膜6のエッチング量は
3nm程度で従来の方法を1とするとその場合の1/3
と大幅に低減する。更に、本発明(2)の場合では、エ
ッチング量は低減し従来の方法の場合の1/5程度にな
る。
【0057】次に、図4乃至図8で本発明の効果につい
て説明する。図4は、本発明の方法で酸窒化膜15を除
去した後の、上述した窒化珪素膜3表面のエッチング工
程、すなわちプルバック工程での窒化珪素膜のエッチン
グ量とエッチング時間の関係を示す。そして、図5は、
表1で示した従来の方法の例である。ここで、窒化珪素
膜3のエッチング液は公知のホット燐酸溶液である。
【0058】図4に示すように、窒化珪素膜のエッチン
グ量はエッチング時間に正比例する。この場合には、後
述するエッチング無効時間は全く存在しない。このため
に、本発明では、プルバック工程で、窒化珪素膜の一様
なエッチングが高精度に行えるようになる。
【0059】これに対して、従来の方法で酸窒化膜を除
去した後、プルバック工程で窒化珪素膜をエッチングす
る場合には、図5に示すようにエッチング無効時間t
1、t2、t3が生じる。このエッチング無効時間で
は、窒化珪素膜のエッチングが進行しない。これは、従
来の方法では、改質層である酸窒化膜が希フッ酸溶液で
完全にしかも安定して除去できないためである。しか
も、このエッチング無効時間は、t1、t2、t3のよ
うに製造工程で大きくばらつく。この従来の方法では、
窒化珪素膜の高精度なエッチングはできない。
【0060】図4に基づき説明したように、本発明では
プルバック工程で窒化珪素膜のエッチングを高精度に行
える。このために、本発明ではトレンチ素子分離領域の
形成が高い制御性の下に行えるようになる。図6乃至図
8に基づいて上記効果を説明する。図6乃至図7は、図
2で説明したN型(P型)拡散層を形成後の断面図であ
る。ここで、図1および図2で説明したものと同じもの
は同一符号で示す。
【0061】図6で説明したように、シリコン基板1の
所定の領域にトレンチ4が形成され、その側壁にライナ
ー酸化膜6が形成され、トレンチ素子分離絶縁物8がト
レンチ4内に埋め込まれて形成される。そして、破線で
示した窒化珪素膜3aは除去され、拡散層17(上述し
たN型拡散層12あるいはP型拡散層14に相当する)
が、保護絶縁膜9を通したイオン注入とその後の熱処理
とで形成される。この拡散層17はMOSトランジスタ
のチャネルドープ層となる。
【0062】本発明では、上述したようにプルバック工
程で窒化珪素膜3を高精度にエッチングできるために、
破線で示した窒化珪素膜3aの端部(トレンチ素子分離
絶縁物8の端部)とトレンチ4の上端部の相対的な位置
関係を高精度に制御できる。そして、上記イオン注入す
る工程において、トレンチ素子分離絶縁物8の端部とト
レンチ4の上端部とが同一位置になるように形成され
る。このようにして、後述するような窪みの無いトレン
チ素子分離絶縁物8が形成できる。また、シリコン基板
1表面に拡散層17が一様に形成できるようになる。
【0063】これに対して、上述した従来の方法では、
プルバック工程においてエッチング無効時間が生じ易
く、窒化珪素膜3のエッチング制御が難しい。図7
(a)に示すように、プルバック工程の上記エッチング
後において、窒化珪素膜3のエッチング量が小さく窒化
珪素膜3aがトレンチ4の上端部でオーバーハングにな
ると、トレンチ素子分離絶縁物8に窪み18が形成され
るようになる。この場合には、拡散層17はシリコン基
板1の表面部に一様に形成される。
【0064】そして、図7(b)に示すように、プルバ
ック工程の上記エッチング後において、窒化珪素膜3の
エッチング量が大きく窒化珪素膜3aがトレンチ4の上
端部から後退する場合には、上述した窪みの発生は無く
なるが、シリコン基板1表面部に不均一な拡散層17a
が形成され易くなる。これは、トレンチ素子分離絶縁物
8が上記イオン注入する工程においてマスクとなり、そ
の下に不純物注入ができなくなるからである。この拡散
層の不均一性は、MOSトランジスタを形成した後のそ
のしきい値に大きな影響を及ぼす。
【0065】次に、上記MOSトランジスタのしきい値
の偏差と上記プルバック工程での窒化珪素膜3のエッチ
ング量との関係を示す。このしきい値の偏差は半導体装
置製造の1ロット分のN型チャネルのMOSトランジス
タのものである。プルバック工程での窒化珪素膜エッチ
ング量がある値(図8では20nm)までは、しきい値
偏差は一定であるが、エッチング量がそれ以上になると
しきい値偏差はエッチング量と共に増加するようにな
る。上記のある値は、図6で説明したようにイオン注入
する工程において、トレンチ素子分離絶縁物8の端部と
トレンチ4の上端部とが同一位置になるところである。
このような現象は、MOSトランジスタが微細化しチャ
ネル幅が小さくなることにより顕著に現れる。
【0066】以上の実施の形態では、埋込み用絶縁膜を
バイアスECR法で堆積した。この他の高密度プラズマ
励起のCVD法で埋込み用絶縁膜を堆積させる場合で
も、本発明は同様に適用できることに言及しておく。ま
た、上記の実施の形態では、埋込み用絶縁膜がシリコン
酸化膜で構成される場合について説明した。この埋込み
用絶縁膜がシリコンオキシナイトライド膜で構成されて
も本発明は同様に適用できることにも言及しておく。
【0067】また、本発明では耐酸化性の絶縁膜として
窒化珪素膜を用いた場合について説明した。本発明はこ
れに限定されるものではない。本発明は、その他のアル
ミナ膜等を用いても同様に適用できる。
【0068】また、本発明は、トレンチ素子分離領域を
形成する場合に限定されるものでなく、LOCOS(L
ocal Oxidation of Silico
n)形成後に窒化珪素膜のような耐酸化性の絶縁膜をエ
ッチング除去する場合にも適用できるものである。
【0069】なお、本発明は上記実施の形態に限定され
ず、本発明の技術思想の範囲内において、各実施の形態
が適宜変更され得ることは明らかである。
【0070】
【発明の効果】以上に説明したように本発明では、半導
体基板表面にトレンチ素子分離領域を形成する場合に、
半導体基板表面に第1の絶縁膜と耐酸化性のある第2の
絶縁膜とをこの順に積層しパターニングし、第2の絶縁
膜パターンをエッチングマスクにして半導体基板をドラ
イエッチングして溝を形成し、更に上記第2の絶縁膜パ
ターンを酸化マスクにして半導体基板を熱酸化し、上記
熱酸化工程において第2の絶縁膜表面に形成される改質
層をフッ素含有の中性ラジカルで除去する。そして、上
記改質層を除去した後に上記第2の絶縁膜表面を所定の
膜厚量エッチングし、上記第2の絶縁膜表面のエッチン
グ後に溝を充填するように全面に埋込み絶縁膜を堆積さ
せ、上記第2の絶縁膜を研磨ストッパとして埋込み絶縁
膜を化学機械研磨してトレンチ素子分離絶縁物を形成す
る。そして、本発明では、上記トレンチ素子分離領域に
おいて、上記溝の上端部と上記トレンチ素子分離絶縁物
の端部とが同一線上に位置するように形成される。
【0071】このために、溝を充填するトレンチ素子分
離絶縁物の端部での窪みの形成は安定して抑えられ、そ
の深さのバラツキはなくなる。そして、トレンチ素子分
離領域を有するMOSトランジスタにおいて、上述した
ハンプの現象は完全に抑制されると共にそのしきい値は
高精度に制御でき、そのバラツキも大幅に低減する。こ
のようにして、トレンチ素子分離領域を有するMOSト
ランジスタが高い制御性と高い歩留まりのもとで形成で
きるようになる。
【0072】更に、トレンチ素子分離域を有するMOS
トランジスタの微細化は容易になり、半導体装置の高集
積化、高密度化が促進される。また、半導体装置の高信
頼性および高歩留まりが確保できる。
【図面の簡単な説明】
【図1】本発明の実施の形態を説明するためのトレンチ
素子分離領域の製造工程順の断面図である。
【図2】上記工程の続きを説明するためのトレンチ素子
分離領域の製造工程順の断面図である。
【図3】本発明の特徴を説明するためのトレンチ素子分
離領域形成の一工程の断面図である。
【図4】本発明の効果を説明するためのグラフである。
【図5】本発明の効果を説明するためのグラフである。
【図6】本発明の構造とその効果を説明するためのトレ
ンチ素子分離領域の一工程後の断面図である。
【図7】本発明の構造とその効果を説明するためのトレ
ンチ素子分離領域の一工程後の断面図である。
【図8】本発明の構造における効果を説明するためのグ
ラフである。
【図9】本発明の効果を説明するMOSトランジスタの
特性を示すグラフである。
【図10】第1の従来例の技術を説明するためのトレン
チ素子分離領域の製造工程順の断面図である。
【図11】第2の従来例の技術を説明するためのトレン
チ素子分離領域の製造工程順の断面図である。
【図12】上記工程の続きを説明するためのトレンチ素
子分離領域の製造工程順の断面図である。
【符号の説明】
1,101,201 シリコン基板 2,102,202,202a パット酸化膜 3,103,203,203a 窒化珪素膜 4,104,204 トレンチ 5,105 後退部 6,107,205 ライナー酸化膜 7,106 丸めた角部 8,109,208,208a,208b,208c
トレンチ素子分離絶縁物 9 保護絶縁膜 10,10a レジストマスク 11 N型不純物イオン 12 N型拡散層 13 P型不純物イオン 14 P型拡散層 15 酸窒化膜 16 中性ラジカル 17,17a,209 拡散層 18,110,110a 窪み 108,207 埋込み用絶縁膜 206 窒化珪素膜の端部

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板表面に設けた溝と、その内壁
    に形成した酸化膜と、前記酸化膜を介して前記溝を充填
    する溝埋込み絶縁体物とを有し半導体素子間を絶縁体分
    離するトレンチ素子分離領域において、前記溝の上端部
    と前記溝埋込み絶縁体物の端部とが同一線上に位置する
    ように形成されていることを特徴とする半導体装置。
  2. 【請求項2】 半導体基板表面に設けた溝と、その内壁
    に形成した酸化膜と、前記酸化膜を介して前記溝を充填
    する溝埋込み絶縁体物とを有し絶縁ゲート電界効果トラ
    ンジスタ(以下、MOSトランジスタという)間を絶縁
    体分離するトレンチ素子分離領域において、前記溝の上
    端部と前記溝埋込み絶縁体物の端部とが同一線上に位置
    するように形成され、前記トレンチ素子分離領域で区画
    された半導体基板表面に前記溝埋込み絶縁体物をマスク
    とした不純物イオン注入を通して前記MOSトランジス
    タのチャネルドープ層が形成されていることを特徴とす
    る半導体装置。
  3. 【請求項3】 半導体基板表面にトレンチ素子分離領域
    を形成する方法であって、前記半導体基板表面に第1の
    絶縁膜と耐酸化性のある第2の絶縁膜とをこの順に積層
    しパターニングする工程と、 前記第2の絶縁膜パターンをエッチングマスクにして前
    記半導体基板をドライエッチングし溝を形成する工程
    と、 前記第2の絶縁膜パターンを酸化マスクにして前記半導
    体基板を熱酸化し前記溝の内壁に酸化膜を形成する工程
    と、 前記熱酸化工程において前記第2の絶縁膜表面に形成さ
    れる改質層をフッ素含有の中性ラジカルで除去する工程
    と、 前記改質層を除去した後、前記第2の絶縁膜表面を所定
    の膜厚量エッチングする工程と 前記第2の絶縁膜表面のエッチング後に前記溝を充填す
    るように全面に埋込み絶縁膜を堆積させ前記第2の絶縁
    膜を研磨ストッパとして前記埋込み絶縁膜を化学機械研
    磨し溝埋込み絶縁体物を形成する工程と、を含むことを
    特徴とする半導体装置の製造方法。
  4. 【請求項4】 前記第2の絶縁膜がシリコン窒化膜で構
    成されることを特徴とする請求項3記載の半導体装置の
    製造方法。
  5. 【請求項5】 前記半導体基板がシリコン基板であり前
    記中性ラジカルがフッ素ラジカルであることを特徴とす
    る請求項4記載の半導体装置の製造方法。
  6. 【請求項6】 前記改質層除去の終点判定を反応生成物
    NHからの波長336nmの発光の強度変化を計測して
    行うことを特徴とする請求項5記載の半導体装置の製造
    方法。
  7. 【請求項7】 前記改質層除去の終点判定を反応生成物
    CNからの波長388nmの発光の強度変化を計測して
    行うことを特徴とする請求項5記載の半導体装置の製造
    方法。
  8. 【請求項8】 前記溝埋込み絶縁体物の端部と前記溝の
    上端部とが同一線上に位置するように前記第2の絶縁膜
    の膜厚量エッチング調整することを特徴とする請求項3
    から請求項7のうち1つの請求項に記載の半導体装置の
    製造方法。
  9. 【請求項9】 前記溝埋込み絶縁体物を形成してトレン
    チ素子分離領域を設けた後に、MOSトランジスタのチ
    ャネルドープ層をイオン注入と熱処理とで形成すること
    を特徴とする請求項8記載の半導体装置の製造方法。
  10. 【請求項10】 前記第1の絶縁膜が半導体基板の熱酸
    化で形成するシリコン酸化膜であり、前記埋込み絶縁膜
    が気相成長法で堆積するシリコン酸化膜であることを特
    徴とする請求項3から請求項9のうち1つの請求項に記
    載の半導体装置の製造方法。
JP2000297485A 2000-09-28 2000-09-28 半導体装置の製造方法 Expired - Fee Related JP3548512B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2000297485A JP3548512B2 (ja) 2000-09-28 2000-09-28 半導体装置の製造方法
KR10-2001-0055837A KR100427782B1 (ko) 2000-09-28 2001-09-11 반도체장치 및 그 제조방법
TW090123444A TW508728B (en) 2000-09-28 2001-09-24 Semiconductor device and manufacturing method therefor
US09/964,995 US20020056881A1 (en) 2000-09-28 2001-09-27 Semiconductor device and manufacturing method therefor
US11/038,927 US7273795B2 (en) 2000-09-28 2005-01-19 Method for forming a trench element separation region in a semiconductor substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000297485A JP3548512B2 (ja) 2000-09-28 2000-09-28 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2002110782A true JP2002110782A (ja) 2002-04-12
JP3548512B2 JP3548512B2 (ja) 2004-07-28

Family

ID=18779597

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000297485A Expired - Fee Related JP3548512B2 (ja) 2000-09-28 2000-09-28 半導体装置の製造方法

Country Status (4)

Country Link
US (2) US20020056881A1 (ja)
JP (1) JP3548512B2 (ja)
KR (1) KR100427782B1 (ja)
TW (1) TW508728B (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006108423A (ja) * 2004-10-06 2006-04-20 Oki Electric Ind Co Ltd 素子分離構造部の製造方法
US7666735B1 (en) 2005-02-10 2010-02-23 Advanced Micro Devices, Inc. Method for forming semiconductor devices with active silicon height variation
KR100643468B1 (ko) * 2005-12-01 2006-11-10 동부일렉트로닉스 주식회사 절연막 스페이서가 형성된 비휘발성 메모리 소자 및 그제조 방법
WO2014194049A1 (en) * 2013-05-31 2014-12-04 The Regents Of The University Of California Through silicon vias and thermocompression bonding using inkjet-printed nanoparticles
US10020185B2 (en) 2014-10-07 2018-07-10 Samsung Sdi Co., Ltd. Composition for forming silica layer, silica layer, and electronic device
KR101833800B1 (ko) 2014-12-19 2018-03-02 삼성에스디아이 주식회사 실리카계 막 형성용 조성물, 실리카계 막의 제조방법 및 상기 실리카계 막을 포함하는 전자 소자
KR101837971B1 (ko) 2014-12-19 2018-03-13 삼성에스디아이 주식회사 실리카계 막 형성용 조성물, 실리카계 막, 및 전자 디바이스
KR20170014946A (ko) 2015-07-31 2017-02-08 삼성에스디아이 주식회사 실리카 막 형성용 조성물, 실리카 막의 제조방법 및 실리카 막
KR101867462B1 (ko) * 2016-08-19 2018-06-15 뱅가드 인터내셔널 세미컨덕터 코포레이션 트렌치 분리 구조의 제조 방법
JP7114554B2 (ja) * 2019-11-22 2022-08-08 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN117750754A (zh) * 2022-09-13 2024-03-22 长鑫存储技术有限公司 半导体结构及其形成方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100292614B1 (ko) * 1998-04-27 2001-07-12 윤종용 트렌치 격리 형성 방법
JP2000223564A (ja) * 1999-01-29 2000-08-11 Sony Corp 半導体装置の製造方法
US6258697B1 (en) * 2000-02-11 2001-07-10 Advanced Micro Devices, Inc. Method of etching contacts with reduced oxide stress

Also Published As

Publication number Publication date
US20050148154A1 (en) 2005-07-07
US20020056881A1 (en) 2002-05-16
TW508728B (en) 2002-11-01
US7273795B2 (en) 2007-09-25
JP3548512B2 (ja) 2004-07-28
KR20020025680A (ko) 2002-04-04
KR100427782B1 (ko) 2004-04-30

Similar Documents

Publication Publication Date Title
US8211779B2 (en) Method for forming isolation layer in semiconductor device
US7902628B2 (en) Semiconductor device with trench isolation structure
US7176104B1 (en) Method for forming shallow trench isolation structure with deep oxide region
US7273795B2 (en) Method for forming a trench element separation region in a semiconductor substrate
US9607840B2 (en) Method for forming spacers for a transistor gate
US7301207B2 (en) Semiconductor device capable of threshold voltage adjustment by applying an external voltage
US7018905B1 (en) Method of forming isolation film in semiconductor device
KR20040005575A (ko) 반도체 장치 및 그 제조 방법
TWI792239B (zh) 閘介電層的製造方法
US20020197821A1 (en) Method of forming shallow trench isolation
JP2003229577A (ja) 半導体装置の製造方法。
JPH07135247A (ja) 半導体装置の製造方法
KR100557960B1 (ko) 반도체 장치의 소자 분리막 형성 방법
US20050014344A1 (en) Method of forming well in semiconductor device
JP2006216815A (ja) フィールド酸化膜形成法
JP2009111091A (ja) 半導体装置の製造方法
JPH1050693A (ja) 半導体装置の製造方法
KR100745056B1 (ko) 반도체소자의 소자분리막 형성방법
KR100876874B1 (ko) 반도체 소자의 소자분리막 형성방법
JP2002100670A (ja) 半導体装置及びその製造方法
KR100511917B1 (ko) 반도체 소자의 소자분리막 형성방법
JP3000130B2 (ja) 半導体装置の製造方法
KR940009578B1 (ko) 반도체 장치 및 그 제조방법
JP2001267409A (ja) 半導体装置の製造方法
KR20020001160A (ko) 반도체 장치의 게이트 산화막 형성 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20031226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040106

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20040216

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040323

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040416

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080423

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090423

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100423

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110423

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120423

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130423

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130423

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140423

Year of fee payment: 10

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees