DE69634194T2 - Verfahren zur bearbeitung einer oberfläche - Google Patents

Verfahren zur bearbeitung einer oberfläche Download PDF

Info

Publication number
DE69634194T2
DE69634194T2 DE69634194T DE69634194T DE69634194T2 DE 69634194 T2 DE69634194 T2 DE 69634194T2 DE 69634194 T DE69634194 T DE 69634194T DE 69634194 T DE69634194 T DE 69634194T DE 69634194 T2 DE69634194 T2 DE 69634194T2
Authority
DE
Germany
Prior art keywords
silicon
fluorine
substrate
oxides
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69634194T
Other languages
English (en)
Other versions
DE69634194D1 (de
Inventor
W. Jeffery BUTTERBAUGH
C. David GRAY
T. Robert FAYFIELD
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
FSI INTERNATIONAL CHASKA
Tel Manufacturing and Engineering of America Inc
Original Assignee
FSI INTERNATIONAL CHASKA
FSI International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by FSI INTERNATIONAL CHASKA, FSI International Inc filed Critical FSI INTERNATIONAL CHASKA
Publication of DE69634194D1 publication Critical patent/DE69634194D1/de
Application granted granted Critical
Publication of DE69634194T2 publication Critical patent/DE69634194T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/002Other surface treatment of glass not in the form of fibres or filaments by irradiation by ultraviolet light
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Description

  • Hintergrund der Erfindung
  • Die vorliegende Erfindung betrifft das Konditionieren einer Oberfläche eines Siliziumwafersubstrats. Insbesondere betrifft sie das Konditionieren einer Oberfläche eines Siliziumwafersubstrats in einer trockenen, gasförmigen Umgebung, wobei eine Stimulation mit UV-Licht (UV) und molekulares Fluorgas verwendet werden.
  • In der Halbleiterverarbeitung werden Oxide des Siliziums auf verschiedenste Art und Weise für verschiedene Anwendungen verwendet. Dichte, thermisch gewachsene Oxide des Siliziums werden typischerweise als primäre Gate dielektrische Filme in MOS (Metall-Oxid-Silizium)-Transistoren verwendet. Dampfgewachsene thermische Oxide werden üblicherweise als dielektrische Feldoxidationsschicht (field oxidation dielectric layer) verwendet. Dotierte Oxide, wie etwa Phosphorsilikatglas (PSG) und Borphosphorsilikatglas (BPSG) werden üblicherweise als dielektrische Intermetallschicht (inter-metal layer dielectrics) verwendet, da diese leicht mit einem bei erhöhter Temperatur arbeitenden Rückflussverfahren planarisiert werden können. Spin-on-Glas (SOG) wird ebenfalls für Dielektrikanwendungen, bei denen die Planarisation kritisch ist, verwendet. Ein SOG ist ein Polymer vom Siloxantyp in einem organischen Lösungsmittel, welches in flüssiger Form abgeschieden und bei erhöhter Temperatur gehärtet wird, um einen festen Siliziumoxidfilm zu bilden.
  • Während des Behandelns eines Silizium-basierenden Halbleiterbauelements können andere Arten von Oxidfilmen als Ergebnis des Aussetzens der Siliziumoberfläche chemischen Verfahrensschritten oder der normalen Umgebung gebildet werden. Zum Beispiel weiß man, dass die RCA Nassreinigungssequenz 1–2 nm (10–20 Å) "chemische" Oxide auf der Oberfläche zurücklässt. Das Aussetzen von einer gereinigten Siliziumoberfläche einer normalen Umgebungsatmosphäre führt zu einem Wachstum von 0,5–1 nm (5–10 Å) "nativem" Oxid. In vielen Fällen betrachtet man diese Oxidrückstände als Verunreinigungen, da sie entfernt werden müssen, um eine reine Siliziumoberfläche freizugeben und die Bildung von hochqualitativen elektrischen Grenzflächen zu erlauben. Zwischenschichtmetallkontakte, die durch Vias oder "Kontaktlöcher (contact hole)" in einer dielektrischen Schicht hergestellt werden, wie etwa BPSG, weisen nur eine hohe Qualität auf, wenn Oxide und Verunreinigungen auf dem unteren Metall oder dem Polysiliziumlevel entfernt werden. Oft können die Verunreinigungen in den Kontaktlöchern oder an den Seitenwänden der Merkmale, welche das Ergebnis eines Plasma- oder Reaktiv-Ionen-Ätzverfahrens sind, aus einer Mischung von Siliziumoxiden, Siliziden oder Oxiden von Metallen und organischen Verunreinigungen bestehen.
  • Sehr oft ist es notwendig, ein chemisches oder natives Oxid oder nach dem Ätzverfahren vorhandene Verunreinigungen von dem Mustermerkmalboden (pattern feature bottom) oder von einer Außenwaferoberfläche (exposed wafer surface) in Gegenwart von einem oder mehreren der vielen anderen Arten an Siliziumoxiden, die oben aufgeführt sind, zu entfernen. Es ist seit langem bekannt, dass Dämpfe von HF/Wassermischungen verschiedenste Siliziumoxidfilme ätzen. Diese Technologie wurde erforscht und kommerzialisiert (LTS-Patente 4,749,440 und 4,938,815). Jedoch begegnet man zuweilen verschiedenen Nachteilen bei der Verwendung des HF-Dampfphasenätzens von Oxidfilmen. Diese Nachteile können die Bildung von nicht-verdampfbaren Rückständen beinhalten, welche abgewaschen werden müssen, und niedrigen Ätzgeschwindigkeiten für native und chemische Siliziumoxidfilme, im Vergleich zu dotierten Siliziumoxidfilmen. Zusätzlich wird Wasser als Reaktionsnebenprodukt gebildet, welches es erschwert, dass wasserfreie HF-Verfahren kontrolliert und wiederholbar durchgeführt werden. Im Allgemeinen ist Wasser unter den am wenigsten gewünschten chemischen Formen, die in einem Vakuum vorhanden sein können.
  • Die relative Geschwindigkeit des Ätzens (Selektivität) des HF-Dampfätzverfahrens, betreffend viele verschiedene Arten von Oxidfilmen, wurde ebenfalls untersucht. Die Ergebnisse zeigen, dass native, chemische und thermische Oxide typischerweise mit Geschwindigkeiten zehnmal so langsam als PSG und BPSG dotierte Siliziumoxide entfernt werden. Dies ist bei verschiedenen gängigen Verfahrensumständen problematisch. Erstens ist es üblicherweise notwendig, native Oxide und andere Verunreinigungen von den Böden der Kontaktlöcher, die in den Filmen von BPSG gebildet werden, zu entfernen. Unter Verwendung des gängigen Dampfphasenverfahrens werden einige hundert Angströms von BPSG entfernt, bevor die Siliziumoxide und die Verunreinigungen auf dem Boden der Kontaktlöcher entfernt sind. Das Wegätzen einer großen Menge an BPSG ist ungewünscht und kann unerwünschte Rückstände zurücklassen. Zweitens ist es üblich, Kompositstrukturen mit verschiedenen Arten von Siliziumoxidfilmen zu verwenden. Zum Beispiel wird manchmal eine BPSG-Schicht, die zwischen zwei undotierten Siliziumoxidschichten eingebracht ist, als dielektrischer Film zwischen Metallschichten verwendet. Das Reinigen des Kontakts oder anderer topographischer Merkmale durch diese Art von Kompositfilm mit der gängigen HF-Dampftechnologie führt zu erhöhtem seitlichen Ätzen der BPSG-Schicht relativ zu den undotierten Siliziumoxidschichten.
  • Dieses resultiert in einem unterschnittenen Profil, welches schwierig mit darauffolgenden Filmen aufzufüllen ist, ohne Hohlräume zu bilden. Für diese Fälle ist ein nicht-selektives Oxidentfernungsverfahren sehr erwünscht, d. h. ein Verfahren, das native, chemische und thermische Oxide fast mit derselben Geschwindigkeit wie dotierte Oxide ätzt. Drittens ist es manchmal wünschenswert, einen thermischen Oxidfilm über einem dotierten Oxid zu entfernen, ohne das dotierte Oxid extensiv zu ätzen.
  • Es wurden Versuche unternommen, die Nachteile der wässrigen HF-Dampftechnologie, wie sie oben beschrieben wird, zu überkommen indem ein Alkoholdampf anstelle des Wasserdampfes in Kombination mit dem gasförmigen HF-Reaktand verwendet wird. Jedoch wird Wasser als Nebenprodukt des Verfahrens gebildet, was zu vielen ähnlichen Schwierigkeiten führt wie die wässrige HF-Dampftechnologie. Ferner führt die Verwendung von HF-Alkoholdampf zu sehr hohen Entfernungsgeschwindigkeiten von BPSG relativ zu nativen Oxiden. Außerdem kann die Verwendung von HF mit Alkoholdampf in Gegenwart von BPSG immer noch zu problematischen Rückständen führen.
  • Andere Versuche, um Siliziumoxidfilme in einer trockenen, gasförmigen Reaktionsumgebung zu entfernen und welche nicht HF verwenden, wurden unternommen. Der Ablauf von einem Plasma aus Stickstofftrifluorid (NF3) und Wasserstoff (H2) wurde verwendet, um Oxidfilme zu entfernen.
  • Ferner wurde Fluor (F2) und Wasserstoffmischungen mit UV-Belichtung verwendet, um Oxidfilme zu entfernen. Die Gegenwart von Wasserstoff in der Reaktionschemie führt jedoch immer noch zu Bildung von Wasser als Reaktionsnebenprodukt.
  • Vorangehende Arbeiten mit ClF3 ( US 4,498,953 ) zeigten an, dass die Entfernungsgeschwindigkeiten von thermischen Oxiden mit ClF3 nicht messbar waren. Es wurde sogar berichtet, dass Siliziumoxid erfolgreich als Maskenmaterial beim Ätzen von Silizium mit ClF3 verwendet wurde. Diese Arbeiten verwendeten keine UV-Belichtung.
  • JP 40883330 erwähnt die Möglichkeit, Kohlenstoffrückstände oder Siliziumrückstände von der Oberfläche von Substraten durch das Aussetzen der Substrate einem Gas, welches Halogene umfasst und welches mit UV belichtet wird, zu entfernen. Dieses Halogen-haltige Gas kann zum Beispiel ein gemischtes Gas, bestehend aus Halogen und Sauerstoff, sein, wobei die Menge an Fluor 10 Vol.-% der Gesamtmenge an Sauerstoff und Fluor beträgt.
  • In "Effect of oxygen on fluorine-based remote plasma etching of silicon and silicon dioxide" von Lee M. Loewenstein (J. Vac. Sci. Technol. A. Vol 6, Nr. 3, Seiten 1984–1988, Mai bis Juni 1988) wird offenbart, dass die Zugabe von Sauerstoff zu Fluor-haltigem Gas in einem abgelegenen Mikrowellenabfluss (remote microwave discharge) signifikant die Ätzgeschwindigkeiten von SiO2 und Si und die Selektivität des Ätzens beeinflusst.
  • Im Folgenden, wenn die Einheiten nicht im internationalen Einheitensystem (SI) angegeben sind, gilt Folgendes:
    1 Angström (1 Ä) = 0,1 nm
    1 Torr = 133,32 Pa
    1 Millitorr = 0,133 Pa
  • Zusammenfassung der Erfindung
  • Die Aufgabe der vorliegenden Erfindung besteht darin, die Nachteile von gängigen Siliziumoxidentfernungstechniken zu überkommen, welche Halogen-haltige Gasmischungen wie etwa HF/H2O und ClF3/Alkohol verwenden, welche die HF-Bildung in situ erlauben, um Siliziumoxide mit und ohne UV-Belichtung zu ätzen. Diese Nachteile umfassen die Bildung von substantiellen Mengen an Wasser als Reaktionsnebenprodukt und die ungewünschte Entfernung von dotierten Siliziumoxiden mit Geschwindigkeiten, die verglichen mit den Entfernungsgeschwindigkeiten von nativen und chemischen Oxiden sehr hoch sind. Zusätzlich führen diese Verfahren oft zur Bildung von kolloidalen Rückständen von Siliziumoxid, Metakieselsäure und Fluorkieselsäure, welche oft durch nasses Abwaschen entfernt werden müssen, bevor das Bauelement weiter behandelt werden kann.
  • Die Erfindung umfasst in einem Aspekt ein Verfahren zum Konditionieren der Oberfläche eines Siliziumwafersubstrats, bevor darauf ein Gate-Isolator gebildet wird, um eine Passivierungsschicht aus Siliziumoxifluorid auf der Oberfläche zu bilden, wobei das Verfahren umfasst:
    • – Platzieren des Substrates in eine gasförmige Umgebung, bestehend aus Fluor, Sauerstoff und gegebenenfalls Inertgas, wobei die Menge an Fluor 2 bis 4 Vol.-% der gesamten Menge an Sauerstoff und Fluor beträgt, und
    • – Aussetzen des Substrats einer UV-Strahlung in Anwesenheit der gasförmigen Umgebung.
  • Ein weiterer Aspekt der Erfindung betrifft ein Siliziumwafersubstrat, welches eine Oberfläche aufweist, die mit einem Film aus Siliziumoxifluorid von 7 bis 9 Å bedeckt ist, wobei das Verhältnis von Sauerstoff und Fluor in der Schicht 70 : 30 beträgt.
  • Die Erfindung ist speziell anwendbar zum Reinigen von Siliziumoxid und anderen Arten von Verunreinigungen. Die vorliegende Erfindung betrifft verschiedene oben angegebene Nachteile beim Ätzen oder Reinigen von Oxidfilmen in einer trockenen Gasphase und die Entfernung von nativen Oxiden oder anderen Verunreinigungen, ohne die gleichzeitige schnelle Entfernung von dotierten Oxidfilmen.
  • Detaillierte Beschreibung der bevorzugten Ausführungsformen
  • Bei den verschiedenen Ausführungsformen können eines oder mehrere der folgenden Gegenstände erhalten werden.
  • Ein Gegenstand und Vorteil der vorliegenden Erfindung ist, ein Verfahren zum Konditionieren der Oberfläche von Siliziumwafern bereitzustellen, wobei die Oberfläche Spuren von Oxidfilmen, unter einer Dicke von 30 Å, oder dicke Oxidfilme, mit einer Dicke von größer als 30 Å, aufweist, ohne dass signifikant Wasser als Nebenprodukt des Reaktionsverfahrens erzeugt wird. Spurenoxidfilme können natürliche Oxide, die in der Umgebung gewachsen sind, chemische Oxide, die in einer oxidierenden Atmosphäre (z. B. ein oxidierendes Plasma) oder in einer flüssigen Lösung gewachsen sind, oder dünne Oxidschichten, die durch andere Mittel gewachsen sind, umfassen. Als Folge der weitestgehend wasserfreien und wasserstofffreien Umgebung erwartet man nicht, dass HF in situ in der Reaktionskammer in substantiellen Mengen gebildet wird, und Wasser nicht als signifikantes Nebenprodukt des primären Siliziumoxidentfernungsreaktionswegs gebildet wird.
  • Die vorliegende Erfindung verwendet keine HF-Oxidentfernungschemie, welche empfindlich ist bezüglich der Menge an Wasser oder der wasserbildenden Hydride in den verschiedenen Oxidfilmen, was zu verschiedenen Ätzgeschwindigkeiten führt.
  • Das Substratmaterial ist Si.
  • Die gasförmige Umgebung ist weitestgehend frei von Wasser, Wasserstoff, Wasserstofffluorid und Wasserstoff- enthaltenden organischen Verbindungen. Es ist wünschenswert, dass Wasser in Mengen weniger als 0,1% und Wasserstoff-enthaltende Gase in Mengen weniger als 1% vorhanden sind. Technische, wasserfreie, Fluor-haltige Gase sind im allgemeinen geeignet. Vorzugsweise ist die Reinheit aller Gase, die in der gasförmigen Umgebung eingesetzt werden, größer als vom Verkäufer zertifiziere 99% Reinheit, vorzugsweise größer als vom Verkäufer zertifiziere 99,9% Reinheit.
  • In der Praxis wird bei der erfinderischen Methode eine Quelle für Fluorgas an eine Verfahrenskammer, die das Substratmaterial, das behandelt oder gereinigt werden soll, enthält, angeschlossen. Die Verfahrenskammer umfasst geeigneter Weise ein Vakuumgefäß aus chemisch inertem Material, welches von der äußeren Atmosphäre hermetisch abgeschlossen ist und bis unter 20 Millitorr Basisdruck durch geeignete Vakuumapparaturen evakuiert werden kann. Die Verfahrenskammer wird auf einen niedrigen Basisdruck evakuiert, zum Beispiel 20 Millitorr. Das Substrat wird wünschenswerter Weise in die Verfahrenskammer durch eine isolierte Schleusenkammer, welche auf einen ähnlich niedrigen Druck eingestellt werden kann, eingeführt. Das Einbringen oder Entfernen des Substrats aus der Verfahrenskammer findet durch die Schleusenkammer statt, um die Einbringung von atmosphärischen Verunreinigungen, insbesondere Wasserdampf, in die Verfahrenskammer zu vermeiden. Alternativ kann das Substrat in die Kammer vor der Evakuierung eingebracht werden.
  • Das Verfahren findet speziell in Abwesenheit von Plasma oder Plasmaprodukten, wie etwa einem Abgasplasmaabfluss (dawn stream plasma effluent), statt.
  • Die Verfahrenskammer kann einen Übertragungsanschluss mit einer Vakuumclusterroboterübertragungseinheit (vacuum cluster robotic transfer unit) aufweisen, welche es erlaubt, einen sequentiellen Transfer des Substratmaterials zu und von den Verfahrensmodulen ohne Berührung mit Umgebungsatmosphäre durchzuführen.
  • Fluorgas wird in die Verfahrenskammer eingebracht, um eine gasförmige Umgebung zu erzeugen, in welcher das Fluorgas einen wesentlichen Partialdruck über dem Substrat bildet, geeigneter Weise im Bereich von 0,001–760 Torr. Das Fluorgas wird jedoch im allgemeinen in einer Mischung mit einem oder mehreren Inertgasen eingebracht. Das Inertgas kann jedes Gas sein, welches gegenüber den Materialien, die behandelt werden, inert ist, und unter den Verfahrensbedingungen in der gasförmigen Phase vorhanden bleibt. Geeignete Gase umfassen Stickstoff, Argon und Helium.
  • Bei dieser Erfindung wird Sauerstoff in die Verfahrenskammer zugegeben.
  • Die Gasmischung kann in die Verfahrenskammer eingebracht werden, dass ein uniformes radiales laminares Flussmuster (uniform radial laminar flow pattern) über dem Substrat erzeugt wird, zum Beispiel durch einen Gasverteilungsbrausekopf. Auf diese Weise wird die Entfernung der Ätzprodukte und Verunreinigungen durch die Aufnahme in den laminaren Strom erleichtert. Die vorliegende Erfindung kann jedoch auch unter Verwendung anderer Reaktivgasflussmuster (reactive gas flow pattern) oder in weitestgehend stehender gasförmiger Umgebung durchgeführt werden.
  • Die Fluorgasphase über dem Substrat und die Substratoberfläche, die bearbeitet werden soll, werden mit UV-Licht bestrahlt, vorzugsweise durch ein UV-transparentes Glas in der Verfahrenskammer. Breitband UV-Strahlung mit der Wellenlänge im Bereich von 180–600 nm kann verwendet werden, genauso wie Quellen mit engeren Bändern, die weitestgehend Strahlung im Bereich von 180–420 nm abgeben. Geeignete Quellen sind Mitteldruckquecksilberlampen und Xenonlampen. Die UV-Strahlung kann pulsierend oder kontinuierlich sein. Ein Laser und geeignete Optiken können ferner verwendet werden, um den benötigten UV-Photonfluss zu erzeugen. Substratfilm und Verunreinigungsentfernungsgeschwindigkeiten können zum großen Teil durch die Intensität der UV-Strahlung, die UV-Photonenergie, die UV-Aussetzungszeit und/oder die UV-Lampe oder Laserpulsierungsrate bestimmt werden.
  • Auf die Behandlung folgend wird die Behandlungskammer evakuiert und das Substrat entfernt.
  • F-Spezies sind bei der Entfernung von kohlenstoffhaltigen und anderen Verunreinigungen durch selektive Ätzreaktionen oder durch Aufnahmemechanismen wirkungsvoll. Oberflächenkohlenstoffrückstände können gemäß der folgenden Reaktion entfernt werden: 4F + C(s) + by → CF4(g)
  • Photolytisch erzeugte F-Spezies sind für die Entfernung gewisser Spurenmetalle, wie etwa Titan, Tantal, Wolfram und Molybdän, wie auch deren Silizide und Nitride, wirkungsvoll.
  • Daher entfernt die vorliegende Erfindung solche Spurenmetalle (unerwünschtes Material) von der Oberfläche der Substrate.
  • Ein spezielles Merkmal der erfinderischen Methode ist die Erleichterung der Entfernung von Verunreinigungen von Merkmalen mit einem großen Länge-Breite-Verhältnis (high aspect ratio features) und das Vermeiden der Erzeugung von Wasser und anderen Rückständen als Nebenprodukte. Eine Anwendung dieses Merkmals ist die Entfernung von Spuren an Siliziumoxid und anderen Verunreinigungen von der Oberfläche von einem Siliziumwafer.
  • Die folgenden nicht limitierenden Beispiele illustrieren die vorliegende Erfindung weiter.
  • BEISPIELE 1–5
  • In diesen Beispielen wurden organische Verunreinigungen unter Verwendung der Methoden, die in der vorliegenden Erfindung beschrieben werden, von der Oberfläche eines Siliziumwafers entfernt. Die Oberfläche eines Bor-dotierten Siliziumwafers mit <100> Oberflächenorientierung wurde durch das Aufbringen einer hochverdünnten Mischung eines positiven Novolackphotoresists in Aceton auf den rotierenden Wafer verunreinigt. Der resultierende organische Film zeigte ungefähr eine durch die Ellipsometrie und durch Niederwinkel-Röntgenphotoelektronenspektroskopieanalylse (XPS) gemessene Dicke von 100 Å oder weniger. Die Wafer wurden einigen verschiedenen Verfahren, umfassend verschiedene Gasmischungen mit UV-Beleuchtung, ausgesetzt. Die Gasmischungen umfassten nur Sauerstoff (O2), Chlor (Cl2) + Stickstoff (N2), Fluor (F2) + N2, Cl2 + O2 und F2 + O2. Die Bedingungen in jedem Verfahren sind in der Tabelle 1 angegeben.
  • Tabelle 1 Verfahrensbedingungen Tabelle 1 Verfahrensbedingungen
    Figure 00090001
  • Figure 00100001
  • Die Ergebnisse der XPS-Analyse der Siliziumoberfläche vor und nach der Behandlung werden in Tabelle 2 gezeigt. Die XPS-Analyse zeigte die Reduktion an Kohlenstoff von 84% auf < 1% mit dem F2 + O2-Verfahren. Die relative Abweichung der Elemente innerhalb 100 Å der Oberfläche des Wafers vor und nach dem Reinigungsverfahren werden in Tabelle 2 angezeigt. Eine nachfolgende Analyse der Siliziumoberfläche, die mit F2 + O2 behandelt wurde, zeigte nach 15 Stunden in normaler Umgebung keine signifikante Veränderung im Kohlenstoffgehalt.
  • Tabelle 2. Oberflächenanalyseergebnisse Tabelle 2. Oberflächenanalyseergebnisse
    Figure 00100002
  • Die Menge an potentiell mit dem F2 + O2-Verfahren entfernten Silizium, wurde unabhängig ermittelt, indem der Wafer mit einer Oxidmaske und offenen Bereichen von Silizium dem Reinigungsverfahren ausgesetzt wurde. Nach dem Verfahren wurde die Oxidmaske entfernt und die Menge an Silizium, die durch das Reinigungsverfahren entfernt wurde, durch Tastprofilometrie (Stylusprofilometry) ermittelt. Die Ergebnisse dieses Tests zeigen, dass weniger als 50 Å Silizium während dieses 180-Sekundenverfahrens entfernt werden.
  • Die Rauheit der Oberfläche wurde nach dem Reinigungsverfahren durch Rasterkraftmikroskopie (AFM) gemessen. Die Oberfläche des Wafers, welche in dem Originalexperiment, welches oben beschrieben wurde, verwendet wurde, wurde in der Nähe der Mitte des Wafers analysiert. AFM-Messungen wurden bei 2 1-Mikrometerquadraten durchgeführt. Die Rauheit wurde als < 1 Å Ra bestimmt. Dies entspricht einer Rauheit eines neuen Siliziumwafers. Die Erhaltung einer glatten Oberfläche während des Reinigungsverfahrens ist wichtig, da sich gezeigt hat, dass die Oberflächenrauheit die Leistung eines Halbleiterelements signifikant vermindert.
  • BEISPIEL 6
  • Weitere Tests wie in Beispielen 1–5 und unter Verwendung von UV und einer 8 Vol.-% Mischung von F2 in O2 ergaben ein signifikantes Ätzen des Siliziums, wobei UV/4% F2/O2-Ergebnisse zeigen, dass eine passivierende dünne (7–9 Å) Siliziumoxifluoridschicht gebildet wurde, (ungefähr 30% F und 70% O) mit vernachlässigbarem Ätzen von Silizium, vernachlässigbarem Kohlenwasserstoff-Rückverunreinigungen nach 12 Stunden Aussetzen einer normalen Umgebung, und mit einer Oberflächenrauheit vergleichbar mit einem RCA-gereinigten Siliziumvergleichsbeispiel.

Claims (3)

  1. Verfahren zum Konditionieren der Oberfläche eines Siliziumwafersubstrates bevor darauf ein Gateisolator gebildet wird, um eine Passivierungsschicht aus Siliziumoxifluorid auf der Oberfläche zu bilden, wobei das Verfahren umfasst: – Plazieren des Substrates in eine gasförmige Umgebung, bestehend aus Fluor, Sauerstoff und gegebenenfalls einem Inertgas, wobei die Menge an Fluor 2 bis 4 Vol.-% der gesamten Menge an Sauerstoff und Fluor beträgt, und – Aussetzen des Substrates einer UV-Strahlung in Anwesenheit der gasförmigen Umgebung.
  2. Verfahren gemäß Anspruch 1, wobei das Inertgas aus der Gruppe, bestehend aus Helium (He), Argon (Ar) und Stickstoff (N2), ausgewählt ist.
  3. Siliziumwafersubstrat, das eine Oberfläche, bedeckt mit einem Film aus Siliziumoxifluorid von 0,7 bis 0,9 nm (7 bis 9 Å), aufweist, wobei das Verhältnis von Sauerstoff zu Fluor in der Schicht 70 : 30 beträgt.
DE69634194T 1995-10-10 1996-10-10 Verfahren zur bearbeitung einer oberfläche Expired - Fee Related DE69634194T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US541634 1995-10-10
US08/541,634 US6124211A (en) 1994-06-14 1995-10-10 Cleaning method
PCT/US1996/016223 WO1997013646A1 (en) 1995-10-10 1996-10-10 Cleaning method

Publications (2)

Publication Number Publication Date
DE69634194D1 DE69634194D1 (de) 2005-02-24
DE69634194T2 true DE69634194T2 (de) 2005-12-22

Family

ID=24160413

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69634194T Expired - Fee Related DE69634194T2 (de) 1995-10-10 1996-10-10 Verfahren zur bearbeitung einer oberfläche

Country Status (5)

Country Link
US (1) US6124211A (de)
EP (1) EP0801606B1 (de)
JP (1) JPH10513612A (de)
DE (1) DE69634194T2 (de)
WO (1) WO1997013646A1 (de)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL119246A (en) * 1996-09-12 2000-10-31 Oramir Semiconductor Ltd Laser removal of foreign materials from surfaces
US6734120B1 (en) * 1999-02-19 2004-05-11 Axcelis Technologies, Inc. Method of photoresist ash residue removal
JP2000294530A (ja) 1999-04-06 2000-10-20 Nec Corp 半導体基板の洗浄方法及びその洗浄装置
DE19919471A1 (de) * 1999-04-29 2000-11-09 Bosch Gmbh Robert Verfahren zur Beseitigung von Defekten von Siliziumkörpern durch selektive Ätzung
US6284006B1 (en) * 1999-11-15 2001-09-04 Fsi International, Inc. Processing apparatus for microelectronic devices in which polymeric bellows are used to help accomplish substrate transport inside of the apparatus
KR100401348B1 (ko) * 2000-06-30 2003-10-11 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
TW465022B (en) * 2000-10-26 2001-11-21 United Microelectronics Corp Method for peeling off the dielectric film
CN1461493A (zh) * 2000-12-18 2003-12-10 住友精密工业株式会社 清洗方法和腐蚀方法
JP4870873B2 (ja) * 2001-03-08 2012-02-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US6800210B2 (en) * 2001-05-22 2004-10-05 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6720271B2 (en) * 2001-07-02 2004-04-13 Stmicroelectronics S.R.L. Process for removing polymers during the fabrication of semiconductor devices
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
KR100407998B1 (ko) * 2001-10-09 2003-12-01 주식회사 하이닉스반도체 금속 배선의 콘택 영역 세정 방법
US6740593B2 (en) 2002-01-25 2004-05-25 Micron Technology, Inc. Semiconductor processing methods utilizing low concentrations of reactive etching components
US6649535B1 (en) * 2002-02-12 2003-11-18 Taiwan Semiconductor Manufacturing Company Method for ultra-thin gate oxide growth
DE10211611A1 (de) * 2002-03-12 2003-09-25 Zeiss Carl Smt Ag Verfahren und Vorrichtung zur Dekontamination optischer Oberflächen
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US20050229947A1 (en) * 2002-06-14 2005-10-20 Mykrolis Corporation Methods of inserting or removing a species from a substrate
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US6900137B2 (en) * 2003-03-28 2005-05-31 International Business Machines Corporation Dry etch process to edit copper lines
JP4204374B2 (ja) * 2003-04-21 2009-01-07 信越石英株式会社 石英ガラス治具の製造方法
US7083897B2 (en) * 2003-05-15 2006-08-01 Taiwan Semiconductor Manufacturing Company Method of fabricating a poly fuse
WO2004112117A1 (en) * 2003-06-02 2004-12-23 Entegris, Inc. Method for the removal of airborne molecular contaminants using oxygen and/or water gas mixtures
US7189291B2 (en) * 2003-06-02 2007-03-13 Entegris, Inc. Method for the removal of airborne molecular contaminants using oxygen gas mixtures
US20050048742A1 (en) * 2003-08-26 2005-03-03 Tokyo Electron Limited Multiple grow-etch cyclic surface treatment for substrate preparation
US7078312B1 (en) * 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
MXPA06014491A (es) * 2004-06-16 2007-03-12 Ppg Ind Ohio Inc Metodos para la remocion de capas de recubrimiento polimericas de sustratos recubiertos.
US7581549B2 (en) 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7192875B1 (en) 2004-10-29 2007-03-20 Lam Research Corporation Processes for treating morphologically-modified silicon electrode surfaces using gas-phase interhalogens
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7371022B2 (en) * 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
KR20080034492A (ko) * 2005-08-03 2008-04-21 엔테그리스, 아이엔씨. 이송 용기
KR100811267B1 (ko) * 2005-12-22 2008-03-07 주식회사 하이닉스반도체 반도체소자의 듀얼게이트 형성방법
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US20080160754A1 (en) * 2006-12-27 2008-07-03 International Business Machines Corporation Method for fabricating a microelectronic conductor structure
US20080296258A1 (en) * 2007-02-08 2008-12-04 Elliott David J Plenum reactor system
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
WO2010087930A1 (en) * 2009-01-27 2010-08-05 Linde Aktiengesellschaft Molecular fluorine etching of silicon thin films for photovoltaic and other lower-temperature chemical vapor deposition processes
JP4968861B2 (ja) * 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム
EA202190820A1 (ru) 2012-09-26 2021-10-29 Арагон Фармасьютикалз, Инк. Антиандрогены для лечения неметастатического кастрационно-резистентного рака простаты
JP2016012609A (ja) * 2014-06-27 2016-01-21 東京エレクトロン株式会社 エッチング方法
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
JP7053991B2 (ja) * 2017-03-28 2022-04-13 セントラル硝子株式会社 ドライエッチング方法、半導体素子の製造方法及びチャンバークリーニング方法
WO2019078920A1 (en) 2017-10-16 2019-04-25 Aragon Pharmaceuticals, Inc. ANTI-ANDROGENS FOR THE TREATMENT OF NON-METASTATIC RESISTANT PROSTATE CANCER

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2841477A (en) * 1957-03-04 1958-07-01 Pacific Semiconductors Inc Photochemically activated gaseous etching method
US3122463A (en) * 1961-03-07 1964-02-25 Bell Telephone Labor Inc Etching technique for fabricating semiconductor or ceramic devices
US3511727A (en) * 1967-05-08 1970-05-12 Motorola Inc Vapor phase etching and polishing of semiconductors
US3669774A (en) * 1969-11-20 1972-06-13 Rca Corp Low temperature silicon etch
JPS5211175A (en) * 1975-07-18 1977-01-27 Toshiba Corp Activated gas reacting apparatus
JPS5329076A (en) * 1976-08-31 1978-03-17 Toshiba Corp Plasma treating apparatus of semiconductor substrates
JPS5920923B2 (ja) * 1976-10-08 1984-05-16 呉羽化学工業株式会社 熱風循環式焼却炉
JPS53121469A (en) * 1977-03-31 1978-10-23 Toshiba Corp Gas etching unit
US4183780A (en) * 1978-08-21 1980-01-15 International Business Machines Corporation Photon enhanced reactive ion etching
US4314875A (en) * 1980-05-13 1982-02-09 Bell Telephone Laboratories, Incorporated Device fabrication by plasma etching
DE3269040D1 (en) * 1981-04-02 1986-03-27 Perkin Elmer Corp Discharge system for plasma processing
JPS57200569A (en) * 1981-06-05 1982-12-08 Nec Corp Apparatus for treating surface with gas decomposed by light
JPS59135730A (ja) * 1983-01-24 1984-08-04 Hitachi Ltd 表面改質装置
JPS59207631A (ja) * 1983-05-11 1984-11-24 Semiconductor Res Found 光化学を用いたドライプロセス装置
US4498953A (en) * 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
JPH0642456B2 (ja) * 1984-11-21 1994-06-01 株式会社日立製作所 表面光処理方法
US4643799A (en) * 1984-12-26 1987-02-17 Hitachi, Ltd. Method of dry etching
JPS61174639A (ja) * 1985-01-28 1986-08-06 Semiconductor Energy Lab Co Ltd 光エツチング方法
US4687544A (en) * 1985-05-17 1987-08-18 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5221423A (en) * 1986-05-20 1993-06-22 Fujitsu Limited Process for cleaning surface of semiconductor substrate
US4938815A (en) * 1986-10-15 1990-07-03 Advantage Production Technology, Inc. Semiconductor substrate heater and reactor process and apparatus
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
EP0380667A4 (en) * 1987-10-07 1991-04-24 Terumo Kabushiki Kaisha Ultraviolet-absorbing polymer material and photoetching process
JPH01134932A (ja) * 1987-11-19 1989-05-26 Oki Electric Ind Co Ltd 基板清浄化方法及び基板清浄化装置
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JPH01319944A (ja) * 1988-06-21 1989-12-26 Mitsubishi Electric Corp 半導体基板表面に薄膜を形成する方法およびその装置
JP2626913B2 (ja) * 1988-07-29 1997-07-02 三菱電機株式会社 シリコン表面の処理方法
WO1990005994A1 (en) * 1988-11-18 1990-05-31 Kabushiki Kaisha Tokuda Seisakusho Dry-etching method
DE68928402T2 (de) * 1988-12-27 1998-03-12 Toshiba Kawasaki Kk Verfahren zur Entfernung einer Oxidschicht auf einem Substrat
US5068040A (en) * 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5022961B1 (en) * 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
JPH0483330A (ja) * 1990-07-25 1992-03-17 Fujitsu Ltd 半導体装置の製造方法
JPH06103682B2 (ja) * 1990-08-09 1994-12-14 富士通株式会社 光励起ドライクリーニング方法および装置
US5228206A (en) * 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
US5254176A (en) * 1992-02-03 1993-10-19 Tokyo Electron Limited Method of cleaning a process tube
US5234540A (en) * 1992-04-30 1993-08-10 Submicron Systems, Inc. Process for etching oxide films in a sealed photochemical reactor
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5534107A (en) * 1994-06-14 1996-07-09 Fsi International UV-enhanced dry stripping of silicon nitride films
JPH0864559A (ja) * 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法
DE19506118C1 (de) * 1995-02-22 1996-08-14 Karlsruhe Forschzent Verfahren zum plasmalosen Ätzen eines Silicium-Substrats
US6015759A (en) * 1997-12-08 2000-01-18 Quester Technology, Inc. Surface modification of semiconductors using electromagnetic radiation

Also Published As

Publication number Publication date
EP0801606B1 (de) 2005-01-19
DE69634194D1 (de) 2005-02-24
JPH10513612A (ja) 1998-12-22
EP0801606A1 (de) 1997-10-22
EP0801606A4 (de) 1998-04-01
US6124211A (en) 2000-09-26
WO1997013646A1 (en) 1997-04-17

Similar Documents

Publication Publication Date Title
DE69634194T2 (de) Verfahren zur bearbeitung einer oberfläche
KR100344275B1 (ko) 세정방법
CA1235630A (en) Etching techniques
DE3127765C2 (de) Verfahren zur Verhütung nach dem Ätzen auftretender Korrosion von Schichten aus Aluminium
DE60129566T2 (de) Verfahren zur selektiven ätzung von oxidschichten
US3867216A (en) Process and material for manufacturing semiconductor devices
EP0502523A2 (de) Verfahren zum anisotropen Trockenätzen von Aluminium bzw. Aluminiumlegierungen enthaltenden Leiterbahnebenen in integrierten Halbleiterschaltungen
DE19627017A1 (de) Verfahren zur selektiven Ablagerung eines Metallfilms
US4836887A (en) Chlorofluorocarbon additives for enhancing etch rates in fluorinated halocarbon/oxidant plasmas
US5749975A (en) Process for dry cleaning wafer surfaces using a surface diffusion layer
JPH0277125A (ja) 有機物の灰化方法
US20010024769A1 (en) Method for removing photoresist and residues from semiconductor device surfaces
EP0013711B1 (de) Verfahren zum Ätzen von Chrom
DE3216823A1 (de) Verfahren zum herstellen von strukturen von aus metallsilizid und polysilizium bestehenden doppelschichten auf integrierte halbleiterschaltungen enthaltenden substraten durch reaktives ionenaetzen
DE3925070C2 (de) Verfahren zum Erhalt einer sauberen Siliziumoberfläche
DE102015116489A1 (de) Verfahren zum bearbeiten eines trägers, verfahren zum betreiben einer plasmaprozesskammer und verfahren zum bearbeiten eines halbleiterwafers
DE69729553T2 (de) Lösungen und verfahren zur entfernung der seitlichen ablagerungen nach einem trocknätzschritt
DE69723106T2 (de) Bestrahlung/halogenbehandlung zum trockenätzen eines oxids
US20210002591A1 (en) Composition having suppressed alumina damage and production method for semiconductor substrate using same
EP0126969A2 (de) Verfahren zum Herstellen von Strukturen von aus Metallsiliziden bzw. Silizid-Polysilizium bestehenden Schichten für integrierte Halbleiterschaltungen durch reaktives Ionenätzen
US6218085B1 (en) Process for photoresist rework to avoid sodium incorporation
DE3935189A1 (de) Verfahren und vorrichtung zur behandlung von werkstuecken durch reaktives ionenaetzen
EP1095403B1 (de) Verfahren zur beseitigung von defekten von siliziumkörpern durch selektive ätzung
KR101344541B1 (ko) 실리콘 산화막에 대한 선택적 에칭액 조성물
US20080102553A1 (en) Stabilizing an opened carbon hardmask

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee