DE3308222A1 - Vorrichtung zur behandlung von werkstuecken mit gasplasma - Google Patents

Vorrichtung zur behandlung von werkstuecken mit gasplasma

Info

Publication number
DE3308222A1
DE3308222A1 DE19833308222 DE3308222A DE3308222A1 DE 3308222 A1 DE3308222 A1 DE 3308222A1 DE 19833308222 DE19833308222 DE 19833308222 DE 3308222 A DE3308222 A DE 3308222A DE 3308222 A1 DE3308222 A1 DE 3308222A1
Authority
DE
Germany
Prior art keywords
electrodes
electrode
workpieces
plasma
sections
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE19833308222
Other languages
English (en)
Inventor
Joseph A. Hamilton Maher
Arthur W. Manchester Zafiropoulo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Drytek Inc
Original Assignee
Drytek Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Drytek Inc filed Critical Drytek Inc
Publication of DE3308222A1 publication Critical patent/DE3308222A1/de
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

Die Erfindung betrifft eine Vorrichtung zur Behandlung von Werkstücken mit Gasplasma gemäß dem Oberbegriff des Patentanspruchs 1 .
Die Erfindung bezieht sich insbesondere auf Verbesserungen bei Gasplasmareaktoren oder dergleichen, bei welchen ein Aufbringen oder Ätzen von elektronischen Mikroschaltkreisen oder ähnlichen Einrichtungen durchgeführt wird. Insbesondere bezieht sich die Erfindung auf eine neue und verbesserte Trockenplasmaätztechnik, die in einer Vielzahl von Bereichen
- J ■
gleichmäßig und gleichzeitig durchgeführt wird, wobei diese Vielzahl von Bereichen in kompakter Anordnung vorgesehen und auf außergewöhnliche Weise erregt wird, um eine optimale Quantität und Qualität an Ausbeute an effektiv verwendetem Raum zu fördern.
Da elektronische Schaltungen und Netzwerke bezüglich ihrer Größe reduziert wurden und zu einer Vielzahl komplizierter Formen angewachsen sind, die mit außergewöhnlicher Präzision in einer gewaltigen Zahl herzustellen sind, ist die Dünnfilm- und Mikroline (Mikro-Leitungs-)Technologie und deren automatisierte Weiterbildung in entsprechender Weise zunehmend kritisch geworden. Beispielsweise ist es bekannt, daß äußerst dünne Materialschichten niedergeschlagen und geformt werden können, um mikroskopisch dimensionierte Details von Schaltungskomponenten zu bilden, wie auch Miniatur-Halbleiter-Einrichtungen oder elektrische Verbindungen, Isolierung oder Durchgänge bzw. Kanäle. Die Grundtechniken zur fotografischen Reduzierung und Vervielfachung solcher Details und zum selektiven Maskieren, das Modifizieren von Eigenschaften und die Reaktion der inbegriffenen Materialien haben bereits vor einiger Zeit ihren Einzug in die Technik gehalten; die Notwendigkeit nach immer größeren Dichten der Leitungsführung mit schärferen Definitionen und feineren Dimensionen haben zunehmend zur Erforschung von "Trocken"-Reaktionstechniken geführt, die alternative, attraktive Aussichten zur Erfüllung derartiger exakter Forderungen anbieten. Im Gegensatz zu Nass-Techniken, bei welcher Bäder oder Sprays ein flüssiges Medium liefern, durch welches Ablagerungen bzw. Niederschlagungen oder chemische Änderungen oder Ätzvorgänge induzierbar sind, werden vergleichbare Trockenverfahren üblicherweise in bestimmten gasförmigen Umgebungen ausgeführt, die durch angelegte elektrische Erregungen aktiviert werden, die ihrerseits Ionisationen und Glimmentladungen hervorrufen. Die Trockentechnik kann beispielsweise einen Ionen-Beschüß
beinhalten, wobei in einem Hochfrequenz-Plasma erzeugte inerte Ionen beschleunigt werden, um auf Atome eines Werkstückes aufzutreffen und die Atome zu verlagern; im Falle eines chemischen Plasmaätzens wandelt dagegen die Hochfrequenzerregung bzw. Hochfrequenz-Energie ein normalerweise inertes Gas in ein selektiv reaktives Plasma um, das in die nicht maskierten Werkstückoberflächen diffundiert und chemisch ätzende Transformationen erzeugt. Plasmaätzer von sogenannter "ebener" Konfiguration werden derzeit bei vielen Ätzsystemen bevorzugt und enthalten Elektroden in Form paralleler Platten, auf welchen die Wafers direkt aufgelegt werden können; die Lagerplatte wird zu einer Anode, wenn das chemische Ätzen dominieren soll und eine Kathode, wenn ein physikalischer Prozess oder ein Prozess, bei dem eine Bearbeitung durch Ionen erfolgt, vorherrschen soll, wobei der letztere Prozess als RIE- oder reaktives Ionen-Ätzen bekannt ist. Auch wenn die Wafers bzw. HaIbleiterplättchen relativ groß sind, ist jedoch die Produktion eines zu einer Zeiteinheit relativ langsam und teuer bei derartigen planaren bzw. ebenen Ätzvorrichtungen; wenn die Halbleiterplättchen zwischen Elektroden mit großer Fläche zusammengefügt bzw. stoßweise vorgesehen werden, ist es schwierig, die Betriebsbedingungen festzulegen, die gewährleisten, daß alle Halbleiterplättchen gleichmäßig und auf gleichzeitige Weise dem Prozess unterliegen.
Die gewissenhafteste Isolierung gegenüber Kontaminationen ist bei derartigen Verfahren wesentlich, weil sogar sehr kleine Fremdkörper oder Niederschlagungen gegenüber zu behandelnden bzw. herzustellenden feinen und komplizierten Schaltkreisen und Vorrichtungen zerstörerisch sein können; die hohen Kosten für reine bzw. saubere Raumumgebung, die für eine derartige Isolierung notwendig sind, schreiben vor, daß die Trockenplasma-Verfahren und -Ausrüstung minimalsten Raum erfordern sollten. Aus ähnlichen Ökonomischen Gründen und Gründen der Qualitätskontrolle sind weitgehendst auto-
matische und hochvolumige Herstellung höchste Ziele; ferner ist es vorteilhaft, wenn Standardtypen von Kassetten, in welchen die Halbleiterplättchen normalerweise in und aus den anderen Produktionsstufen verbracht werden, auch für die Trockenplasma-Technik anwendbar sind. Es ist besonders wünschenswert, daß die elektrischen und mechanischen Eigenschaften der Ätztechnik einer zuverlässigen Herstellung von Präzisionsteilen gleicher bzw. gleichmäßiger Qualität förderlich sind, und zwar in einer Quantität, daß sie entweder übliche chemische oder RIE-Ätzverfahren erlauben.
Unter den bekannten und in Bezug auf Gasplasma-Reaktoren beschriebenen Verfahren ist ein Verfahren enthalten, bei dem ein Paar von planaren bzw. planparallelen horizontalen Elektroden innerhalb eines Gehäuses zusammen mit einer Atmosphäre, beispielsweise einem Kohlenstoff-Tetrafluorid-Gas, abgedichtet ist und durch ein Hochfrequenzsignal erregt wird, um eine Plasmaentladung zu erzeugen, die den Oberflächenfilm auf einem Halbleiterplättchen mit Siliziumbasis ätzt, wie dies beispielsweise in der US-PS 4 222 838 beschrieben ist. Eine Vielzahl vertikal angeordneter Elektrodenpaare gleicher Polaritäten sind in dem Gasplasma-Ätzsystem nach der US-PS 4 282 077 beschrieben, sowie eine elektrische Steuerung, die dem Ziel dient, das Ätzen von Schaltplatinen gleichförmiger zu gestalten. Das Kühlen der Elektroden zur Verbesserung der Gleichmäßigkeit ist in der US-PS 4 275 beschrieben und eine Vorrichtung zum Automatisieren des Transfers von Halbleiterplättchen zu deren Behandlung ist in der US-PS 4 062 463 angegeben.
Der Erfindung liegt die Aufgabe zugrunde, eine Vorrichtung der eingangs genannten Art zu schaffen, mit welcher die Geschwindigkeit, die Bequemlichkeit, die Wirksamkeit, Präzision und die Kosten der Einwirkung auf elektronische Mikroschaltkreise und Vorrichtungen mit Trockengasplasmen verbessert werden.
•/ta-
Diese Aufgabe wird erfindungsgemäß durch den Gegenstand des Patentanspruchs 1 gelöst.
Weitere Ausgestaltungen der Erfindung ergeben sich aus den Unteransprüchen.
Die Erfindung schafft ferner eine Vorrichtung, mittels welcher bei Gasplasmaätzen Einsparungen und Effizienz erreichbar ist, indem die einzelnen Halbleiterplättchen eines "Stapels" von Halbleiterplättchen gleichzeitig.in separaten Plasmen zwischen Elektroden mit gleicher bzw. ähnlicher Polarität in einer kompakten, vertikal gestapelten Anordnung behandelt werden, das heißt einer Reaktion ausgesetzt werden. Bei einer bevorzugten Ausführungsform wird eine abdichtbare Kammer eines Ätzers, in welchem Werkstücke in Form von Halbleiterplättchen einem geeigneten Gas ausgesetzt sind, das abhängig von einem angelegten Hochfrequenzsignal ionisierbar ist, so proportioniert bzw. dimensioniert, daß eine vertikal verlängerte und vertikal bewegbare kompakte Untereinheit von dualen Elektroden-Anordnungen aufgenommen und umfasst wird. Jede dieser Elektroden-Anordnungen stellt eine dünne Einheit dar, die ein Paar von relativ dünnen planparallelen Leitern in Sandwich-Beziehung gegenüber einer relativ dünnen Schicht aus festem Isoliermaterial aufweist, das exzellente dielektrische Eigenschaften besitzt; die jeweiligen lamellierten, zusammengesetzten Elektroden-Anordnungen sind kompakt horizontal übereinandergestapelt in einer zueinander beabstandeten und isolierten parallelen Anordnung mit gleichen, relativ schmalen vertikalen Spalten zwischen den aufeinanderfolgenden, lamellierten Elektroden-Anordnungen. Eine Hochfrequenzerregung bzw. Hochfrequenzenergie wird angelegt, um die entsprechenden oberen und unteren planparallelen Leiter auf gleiche Weise zu jedem Augenblick zu polarisieren und um im wesentlichen gleichförmige Potentiale an den vertikalen Spalten zu erzeugen, die ein normalerweise inertes Gas oder ein Gasgemisch ioni-
sieren, welches in die Kaituner zum Zwecke der Plasma-Wechselwirkung gegenüber den Halbleiterplättchen eingeführt ist, wobei die Plättchen innerhalb der Spalte eingesetzt sind und auf den nach oben weisenden planparallelen Leitern aufliegen. Für die letztgenannten Zwecke werden die Plättchen zu und von der Kammer mittels einer Standardkassette oder mittels eines Standardgestells bzw. -Rahmens geführt, in welcher bzw. in welchem die jeweils mehreren Halbleiterplättchen an der Kante in vertikal gestapelter Beziehung aufgenommen sind; die Ätzeinheit enthält eine Station neben der Reaktionskammer, an welcher ein in Horizontalrichtung hin- und herbewegbarer Transportarm in Betrieb gesetzt wird, um die Plättchen von verschiedenen Höhen der vertikal schrittweise geführten Kassette wegzuführen und sie auf eine Aufnahmefläche von nach oben gerichteten planaren Leitern aufzulegen, was automatisch erfolgt. Das Wegführen nach dem Ätzen enthält die entgegengesetzten automatisierten Bewegungen. Die planaren bzw. planparallelen Leiter, auf welchen die Plättchen während des Ätzens aufgenommen sind, werden gleichmäßig kühl dadurch gehalten, daß Flüssigkeit durch innere Durchgänge zwangsweise geführt wird; elektrische Energie bzw. elektrische Erregung, die in vorteilhafter Weise im wesentlichen die gleichen elektrischen Bedingungen in mehreren, gasgefüllten Spalten beibehält, wird durch eine entsprechende Teilung der Hochfrequenz einer einzigen Quelle zugeführt.
Die Erfindung schafft eine neue und verbesserte Vorrichtung zum stapeiförmigen Behandeln mit Trockenplasma, wobei eine Vielzahl von Werkstücken auf gleiche Weise in einer kompakt gestapelten Beziehung behandelt wird, die auf gleiche bzw. ähnliche Weise polarisiert und auf gleiche oder ähnliche Weise mit im wesentlichen identischen ionisierten Gasumgebungen in Einwirkung gelangen.
Die Erfindung schafft ferner einen einzigartigen und vorteilhaften Plasmaätzer, der wenig Raum einnimmt und einen
hohen Durchsatz von präzis gesteuerten, geätzten Halbleiterplättchen sicherstellt, die wahlweise mit den üblichen oder RIE-Methoden behandelbar sind, beispielsweise mit Ätzern, die auf ein automatisiertes Bearbeiten von in Kassetten bzw. Rahmen eingesetzten Halbleiterplättchen abgestellt sind.
Im folgenden werden bevorzugte Ausführungsformen der Vorrichtung anhand der Zeichnung zur Erläuterung weiterer Merkmale beschrieben. Es zeigen:
Fig. 1 eine Perspektivansicht wesentlicher Teile der Vorrichtung mit einer Vielzahl von planparallelen Elektroden, insbesondere zum Ätzen, wobei Teile zur Darstellung struktureller Details weggebrochen sind,
Fig. 2 eine Ansicht eines Abschnittes einer beweglichen, gestapelten Elektrodenuntereinheit in der Vorrichtung nach Fig. 1 zur Erläuterung der Dimensionierung und der Bewegungen gegenüber einer zugeordneten Plättchen-Transfereinheit ,
Fig. 3 eine Darstellung des Abschnitts nach Fig.2 mit der stapeiförmigen Elektrodenuntereinheit von der Rückseite zur Veranschaulichung der Kühlmittelzuleitung und der elektrischen Leitungsanordnung,
Fig. 4 eine Darstellung der Untereinheit mit den stapeiförmig angeordneten Elektroden zusammen mit Potential-Darstellungen sowie Darstellungen der Plasmaentladungen,
Fig. 5 eine Elektrodenuntereinheit sowie eine Darstellung der Erregungsbedingungen mit direkt verschachtelten Einze!elektroden,
• /IS··
Fig. 6 eine auseinandergezogene und Teilansicht eines Paars von Elektroden, die in Sandwich-Anordnung über einem isolierenden dielektrischen Glied vorgesehen sind,
um eine von mehreren Einheiten mit Vielfachelektroden zu schaffen, von welchen verbesserte Untereinheiten
entsprechend Fig. 1 bis 4 aufgebaut sind,
Fig. 7 eine Draufsicht auf eine obere Elektrode eines Elektrodenpaars mit Fig. 6, wobei strichliert die Durchgänge des inneren Kühlungsmittelflusses dargestellt
sind,
Fig. 8 eine Ansicht der Elektrode nach Fig. 7 von der rechten Seite her gesehen, und
Fig. 9 eine schematische Darstellung einer bevorzugten Ausführungsform nach Art eines Blockschaltbildes zur
elektrischen Erregung der Elektrodenuntereinheit mit einer Vielzahl von planaren Elektroden.
In der nachfolgenden Beschreibung werden gleiche oder entsprechende Teile mit gleichen Bezugszeichen versehen.
Nunmehr wird auf Fig. 1 Bezug genommen, welche eine Ausführungsform einer Vorrichtung zum Trocken-Gasplasma-Ätzen
beschreibt, die allgemein mit 10 bezeichnet ist. Diese Ausführungsform nach Fig. 1 weist Elemente 11, die zu einem
stationären Rahmen bzw. Gestell fest miteinander verbunden sind, auf, auf welchen die Elemente einer Transferstation 12 für Halbleiter nach Art einer Kassette befestigt sind; außerdem sind Elemente eines aufzugähnlichen Mechanismus 13 für eine Vielzahl von Elektroden auf dem Rahmen bzw. dessen Glieder
befestigt, die so angeordnet sind, daß sie eine vertikal gestapelte Anordnung von im wesentlichen planparallelen Elektrodenpaar-Einheiten anheben und absenken, wobei diese Einheiten innerhalb einer nicht dargestellten Vakuumkammer vom Typ einer Glasglocke Abschnitte für die Plasmaentladung
festlegen. Eine unnachgiebige bzw. massive, mit Längsrippen
M-
versteifte Präzisions-Kassette 14, die zur kompakten vertikalen Stapelung einer Vielzahl von horizontal aufgefüllten und entnommenen flachen kreisförmigen Halbleiterplättchen, beispielsweise Plättchen 15, ausgelegt ist, gestattet den stapeiförmigen Transport und die Behandlung solcher empfindlichen Werkstücke ohne Kontamination oder andere Beschädigung aufgrund einer Fehlbehandlung oder Fehlbedienung der Bedienungsperson; die Kassette 14 ist an der Oberseite einer Lift-Plattform 16 angeordnet und auf Führungsstangen dadurch vertikal beweglich, daß eine mit einem Gewinde versehene Schraubstange 17 durch einen geeigneten Motor 18 der Transferstation 12 drehfähig ist. Die mit Plättchen bzw. Wafers 15 beladene Kassette führt eine Zahl von Wafers 15 zu, wobei jedes Plättchen obere Oberflächen und Bereiche aufweist, die eine Vielzahl von teilweise bearbeiteten Mikroschaltungen und/oder Halbleitervorrichtungen oder dergleichen darstellen, die dadurch weiter bearbeitet werden, daß sie mit einem Gasplasma in Wechselwirkung gelangen, um beispielsweise Zwischenräume zwischen feinen leitfähigen Leitungen bzw. Bahnen durch Ionenbeschuß oder durch chemisch-typisches Ätzen oder durch beide Methoden hervorzurufen. Ein derartiges Plasma wird jeweils für jeden Stapel von bis zu sechs Wafers in der dargestellten Elektrodeneinheit 13 erzeugt, wobei sechs Gasentladungs- oder Plasmaabschnitte 13a bis 13f jeweils neben einem der vertikal gestapelten Elektrodenpaar-Einheiten 19 bis 25 vorgesehen sind. Diese Elektroden-Einheiten 13, die nach oben weisende Oberflächen am Boden der Plasmaabschnitte aufweisen, sind vorzugsweise geringfügig nach unten und kreisförmig ausgespart bzw. vertieft, wie dies in Fig. 1 gezeigt ist, um dünne kreisförmige Wafers in einer im wesentlichen fluchtrechten Beziehung gegenüber den Randgebieten aufzunehmen, ferner sind sie in Querrichtung nach innen von der Seite der Transferstation vertieft, um die Spitze eines hin- und herbewegbaren, waferlagernden Transferarms 26 aufzunehmen, der dazu dient, die Wafers zwischen der Kassette 14 und den Plasmaabschnitten zu bewegen bzw. zu transferieren. Die Transfer- oder Ladestation 12 entspricht im wesentlichen
• /77·
der Kassetten-Ladestation gemäß der US-PS 4 062 463 und enthält einen geführten, gleitfähigen Träger 27, an welchem der Ladearm 26 befestigt ist und der seinerseits durch eine Kolbenstange 28 nach hinten und nach vorne bewegt wird, die pneumatisch durch eine nicht dargestellte pneumatische Kolbenzylinder-Einheit angetrieben wird.
Durch Verwendung zugeordneter Schalter und Steuerungen, wie sie im einzelnen in der US-PS 4 062 463 beschrieben sind, ist die Ladestation 12 dafür bereitgestellt,eine Wafer von einer Kassette abzunehmen, indem ihr Arm in eine voll zurückgezogene Position verbracht wird, in welcher die Spitze des Armes unter der untersten Wafer in der Kassette
14 zu liegen kommt und mit dieser in Eingriff gelangt, wenn die Kassette durch die Lift-Plattform 16 abgesenkt wird. Ein pneumatischer Sensor auf der Spitze des nicht gezeigten Ladearms erfasst den Eingriff und stoppt dadurch das Absenken der Kassette, woraufhin die pneumatische Kolbenstange 28 betätigt wird, um den Arm 26 in Richtung auf die Elektroden-Einheit 13 zusammen mit der erfassten Wafer
15 zu bewegen, die an seiner Spitze gehaltert bzw. gelagert ist. Zu diesem Zeitpunkt ist die Kammer, in welcher sich die Elektroden-Einheit 13 befindet und die abgedichtet bzw. verschlossen werden muß, seitlich offen,um den Ladearm 26 und das von ihm getragene Laser aufzunehmen; die Elektroden-Einheit 13 wird in Vertikalrichtung schrittweise fortbewegt, so daß ein freier Plasmaentladungsabschnitt in der exakten Höhe zu liegen kommt, damit die Wafer in der abgestimmten Elektrodenvertiefung bzw. Elektrodenaufnahme freigegeben werden kann, nachdem der Ladearm 26 in seine voll ausgestreckte Position bewegt worden ist. Danach wird der Ladearm 26 zurückgezogen und die Kassette wird weiter abgesenkt, um eine weitere Wafer aufzunehmen, wird gehalten und über den nächsten freien Plasmaentladungsabschnitt getragen,' die Elektroden-Einheit wird mittlerweile in Vertikalrichtung schrittweise bewegt, um diesen nächsten Plasmaentladungsabschnitt in die angemessene Ladehöhe zu verbringen. Gleit-
stangen 29 und eine durch einen Motor angetriebene, mit Gewinde versehene Antriebsstange 30 dienen zur Führung und Einstellung der vertikalen lift- oder aufzugsähnlichen Bewegungen der eine Mehrzahl von Elektroden enthaltenen Elektroden-Einheit mit seiner lagernden Trägerplattform 31; das schrittweise Bewegen wird vorzugsweise vollständig automatisch und programmiert zur Steuerung in Abhängigkeit von mechanischen Schaltern oder Sensoren durchgeführt, obgleich es auch unter der Steuerung einer Bedienungsperson ausführbar ist. Sobald die Entladungsabschnitte mit Wafern beladen sind, werden die Elektroden innerhalb einer luftdichten und nicht dargestellten Kammer abgedichtet bzw. verschlossen; nach dem Reinigen und Einführen des ausgewählten inerten Gases oder der inerten Gase wird eine Hochfrequenzerregung der Elektroden auf eine Weise durchgeführt, wie sie nachfolgend noch näher beschrieben wird, so daß Plasmen erzeugt werden und in Wirkung mit den Wafern stehen, bis die gewünschte Bearbeitung erfolgt ist. Wenn danach die Kammer wieder geöffnet wird, können die bearbeiteten Wafer entfernt oder entnommen werden und in die Kassette zurückverbracht werden mittels Maßnahmen, die im wesentlichen entgegengesetzt zu den vorstehend beschriebenen Maßnahmen während des Ladevorganges stehen.
Gemäß Fig. 2 weist der dort dargestellte Teil der Elektrodeneinheit 13 zusammengesetzte bzw. kombinierte Elektroden-Einheiten 22 und 23 auf, zwischen welchen der Plasmaentladungsabschnitt 13d festgelegt ist. Die Wafer 15 und der Arm 26 sind in Fig. 2 ebenfalls dargestellt; außerdem sind Pfeile 32 eingezeichnet, welche die entgegengesetzten Richtungen veranschaulichen, in welchen der Arm während des Lade- und Entladevorganges zur Führung einer Wafer in den Bereich 13d und aus dem Bereich 13d weg ausgefahren und zurückgezogen wird, wobei in dem Bereich oder Abschnitt 13d die beabsichtigte Wirkung mit dem Gasplasma stattfindet. Die Spitze des Armes 26 ist innerhalb des sich in seitlicher Richtung verlaufenden Schlitzes 23A
der oberen, metallischen planparallelen Leiter-Elektrodenplatte 23a aufgenommen, auf welcher eine Wafer zur Bearbeitung aufzulegen ist, und zwar innerhalb der flacheren bzw. napfförmigen, im wesentlichen kreisförmigen Vertiefung 23B. Obgleich die Elektrodenplatte 23a die obere der zusammengesetzten Elektroden-Einheiten-Elemente darstellt, ist es natürlich die untere Elektrodenplatte der planparallelen Leiter, welche den Plasmaentladeabschnitt 13d begrenzt, wobei der andere planparallele Begrenzungsleiter die Platte 22c der Einheit 22 ist. Normalerweise ist es wichtig, daß die Elektrodenplatten die Plasmaentladungsabschnitte festlegen, die eine gleichmäßige Dicke bzw. Höhe aufweisen, wie dies in Fig. 2 durch das Bezugszeichen 33 gezeigt ist, und daher sind die Elektroden-Einheiten 19 bis 25 fest in horizontaler, paralleler Beziehung übereinander durch Pfosten aus Isoliermaterial gehalten, in welche die Elektroden-Einheiten entlang von Kanten geringfügig "eingeschnitten" sind, das heißt, die Pfosten weisen Einschnitte bzw. Vertiefungen auf, in welche die Elektroden-Einheiten eingesetzt sind, wie dies aus Fig. 2 erkennbar ist. Aus Gründen elektrischer Überlegungen sind die verschiedenen Elektrodenplatten in vorbestimmter Weise polarisiert und erregt und leitende Elemente 34a bis 37a, welche an den isolierenden Pfosten 34 bis 37 diese Pfosten überdeckend vorgesehen sind, bilden diejenige Einrichtung, welche die jeweiligen Verbindungen mit nur einer bestimmten der Elektrodenplatten und in beabstandeten Positionen herstellen, die sich als günstig für relativ hohe Frequenzen und Energien herausgestellt haben, beispielsweise für 13+ MHz} 1000 Watt. Es wurde gefunden, daß die Reproduzierbarkeit der Bearbeitungsergebnisse verbessert wird, wenn die Wafer- oder andere Werkstück-Tempera turen im wesentlichen gleich gehalten werden und daß auch andere unerwünschte Reaktionen mit "Resisf'-Material bzw. Ätzpasten-Material reduziert werden, wenn die Wafers gekühlt werden; aus diesen Gründen sind die oberen wafer-
lagernden Elektrodenplatten, beispielsweise die Platten 22a und 23a, mit inneren Durchflußkanälen für ein Kühlmittel, beispielsweise Wasser oder Äthylen-Glykol versehen, welches durch diese Kanäle aufeinanderfolgend mittels Verbindungselemente 38 geführt wird, die in Fig. 3 gezeigt sind (die Verbindungselemente 38 können beispielsweise Schläuche, Leitungen oder dergleichen sein).
Der Aufbau und die Beziehung der einzelnen zusammengesetzten Elektroden-Einheiten 19 bis 25 zueinander sind wesentlich zur Verbesserung der elektrischen Eigenschaften des Systems sowie für die wichtige gesamte kleine Höhe des Systems. Jede Elektroden-Einheit enthält obere und untere leitende metallische Plattenelektroden, die durch die Buchstaben a und b jeweils unterschieden werden und in Sandwich-Beziehung gegenüber einer mittleren bzw. zwischenliegenden isolierenden und dielektrischen Schicht angeordnet sind, die ihrerseits durch den Buchstaben c als Zusatz zu dem jeweiligen Bezugszeichen bezeichnet ist. Die dielektrischen Schichten 19c bis 25c sind aus nichtorganischem, hochdichtem Aluminium-Oxid (Tonerde), obgleich auch andere Materialien, wie beispielsweise Teflon, verwendbar sind, wenn die Behandlung nicht solchen Charakter hat, daß es geätzt wird und seine beabsichtigten Funktionen verschlechtert bzw. beeinträchtigt werden. Die mit 39 angegebene Dicke bzw. Stärke (Fig.2 und 6) der unteren Elektrodenplatten 19b bis 25b muß nicht größer sein als sie erforderlich ist, um Flachheit und strukturelle Integrität während des Betriebes zu bewahren, obgleich die Dicke bzw. Stärke 40 der oberen Elektrodenplatten 19a bis 25a groß genug sein muß, um die vorstehend beschriebenen inneren Durchgänge zu gestatten, durch welche die Kühlflüssigkeit hindurchgeführt wird. Im Falle isolierender dielektrischer Schichten 19c bis 25c muß die Stärke 41 natürlich ausreichend groß sein, um einen Durchbruch bei den verwendeten Spannungen zu vermeiden und um die Impedanzbedingung zwischen den Elektroden beizubehalten, welche die Erzeugung von Plasmen zwischen den
Elektroden begünstigt, welche die offenen Entladeabschnitte begrenzen, während die anderen Elektrodenabstände durch das Dielektrikum besetzt sind. Bei einer geeigneten, bevorzugten Ausführungsform mit Elektrodenplatten und isolierenden dielektrischen Schichten mit Seitenabmessungen von etwa 15x15 cm (6x6 Inches) beträgt die Stärke 39 etwa 0,6 cm (1/4 Inch) und die Stärke 40 1,25 cm (0,5 Inch), während die Stärke 41 der isolierenden dielektrischen Schicht (Teflon) etwa 0,6 cm (1/4 Inch) beträgt. Unterbrochene Pfeile 42 in Fig. 2 veranschaulichen die vertikalen Bewegungen nach oben und nach unten, welche die Elektroden-Anordnung auf ihrer Lagerplattform 31 (Fig.1, 3 und 4) ausführt, wenn sie schrittweise bewegt wird, um die Plasmaentladungsabschnitte in Position für das automatische Beladen und Entladen der Wafer zu verbringen.
Sobald ein Werkstück-Scheibchen oder ein Stapel von Werkstückscheiben oder Wafern zur Behandlung mit Plasma in Stellung gebracht ist, wird die gesamte Elektroden-Einheit innerhalb eines Gehäuses oder einer Hülle bekannter Art nach Art einer Glasglocke verschlossen bzw. abgedichtet, wie dies schematisch in Fig. 4 durch die gestrichelte Linie 43 gezeigt ist. Ein geeignetes, Plasma lieferndes gasförmiges Medium, wie Kohlenstoff-Tetra-Fluorid in bekannter Art zugeführt und dort frisch aufgefüllt gehalten, wenn die Hochfrequenz-Erregung angelegt wird, um ein Gasentladungsplasma in jedem der Bereiche 13a bis 13f zu halten. Die Techniken und die Durchführung derselben zum Evakuieren, Reinigen, bzw. Ablassen, Abschließen, Zuführen und Strömen von Gas oder Gasen usw., sind bereits bekannt und bilden keinen wesentlichen Bestandteil der Vorrichtung, können vielmehr von Fachleuten ausgeführt werden und sind daher nicht weiter zu erläutern. Die elektrischen Erregungen sind unterschiedlich und fraglos vorteilhaft und sollten so weit überwacht werden (Fig.4), daß sie gleichzeitig augenblicksartige Polarisationen und das Erregen aller oberer Elektroden 19a bis 25a der verschiedenen zusammen-
gesetzten Elektroden beinhalten und auf ähnliche Weise aller unteren Elektroden 19b bis 25b. Eine HF-Quelle 44 erzeugt eine solche Erregung über eine Sammelleitung 44A, die eine Verbindung zu den unteren Elektroden 19b bis 25b herstellt,und über eine Sammelleitung 44B, die eine Verbindung zu den oberen Elektroden 19a bis 25a herstellt. Die augenblicklichen Polaritäten, die in Fig. 4 eingezeichnet sind, sollen die Erregungszustände zeigen, die vorliegen können, wobei entgegengesetzte Polaritäten in Klammern dargestellt sind. In jedem Augenblick werden alle Plasmaabschnitte 13a bis 13f in gleicher Weise polarisiert wie alle wafertragenden oberen Elektrodenplatten 19a bis 25a; dies wird somit bestimmen, ob das gesamte Ätzen auf gleiche Weise fortschreitet. Ein geerdeter oder erdfreier Zustand der die Wafer lagernden Anoden 19a bis 25a begründet die erwähnte Plasma- oder chemische Plasma-Ätzmethode,während der Betrieb der Elektroden 19a bis 25a als erregte Kathoden die erwähnte RIE-Ätzung (reaktive Ionenätzung) beinhaltet. Zur Unterscheidung beinhaltet die Anordnung nach Fig. 5, die nur Einzelelektroden 19' bis 25' aufweist, entgegengesetzte anstelle gleicher augenblicklicher Polarisationen der die Wafer lagernden Elektroden und der Plasmaabschnitte, so daß die Bearbeitung nicht die gleiche in den aufeinanderfolgenden Abschnitten ist. In Fig. 5 sind die Bezugszeichen mit Apostrophe versehen und bezeichnen Gegenstücke der Darstellungen der übrigen Zeichnungen. Eine Vorrichtung gemäß Fig.5 hat eine begrenztere Fähigkeit und -wenn nur abwechselnde Plasmaabschnitte benutzt werden- können z.B. weniger Wafers bearbeitet werden und die Elektroden-Anordnung ist notwendigerweise sehr viel höher als eine Vorrichtung vergleichbarer Kapazität mit dem Aufbau nach Fig.4.
Bei den verwendeten Hochfrequenzen, beispielsweise bei 13+ MHz, tendiert die Energieverteilung zu den Elektroden, die in Beziehung zu den anderen Teilen des Systems proportioniert, beabstandet und angeordnet sind, nicht dazu,
eine insgesamt einfache Sache zu sein. Insbesondere das Interesse an einer gleichförmigen und wiederholbaren Bearbeitung einer Vielzahl von Werkstücken tendiert dazu, durch einfache direkte Verbindungen einer Quelle 44 mit einer Vielzahl von Elektrodenplatten beeinträchtigt bzw. verhindert zu werden, da es den Anschein hat, daß sie nicht identische Erregung und Leistungsübertragung zeigen oder bestätigen/ aus welchen Gründen auch immer. Eines der Hilfsmittel, die eine gewisse Verbesserung in diesen Hinsichten ergeben, beinhaltet die Herstellung von Speiseleitungen 44B (Fig.4) in Form leitender Elemente 36a und 37a, die in Fig. 3 gezeigt sind. Jedes der länglichen Elemente 36a und 37a ist in Längsrichtung und in der Mitte mit einer Vertiefung bzw. einem Schlitz versehen und weist einen zentralen Zungenabschnitt auf, der von der Oberseite absteht, wobei dieser Abschnitt direkt mit den die Wafers lagernden Platten der Elektrodeneinheiten 19 bis 25 verbunden ist. Der HF-Eingang, der auf diese Sammelleitungselemente in Form einer "Übertragungsleitung" über Speiseleitungen 44B am Boden zugeführt wird, wird auf die die Wafers lagernden Elektroden gleichförmiger verteilt, als wenn ebene bzw. flache Sammelleitungs-Verbindungen benutzt werden. Die Leistung in gleicher Hinsicht läßt sich noch leichter kontrollieren, wenn das Anpassen und Abstimmen unter Verwendung variabler Impedanzen durchgeführt wird, wie dies in Fig. 9 gezeigt ist. Der Ausgang einer Speisung, wie er beispielsweise von einem 50 Ohm Ausgangskabel 46 einer Hochfrequenz-Quelle 47 geliefert wird, wird auf eine verwendbare Größe gegenüber dem Eingang zur Elektroden Einheit 13 mittels eines parallelen Drehkondensators, d.h. einer einstellbaren Kapazität 48 und einer einstellbaren Serien-Induktivität 49 (regelbare Induktivität), angepasst und die dadurch erzeugte Erregung der einzelnen, die Wafers lagernden oberen Elektrodenplatten der Elektroden-Einheiten 19 bis 25 erfolgt über separate variable Kapazitäten (Drehkondensatoren) 50 bis 56, die jeweils in Serie
mit der Eingangsleitung zu diesen Platten geschaltet sind. Eine Teilung der gemeinsamen Erregung gegenüber den einzelnen Elektrodenplatten kann empirisch dadurch erreicht werden, daß die letzteren Kapazitäten eingestellt werden, daß bestimmt wird, welcher Grad von Ätzen in jedem Plasmaabschnitt auftritt, daß die Kapazitäten nachgestellt werden, daß die Änderungen beobachtet werden und daß das Testen und Nachstellen wiederholt wird, bis die Ätzwirkungen gut ausgeglichen festgestellt werden. Eine weitere einstellbare Kapazität 57 in Serie zum Eingang an alle Elektrodenplatten dient als Einrichtung zur weiteren Ausschaltung bzw. Beseitigung von Streueffekten, die zwischen der Elektrodenanordnung und den benachbarten Wänden und anderen möglicherweise durchschlagenden Materialien und Feldern erzeugt werden. Die durch die einstellbaren Impedanzen erregten Elektroden können bei einer alternativen Betriebsart entgegengesetzt zu den dargestellten Polaritäten aufgeladen werden.
Die Fig. 7 und 8 zeigen eine weitere Ausgestaltung, welche eine effektive Zirkulation des Kühlmittels durch die die Wafers lagernden Elektrodenplatten erleichtern. Zwei parallel zueinander beabstandete längliche Bohrungen 58 und 59 vereinigen sich jeweils bzw. stehen jeweils in Verbindung mit mehreren geneigten bzw. schräg geneigten länglichen Durchgängen oder Kanälen 60,61, die in die Platte von der Seitenkante an der Stelle einer vorgeformten kleinen Ausnehmung (Hohlraum) 62 her in die Platte 23 eingearbeitet sind. Sobald die maschinelle Herstellung bzw. diese Einarbeitung vorgenommen wurde, wird der Hohlraum 62 entlang der Seite der Platte mit einem Deckel versehen und verschlossen und Fluidverbindungen, die mit den beiden Bohrungsöffnungen in Verbindung stehen, führen das Kühlmittel in die Platte hinein und aus der Platte heraus. Die Vielzahl von Durchgängen bzw. Kanälen innerhalb der Platte gewährleistet, daß das Kühlmittel große Flächen während seiner Strömung durchströmt und daß eine gute Regulierung der
• Sb-
Elektrodentemperatur gefördert wird.
Die Erfindung schafft eine Vorrichtung zum Trocken-Plasma-Ätzen (Dry plasma etching) einer Vielzahl von planparallelen Dünnfilm-Halbleiter-Wafern, wobei das Ätzen gleichzeitig und gleichförmig in einer relativ kleinen Kammer durchgeführt wird, welche eine in Vertikalrichtung gestapelte Anordnung von laminaren bzw. plattenförmigen Elektrodenuntereinheiten umgibt, von denen jede ein Paar von entgegengesetzt zueinander erregten Elektrodenplatten enthält, die dicht bzw. eng eine feste Isolierschicht aus dielektrischem Material aufnehmen bzw. zwischen sich aufnehmen. Die parallelen Untereinheiten sind in Vertikalrichtung getrennt, um die Kammer in eine Vielzahl von Reaktorabschnitten zu unterteilen, in welchen Hochfrequenzentladungen ein normalerweise inertes Raumgas erregen, um ein reaktives Plasma zum gleichzeitigen planaren Plasmaätzen zu erzeugen oder ein reaktives Ionenätzen aller Wafer innerhalb der Entladungsabschnitte. Die oberen Platten der Elektrodenuntereinheiten, welche die Wafers während des Ätzens lagern, werden jederzeit jeweils auf dem gleichen Potential gehalten, und zwar bei hochfrequenter Energie oder bei Erdung in den unterschiedlichen Betriebsarten. Ein flüssiges Kühlmittel wird durch verteilte innere Durchgänge in diesen Lagerplatten hindurchgeschickt. Alle unteren Platten der Elektrodenpaare werden gleichzeitig auf dem entgegengesetzten Potential gehalten, und zwar bei Erdung oder bei Anlegen von Hochfrequenzenergie und die zwischenliegenden isolierenden dielektrischen Schichten in den Untereinheiten sind relativ dünn, während gleichzeitig eine kritische elektrische Isolierung geschaffen sowie eine Fehlentladung ohne ernsthafte elektrische Fehlanpassung unterdrückt wird. Ein unkomplizierter Transport der einzelnen Wafer zwischen den vertikal gestapelten Positionen in einer Kassette und der gestapelten Anordnung der Ätzabschnitte wird von unten durch einen hin- und herbewegbaren Arm erreicht, der innerhalb von Aufnahmeschlitzen aufnehmbar ist, welche in den oberen gekühlten Elektrodenplatten
. οίΟ) ·
entlang einer Kante der stapeiförmigen Anordnung ausgespart sind; programmierte vertikale Bewegungen der Kassette und der Elektrodenanordnung erlauben ein angemessenes Beladen und Entladen der Wafer und eine geeignete Ausrichtung der Reaktorabschnitte im Verhältnis zu der einschließenden Kammer und der zugeordneten Ausrüstung.
- Leerseite -

Claims (17)

  1. Patentansprüche
    Vorrichtung zur Behandlung von Werkstücken mit Gasplasma, insbesondere zum Ätzen von Werkstücken,wie Halbleiterwafern, gekennzeichnet durch eine Kammer (43), die Mittel zur Schaffung einer gasförmigen Umgebung in der Kammer enthält, durch welche unter Einwirkung angelegter elektrischer Potentiale ein Plasma erzeugt wird,
    eine eine Vielzahl von Elektroden enthaltende Anordnung (19 bis 25) zum Anlegen der Potentiale an getrennte unmittelbare Abschnitte (13a bis 13f), die jeweils wenigstens ein Werkstück innerhalb der Kammer (43) aufnehmen, wobei die Elektrodenanordnung eine Vielzahl von Elektrodeneinheiten enthält, welche durch die Abschnitte (13a bis 13f) zueinander in Abstand vorgesehen sind und jeweils ein Paar von leitfähigen Elektroden sowie ein dazwischen
    33UÖ/Z/
    befindliches dielektrisches Feststoffmaterial aufweisen,
    durch eine Einrichtung (44,44A,44B) zur gleichzeitigen Erzeugung unterschiedlicher elektrischer Potentiale an den leitfähigen Elektroden jedes Elektrodenpaares.
  2. 2. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, daß die leitfähigen Elektroden (19a bis 25a, 19b bis 25b) in gleichem Abstand zueinander vorgesehen sind und daß das dielektrische Material (19c bis 25c) in jeder der Elektrodeneinheit im wesentlichen das Volumen zwischen den Elektroden der Elektrodeneinheiten ausfüllt, und
    daß die Dicke des dielektrischen Materials in den Elektrodeneinheiten kleiner als diejenige der Abschnitte (13a bis 13f) zwischen den Einheiten gewählt ist.
  3. 3. Vorrichtung nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß die leitfähigen Elektroden (19a bis 25a, 19b bis 15b) im wesentlichen eben bzw. plan und parallel ausgebildet sind, daß die Elektrodeneinheiten (19 bis 25) im wesentlichen die gleiche Größe und im wesentlichen parallel zueinander und zueinander ausgerichtet vorgesehen sind, daß die Abschnitte (13a bis 13f) im wesentlichen das gleiche Volumen und die gleiche Dicke aufweisen, und
    daß die Einrichtung (44,44A,44B) zur Potentialerzeugung gleiche augenblickliche Potentiale mit gleicher Richtung bzw. gleichem Sinn über alle Bereiche (13a bis 13f) hervorruft.
  4. 4. Vorrichtung nach einem der vorangehenden Ansprüche, dadurch gekennzeichnet, daß die leitfähigen Elektroden (19a bis 25a, 19b bis 25b) im wesentlichen horizontal vorgesehen sind und daß die Abschnitte (13a bis 13f) in vertikal übereinanderliegender Beziehung vorgesehen
    sind, wobei die oberen Elektroden der Elektrodeneinheiten (19 bis 25) zur Aufnahme und Lagerung von Werkstücken darauf ausgebildet sind.
  5. 5. Vorrichtung nach wenigstens einem der vorangehenden Ansprüche, dadurch gekennzeichnet, daß die Einrichtung (44,44A,44B) zur Potentialerzeugung eine Quelle (47) zur Erzeugung von Hochfrequenzsignalen, eine Einrichtung (48,49) zur Impedanzabstimmung und zur Verbindung der HF-Quelle mit allen Elektroden zum Anlegen der Potentiale an die Elektroden und eine Einrichtung aufweist, welche die HF-Energie der Impedanz-Abstimmeinrichtung (58,59) im wesentlichen mit gleicher Größe auf benachbarte Elektrodensätze aufteilt.
  6. 6. Vorrichtung nach wenigstens einem der vorangehenden Ansprüche, dadurch gekennzeichnet, daß eine Elektrode der Elektrodenpaare geerdet ist und daß die Impedanzabstimmeinrichtung (48,49) eine regelbare Induktivität (49), die in Serie zu dem erdfreien Ausgang der HF-Quelle geschaltet ist, sowie eine verstellbare Kapazität (48) enthält, welche zu der Ausgangsleitung der HF-Quelle und den geerdeten Elektroden in Nebenschluß geschaltet ist.
  7. 7. Vorrichtung nach wenigstens einem der vorangehenden Ansprüche, dadurch gekennzeichnet, daß die Einrichtung zur Aufteilung der HF-Energie verstellbare Kapazitäten (50,51,56) enthält, die in Serie mit jeweils einer Elektrode der Elektrodenpaare geschaltet ist.
  8. 8. Vorrichtung nach wenigstens einem der vorangehenden Ansprüche, dadurch gekennzeichnet/ daß eine einstellbare Kapazität (57) in Serienschaltung zu den einen Elektroden der Elektrodenpaare geschaltet ist.
  9. 9. Vorrichtung zur Behandlung von Werkstücken mit Gasplasma, insbesondere zum Ätzen von Werkstücken, wie Halbleiterwafern,
    gekennzeichnet durch
    eine Kammer (43) mit Mittel zur Erzeugung einer gasförmigen Umgebung zwecks Lieferung eines Plasmas unter Einfluss angelegter elektrischer Potentiale, eine in Vertikalrichtung gestapelte, eine Vielzahl von Elektroden enthaltende Anordnung zum gleichzeitigen Anlegen der Potentiale an alle in Flucht zueinander ausgerichtete Serien von separaten Plasmaentladungsabschnitte (13a bis 13f), welche jeweils ein Werkstück innerhalb der Kammer aufnehmen, wobei die Elektrodenanordnung eine Vielzahl von im wesentlichen horizontalen Elektrodeneinheiten (19 bis 25) enthält, die jeweils ein Paar von im wesentlichen flachen oder planparallelen Elektroden mit im wesentlichen gleichen Seitenabmessungen und Form sowie einer Füllung aus dielektrischem Feststoffmaterial mit im wesentlichen gleicher Dicke enthält, durch eine in der Elektrodenanordnung ausgebildete Lagereinrichtung mit Pfosten (34 bis 38) zur Halterung der Elektrodeneinheiten (19 bis 25) an den Kanten derselben in einer isolierten, vertikal gestapelten und zueinander ausgerichteten Beziehung sowie mit dem gleichen gleichförmigen Höhenabstand zwischen letzteren, welche die separaten Plasmaentladungsabschnitte (13a bis 13f) festlegen, wobei die Dicke der Füllung aus dielektrischem Material kleiner als die Höhe der Abstände ist,
    durch obere Elektroden der Elektrodeneinheiten, die zur Aufnahme und zur Auflage von Werkstücken darauf vorgesehen sind, und
    durch eine Einrichtung zum Anlegen der im wesentlichen gleichen HF~Erregungsenergie in jedem Augenblick an die Elektroden der Elektrodeneinheiten, welche die
    Oberseite und den Boden jedes der Entladungsabschnitte (13a bis 13b) begrenzt, um dadurch im wesentlichen identische Plasmen-Bedingungen in allen Entladungsabschnitten gleichzeitig zu erzeugen.
  10. 10. Vorrichtung nach Anspruch 9, dadurch gekennzeichnet, daß eine Transferstation (12) für Werkstücke vorgesehen ist, die entlang der Anordnung der Elektrodeneinheiten (19 bis 25) eine Einrichtung zur Aufnahme einer Kassette (14) mit darin vertikal gestapelten Werkstücken enthält sowie einen Arm (26) zur Übertragung der Werkstücke, der eine Antriebs- und Steuereinheit zugeordnet ist, welche den Arm zwischen einer ausgestreckten und zurückgezogenen Position bewegt und
    um den Arm Werkstücke aufeinanderfolgend zwischen ihren Positionen in der Kassette (14) und den Positionen der oberen Elektroden zu bewegen, die zur Aufnahme und Lagerung der Werkstücke ausgebildet sind.
  11. 11. Vorrichtung nach Anspruch 9 oder 10, dadurch gekennzeichnet, daß eine Einrichtung zum Anheben und Absenken der Lager- oder Aufnahmeeinheit vorgesehen ist, um die Entladungsabschnitte (13a bis 13f) und die Abschnitte der oberen Elektroden in eine Position zum Be- und Entladen der Werkstücke durch den Transferarm vorgesehen ist.
  12. 12. Vorrichtung nach einem der Ansprüche 9 bis 11, dadurch gekennzeichnet, daß die die Werkstücke aufnehmenden oberen Elektroden der Elektrodeneinheiten (19 bis 25) Kanäle oder Durchgänge (58 bis 61) zur Führung eines Strömungsmittels aufweisen, um die oberen Elektroden in gekühltem Zustand zu halten, und daß eine Einrichtung vorgesehen ist/ um die Kühlflüssigkeit durch die oberen Elektroden strömen zu lassen, während das Plasma in den Entladungsabschnitten (13a bis 13f) erzeugt wird.
    _3(3 Ub zz Z
  13. 13. Vorrichtung nach einem der Ansprüche 9 bis 12, dadurch gekennzeichnet/ daß die Kanäle oder Durchgänge (58 bis 61) in jeder oberen Elektrode durch ein Paar in Abstand zueinander und parallel vorgesehenen, länglichen Blindbohrungen (58,59) gebildet sind, die seitlich mit einer Vielzahl von schrägen, länglichen Bohrungen in Verbindung stehen, welche von der Seite eines ausgesparten Hohlraumes (62) an einer Kante der Elektrode zwischen den blinden bzw. geschlossenen 3ohrungen vorgesehen ist, und daß der Hohlraum entlang der Kante geschlossen ist, wobei die Öffnungen zu den Blindbohrungen einen Einlass und einen Auslass für die Kühlmittelströmung durch jede der oberen Elektroden bilden.
  14. 14. Vorrichtung nach wenigstens einem der Ansprüche 9 bis 13, dadurch gekennzeichnet, daß eine Einrichtung zur Anlegung einer im wesentlichen gleichen Hochfrequenzerregung eine Quelle (47) für HF-Energie und eine verstellbare Einrichtung (48,49) zur Impedanzanpassung zwischen dem Ausgang der HF-Quelle (47) und der Eingangsimpedanz vorgesehen ist, die durch die beabstandeten und isolierten planparallelen Elektroden erzeugt wird, und daß eine Einrichtung (50,51,56) zur Aufspaltung bzw, Aufteilung der HF-Energie der HF-Quelle (47) von der einstellbaren Einrichtung (48, 49) im wesentlichen auf gleiche Größen zwischen mehreren Paaren der Elektroden vorgesehen ist.
  15. 15. Vorrichtung nach wenigstens einem der Ansprüche 9 bis 14, dadurch gekennzeichnet, daß die einstellbare Einrichtung (48,49) eine regelbare Induktivität (49), die in Serie zu einem erdfreien Ausgangsleiter der HF-Quelle (47) geschaltet ist, sowie eine abstiir.mbare Kapazität (48) aufweist, welche in Nebenschlußschaltang zum Leiter und dem geerdeten Ausgangsleiter der HF-Quelle (47) geschaltet ist.
  16. 16. Vorrichtung nach wenigstens einem der Ansprüche
    9 bis 15, dadurch gekennzeichnet, daß die Einrichtung (50,51,56) zum Aufspalten bzw. Aufteilen des HF-Ausganges eine Vielzahl von abstimmbaren Kapazitäten aufweist, von denen jede in Serie zu jeweils jeder oberen Elektrode geschaltet ist und mit einem erdfreien Ausgangsleiter der einstellbaren Einrichtung verbunden ist.
  17. 17. Vorrichtung nach einem der Ansprüche 9 bis 16, dadurch gekennzeichnet, daß eine abstimmbare Kapazität (57) in Serie zu allen oberen Elektroden vorgesehen ist.
DE19833308222 1982-01-06 1983-03-08 Vorrichtung zur behandlung von werkstuecken mit gasplasma Granted DE3308222A1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/337,372 US4381965A (en) 1982-01-06 1982-01-06 Multi-planar electrode plasma etching

Publications (1)

Publication Number Publication Date
DE3308222A1 true DE3308222A1 (de) 1984-09-13

Family

ID=23320301

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19833308222 Granted DE3308222A1 (de) 1982-01-06 1983-03-08 Vorrichtung zur behandlung von werkstuecken mit gasplasma

Country Status (7)

Country Link
US (1) US4381965A (de)
JP (1) JPS59159532A (de)
CA (1) CA1177782A (de)
CH (2) CH658751A5 (de)
DE (1) DE3308222A1 (de)
FR (1) FR2541509B1 (de)
GB (1) GB2135816B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4447977B4 (de) * 1993-05-03 2009-09-10 Oc Oerlikon Balzers Ag Vorrichtung und Verfahren zur Plasmabehandlung von flachen Werkstücken, insbesondere flachen, aktiven Bildschirmen, sowie Verwendung der Vorrichtung

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0090067B2 (de) 1982-03-31 1991-03-20 Ibm Deutschland Gmbh Reaktor für das reaktive Ionenätzen und Ätzverfahren
US4420385A (en) * 1983-04-15 1983-12-13 Gryphon Products Apparatus and process for sputter deposition of reacted thin films
JPH0644554B2 (ja) * 1984-03-28 1994-06-08 株式会社富士電機総合研究所 プラズマcvd装置
CA1269950C (en) * 1984-06-22 1990-06-05 DEVICE FOR DECOMPOSITION BY GLOWING DISCHARGE
US4623441A (en) * 1984-08-15 1986-11-18 Advanced Plasma Systems Inc. Paired electrodes for plasma chambers
US4610748A (en) * 1984-12-10 1986-09-09 Advanced Semiconductor Materials Of America, Inc. Apparatus for processing semiconductor wafers or the like
US4685852A (en) * 1985-05-20 1987-08-11 Machine Technology, Inc. Process apparatus and method and elevator mechanism for use in connection therewith
JPH0732148B2 (ja) * 1985-12-19 1995-04-10 日本電気株式会社 反応性スパツタエツチング方法
US4724296A (en) * 1986-02-28 1988-02-09 Morley John R Plasma generator
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
CA1331163C (en) * 1986-04-18 1994-08-02 Applied Materials, Inc. Multiple-processing and contamination-free plasma etching system
US4887005A (en) * 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
DE69032691T2 (de) * 1989-12-07 1999-06-10 Japan Science & Tech Corp Verfahren und Gerät zur Plasmabehandlung unter atmosphärischem Druck
JPH0652726B2 (ja) 1991-01-18 1994-07-06 株式会社日立製作所 ドライエッチング方法
JP2509389B2 (ja) * 1991-01-18 1996-06-19 株式会社日立製作所 ドライエッチング装置
US5244559A (en) * 1991-07-31 1993-09-14 Leybold Aktiengesellschaft Apparatus for transport and heat treatment of substrates
DE4203080A1 (de) * 1991-07-31 1993-08-05 Leybold Ag Vorrichtung fuer die waermebehandlung und den transport von substraten
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
AU2003195A (en) * 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US6245189B1 (en) * 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
US5643639A (en) * 1994-12-22 1997-07-01 Research Triangle Institute Plasma treatment method for treatment of a large-area work surface apparatus and methods
US6193506B1 (en) * 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5588789A (en) * 1995-07-06 1996-12-31 Brooks Automation Load arm for load lock
US5607276A (en) * 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US6299404B1 (en) 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
US6481956B1 (en) 1995-10-27 2002-11-19 Brooks Automation Inc. Method of transferring substrates with two different substrate holding end effectors
US6036426A (en) * 1996-01-26 2000-03-14 Creative Design Corporation Wafer handling method and apparatus
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6106683A (en) * 1997-06-23 2000-08-22 Toyo Technologies Inc. Grazing angle plasma polisher (GAPP)
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6780464B2 (en) 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
AU4067799A (en) * 1998-01-08 1999-08-23 University Of Tennessee Research Corporation, The Remote exposure of workpieces using a one atmosphere uniform glow discharge plasma
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
WO1999035893A2 (en) 1998-01-08 1999-07-15 The University Of Tennessee Research Corporation Paraelectric gas flow accelerator
US6228278B1 (en) * 1998-09-30 2001-05-08 Lam Research Corporation Methods and apparatus for determining an etch endpoint in a plasma processing system
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6576202B1 (en) * 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
DE10052724B4 (de) * 2000-10-24 2012-08-02 Pac Tech-Packaging Technologies Gmbh Behandlungseinrichtung für Wafer
EP1334507A1 (de) * 2000-10-26 2003-08-13 Dow Corning S.A. Plasmavorrichtung unter atmosphärischem druck
JP3897582B2 (ja) * 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
JP3897620B2 (ja) * 2002-03-14 2007-03-28 三菱重工業株式会社 高周波電力供給構造およびそれを備えたプラズマcvd装置
US20040043617A1 (en) * 2002-09-04 2004-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Partitioned wafer boat for constant wafer backside emmissivity
JP2004288984A (ja) * 2003-03-24 2004-10-14 Sharp Corp 成膜装置及び成膜方法
JP4038679B2 (ja) * 2003-05-13 2008-01-30 住友電気工業株式会社 半導体レーザーバーの固定用治具
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
BRPI0403536B1 (pt) * 2004-08-06 2011-08-23 reator de plasma industrial para a extração de ligantes assistida por plasma, de peças produzidas por injeção de pós.
US20070048456A1 (en) * 2004-09-14 2007-03-01 Keshner Marvin S Plasma enhanced chemical vapor deposition apparatus and method
JP4185483B2 (ja) * 2004-10-22 2008-11-26 シャープ株式会社 プラズマ処理装置
JP2006196681A (ja) * 2005-01-13 2006-07-27 Sharp Corp プラズマ処理装置および同装置により製造された半導体素子
JP4584722B2 (ja) * 2005-01-13 2010-11-24 シャープ株式会社 プラズマ処理装置および同装置により製造された半導体素子
EP1878039B1 (de) * 2005-05-04 2009-03-04 Oerlikon Trading AG, Trübbach Plasmaverstärker für plasmabehandlungsanlage
US20070084407A1 (en) * 2005-10-14 2007-04-19 Hon Hai Precision Industry Co., Ltd. Apparatus and method for manufacturing carbon nanotubes
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR101345605B1 (ko) 2007-03-08 2013-12-31 (주)소슬 승강 장치, 이를 포함하는 기판 처리 장치 및 이를이용하여 기판을 처리하는 방법
JP2010520634A (ja) * 2007-03-08 2010-06-10 ソスル カンパニー, リミテッド 昇降装置を用いる基板処理装置及び方法
GB2489761B (en) * 2011-09-07 2015-03-04 Europlasma Nv Surface coatings
CN106714434B (zh) * 2015-07-17 2024-04-09 核工业西南物理研究院 成对电极共面放电等离子体发生装置
EP3421638A1 (de) * 2017-06-28 2019-01-02 Meyer Burger (Germany) GmbH Vorrichtung zur hochtemperatur-cvd mit einer stapelanordnung aus gasverteilern und aufnahmeplatten
DE102017223592B4 (de) * 2017-12-21 2023-11-09 Meyer Burger (Germany) Gmbh System zur elektrisch entkoppelten, homogenen Temperierung einer Elektrode mittels Wärmeleitrohren sowie Bearbeitungsanlage mit einem solchen System
US11555247B2 (en) * 2019-09-20 2023-01-17 Jiangsu Favored Nanotechnology Co., Ltd. Coating apparatus and movable electrode arrangement, movable support arrangement, and application thereof

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4062463A (en) * 1976-05-11 1977-12-13 Machine Technology, Inc. Automated single cassette load mechanism for scrubber
US4178877A (en) * 1977-03-11 1979-12-18 Fujitsu Limited Apparatus for plasma treatment of semiconductor materials
US4222838A (en) * 1978-06-13 1980-09-16 General Motors Corporation Method for controlling plasma etching rates
US4223048A (en) * 1978-08-07 1980-09-16 Pacific Western Systems Plasma enhanced chemical vapor processing of semiconductive wafers
US4264393A (en) * 1977-10-31 1981-04-28 Motorola, Inc. Reactor apparatus for plasma etching or deposition
US4275289A (en) * 1980-02-04 1981-06-23 Western Electric Company, Inc. Uniformly cooled plasma etching electrode
US4282077A (en) * 1980-07-03 1981-08-04 General Dynamics, Pomona Division Uniform plasma etching system
US4289598A (en) * 1980-05-03 1981-09-15 Technics, Inc. Plasma reactor and method therefor
DD153497A3 (de) * 1980-02-08 1982-01-13 Georg Rudakoff Verfahren und vorrichtung zum plasmaaetzen oder zur plasma cvd

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3450617A (en) * 1966-08-22 1969-06-17 Hooker Chemical Corp Method of conducting chemical reactions in a glow discharge
US4252595A (en) * 1976-01-29 1981-02-24 Tokyo Shibaura Electric Co., Ltd. Etching apparatus using a plasma
GB1522059A (en) * 1976-10-19 1978-08-23 Standard Telephones Cables Ltd Plasma etching
US4285800A (en) * 1979-04-18 1981-08-25 Branson International Plasma Corp. Gas plasma reactor for circuit boards and the like
US4277321A (en) * 1979-04-23 1981-07-07 Bell Telephone Laboratories, Incorporated Treating multilayer printed wiring boards
US4230553A (en) * 1979-04-23 1980-10-28 Bell Telephone Laboratories, Incorporated Treating multilayer printed wiring boards
JPS5643158U (de) * 1979-09-11 1981-04-20
JPS5643724A (en) * 1979-09-13 1981-04-22 Pacific Western Systems Method and device for semiconductorrwafer pecvvtreatment
US4287851A (en) * 1980-01-16 1981-09-08 Dozier Alfred R Mounting and excitation system for reaction in the plasma state
US4328081A (en) * 1980-02-25 1982-05-04 Micro-Plate, Inc. Plasma desmearing apparatus and method
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4341582A (en) * 1980-12-22 1982-07-27 The Perkin-Elmer Corporation Load-lock vacuum chamber

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4062463A (en) * 1976-05-11 1977-12-13 Machine Technology, Inc. Automated single cassette load mechanism for scrubber
US4178877A (en) * 1977-03-11 1979-12-18 Fujitsu Limited Apparatus for plasma treatment of semiconductor materials
US4264393A (en) * 1977-10-31 1981-04-28 Motorola, Inc. Reactor apparatus for plasma etching or deposition
US4222838A (en) * 1978-06-13 1980-09-16 General Motors Corporation Method for controlling plasma etching rates
US4223048A (en) * 1978-08-07 1980-09-16 Pacific Western Systems Plasma enhanced chemical vapor processing of semiconductive wafers
US4275289A (en) * 1980-02-04 1981-06-23 Western Electric Company, Inc. Uniformly cooled plasma etching electrode
DD153497A3 (de) * 1980-02-08 1982-01-13 Georg Rudakoff Verfahren und vorrichtung zum plasmaaetzen oder zur plasma cvd
US4289598A (en) * 1980-05-03 1981-09-15 Technics, Inc. Plasma reactor and method therefor
US4282077A (en) * 1980-07-03 1981-08-04 General Dynamics, Pomona Division Uniform plasma etching system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4447977B4 (de) * 1993-05-03 2009-09-10 Oc Oerlikon Balzers Ag Vorrichtung und Verfahren zur Plasmabehandlung von flachen Werkstücken, insbesondere flachen, aktiven Bildschirmen, sowie Verwendung der Vorrichtung

Also Published As

Publication number Publication date
CH658751A5 (de) 1986-11-28
GB8305407D0 (en) 1983-03-30
CA1177782A (en) 1984-11-13
JPS59159532A (ja) 1984-09-10
GB2135816A (en) 1984-09-05
GB2135816B (en) 1987-04-08
CH656020A5 (de) 1986-05-30
FR2541509A1 (fr) 1984-08-24
US4381965A (en) 1983-05-03
FR2541509B1 (fr) 1989-05-05

Similar Documents

Publication Publication Date Title
DE3308222A1 (de) Vorrichtung zur behandlung von werkstuecken mit gasplasma
DE2703659C2 (de) Ätzvorrichtung zum Ätzen eines Objekts unter Verwendung von Plasma
DE3102174C2 (de) Plasmareaktor zur Behandlung von Halbleitern
DE2026622C2 (de) Koronareaktorkern zur Ozonerzeugung
EP2311066B1 (de) Vorrichtung und Verfahren zur Erzeugung dielektrischer Schichten im Mikrowellenplasma
EP3278355B1 (de) Waferboot und behandlungsvorrichtung für wafer
DE102008019023B4 (de) Vakuum-Durchlaufanlage zur Prozessierung von Substraten
DE4412915A1 (de) Plasmabehandlungsanlage und Verfahren zu deren Betrieb
DE69820041T2 (de) Verfahren und geraet zur ueberwachung von niederschlaege auf der innenoberflaeche einer plasmabarbeitungskammer
DE112006002151T5 (de) Plasmabearbeitungsgerät
DE102015004419A1 (de) Waferboot und Plasma-Behandlungsvorrichtung für Wafer
DE102015004430B4 (de) Vorrichtung und Verfahren zur Plasmabehandlung von Wafern
DE3418419A1 (de) Gasplasma-reaktionseinrichtung fuer halbleiterplaettchen
DE4403553A1 (de) Elektronen-Zyklotron-Resonanz-Apparatur
EP0034706B1 (de) Verfahren und Vorrichtung zum Plasmaätzen oder zur Plasma CVD
EP1673488B1 (de) Modulare vorrichtung zur beschichtung von oberflächen
DE19602634A1 (de) Plasma-CVD-Vorrichtung
EP2652777B1 (de) Vorrichtung und verfahren zum metallisieren von wafern
DE102012109924A1 (de) Halbleiter-Verarbeitungssystem
DE102006022799A1 (de) Vorrichtung zur plasmagestützten chemischen Oberflächenbehandlung von Substraten im Vakuum
DE10201992A1 (de) Vorrichtung zur plasmagestützten Bearbeitung von Oberflächen planarer Substrate
DE19629094C2 (de) Gerät und Verfahren zur Drahtkontaktierung unter Verwendung des Oberflächenbehandlungsgerätes
DE19707269C2 (de) Substratträger für Sputtervorrichtung
DE19808206A1 (de) Waferbehandlung
DE19654975C2 (de) Gerät und Verfahren zur Oberflächenbehandlung

Legal Events

Date Code Title Description
8128 New person/name/address of the agent

Representative=s name: BEETZ SEN., R., DIPL.-ING. BEETZ JUN., R., DIPL.-I

8110 Request for examination paragraph 44
D2 Grant after examination
8364 No opposition during term of opposition
8320 Willingness to grant licences declared (paragraph 23)
8339 Ceased/non-payment of the annual fee