DE2639895C2 - Verfahren zur Übertragung von Informationssignalen aus einem Informationsspeicher in einem Datenkanal in Datenverarbeitungsanlagen und Einrichtung zur Durchführung des Verfahrens - Google Patents

Verfahren zur Übertragung von Informationssignalen aus einem Informationsspeicher in einem Datenkanal in Datenverarbeitungsanlagen und Einrichtung zur Durchführung des Verfahrens

Info

Publication number
DE2639895C2
DE2639895C2 DE2639895A DE2639895A DE2639895C2 DE 2639895 C2 DE2639895 C2 DE 2639895C2 DE 2639895 A DE2639895 A DE 2639895A DE 2639895 A DE2639895 A DE 2639895A DE 2639895 C2 DE2639895 C2 DE 2639895C2
Authority
DE
Germany
Prior art keywords
information
signals
circuit
signal
memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
DE2639895A
Other languages
English (en)
Other versions
DE2639895A1 (de
Inventor
Horst Dipl.-Ing. 4794 Schloss Neuhaus Hager
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Atos IT Solutions and Services Inc
Original Assignee
Nixdorf Computer Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nixdorf Computer Corp filed Critical Nixdorf Computer Corp
Priority to DE2639895A priority Critical patent/DE2639895C2/de
Priority to US05/819,615 priority patent/US4220997A/en
Priority to FR7726750A priority patent/FR2363860A1/fr
Priority to JP10502377A priority patent/JPS5332630A/ja
Priority to GB36986/77A priority patent/GB1591054A/en
Publication of DE2639895A1 publication Critical patent/DE2639895A1/de
Application granted granted Critical
Publication of DE2639895C2 publication Critical patent/DE2639895C2/de
Expired legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F5/00Methods or arrangements for data conversion without changing the order or content of the data handled
    • G06F5/06Methods or arrangements for data conversion without changing the order or content of the data handled for changing the speed of data flow, i.e. speed regularising or timing, e.g. delay lines, FIFO buffers; over- or underrun control therefor
    • G06F5/10Methods or arrangements for data conversion without changing the order or content of the data handled for changing the speed of data flow, i.e. speed regularising or timing, e.g. delay lines, FIFO buffers; over- or underrun control therefor having a sequence of storage locations each being individually accessible for both enqueue and dequeue operations, e.g. using random access memory
    • G06F5/12Means for monitoring the fill level; Means for resolving contention, i.e. conflicts between simultaneous enqueue and dequeue operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B15/00Driving, starting or stopping record carriers of filamentary or web form; Driving both such record carriers and heads; Guiding such record carriers or containers therefor; Control thereof; Control of operating function
    • G11B15/18Driving; Starting; Stopping; Arrangements for control or regulation thereof
    • G11B15/46Controlling, regulating, or indicating speed
    • G11B15/52Controlling, regulating, or indicating speed by using signals recorded on, or derived from, record carrier
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B20/00Signal processing not specific to the method of recording or reproducing; Circuits therefor
    • G11B20/10Digital recording or reproducing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2205/00Indexing scheme relating to group G06F5/00; Methods or arrangements for data conversion without changing the order or content of the data handled
    • G06F2205/06Indexing scheme relating to groups G06F5/06 - G06F5/16
    • G06F2205/061Adapt frequency, i.e. clock frequency at one side is adapted to clock frequency, or average clock frequency, at the other side; Not pulse stuffing only
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2205/00Indexing scheme relating to group G06F5/00; Methods or arrangements for data conversion without changing the order or content of the data handled
    • G06F2205/12Indexing scheme relating to groups G06F5/12 - G06F5/14
    • G06F2205/126Monitoring of intermediate fill level, i.e. with additional means for monitoring the fill level, e.g. half full flag, almost empty flag
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0668Interfaces specially adapted for storage systems adopting a particular infrastructure
    • G06F3/0671In-line storage system
    • G06F3/0673Single storage device
    • G06F3/0682Tape device

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Human Computer Interaction (AREA)
  • Signal Processing (AREA)
  • Signal Processing For Digital Recording And Reproducing (AREA)

Description

20. Einrichtung nach Anspruch 19, dadurch gekennzeichnet, daß das O-Ausgangssignal der ersten bistabilen Schaltung (231) auf ein weiteres NAND-Glied (236) geführt ist. das das erste NAND-Glied (237) steuert und an seinem zweiten Eingang durch das O-Ausgangssignal (K) einer dritten bistabilen Schaltung (234) gesteuert ist. deren Takteingang über eine NAND-Verknüpfung (233) mit dem 1-Ausgangssignal der zweiten bistabilen Schaltung (232), dem Lesetaktsignal (Ei) und einem den Lesevorgang am Informationsspeicher (10) kennzeichnenden Signal (RS) angesteuert ist und die durch ihr 1 -Alisgangssignal über eine weitere, mit einem Ausgangssignal der Untersetzungsschaltung (251, 252, 253, 254) angesteuerte NAND-Verknüpfung (235) zurückgestellt wird.
21. Einrichtung ,'«ich Anspruch 20, dadurch gekennzeichnet, daß das I -Ausgangssignal (H) der ersten bistabilen Schaltung (231) und das O-Ausgangssignal (K)der dritten bistabilen Schaltung (234) über eine ODER-Verknüpfung (239) eine ein Speicherglied ^249) am Steuerspannungseingang des Zentraltaktgenerators (250) aufladende Konstantstromquelle (242) wirksam schalten.
22. Einrichtung nach Anspruch 20 oder 21, dadurch gekennzeichnet, daß das O-Ausgangssignal (J) der zweiten bistabilen Schaltung (232) eine ein Speicherglied (249) am Steuerspannungseingang des Zentraliaktgenerators (250) entladende Konstantstromquelle (240) wirksam schaltet.
ίο
Die Erfindung betrifft ein Verfahren zur Übertragung voti Informationssignalen aus einem mit variabler Geschwindigkeit arbeitenden Informationsspeicher in einem Datenkanal in Datenverarbeitungsanlagen, bei dem die Geschwindigkeit des Informationsspeichers angebende Signale in digitaler und analoger Form erzeugt werden und eine von diesen Signalen beeinflußte Auswertung sowie zuvor eine Aufbereitung der Informationssignale durchgeführt wird.
Mit variabler Geschwindigkeit arbeitende Informationsspeicher haben meist ein bandförmiges Speichermedium, das zwischen zwei Spulen bewegt wird und hierzu um einen sogenannten Capstan eng anliegend herumgeführt wird. Der Capstan ist mit einem intermittierend arbeitenden Antrieb gekoppelt, dem ein Stellsignal zugeführt werden kann, das sich aus einem Vergleich der jeweiligen Istgeschwindigkeit mit der Vorgabegeschwindigkeit ergibt Hierzu kann die Geschwindigkeit des Informationsspeichers möglichst konstant geregelt werden, und es ist möglich, die Beschleunigung auf eine vorgegebene Nenngeschwindigkeit sowie die Verzögerung bis zum Stillstand gemäß einer vorgegebenen Charakteristik zu steuern.
Gegenstand der DE-OS 14 99 ~,*J ist ein Bandspeicher mit variabler Geschwindigkeitssteuerung, deren Steuersignal aus dem Inhalt eines Pufferspeichers abgeleitet wird. Es handelt sich dabei um das Einspeichern von Informationen in den Bandspeicher, nicht iber um das Lesen bei variabler Geschwindigkeit. Gerade in Verbindung mit dem Lesen ist aber eine genaue Steuerung erforderlich, insbesondere wenn eine hohe Informationsdichte verlangt wird. Bei dem bekannten Bandspeicher wird die Forderung gestellt, daß der Bandantrieb ohne Schlupf erfolgt. Da nur der Emspeichervorgang erfaßt wird und darüber hinaus auch für den mechanischen Teil des Bandantriebs hohe Anforderungen gestellt werden, andererseits aber Geschwindigkeitsschwankungen beim Lesevorgang nicht in Betracht gezogen sind, besteht ein Bedarf für eine Einrichtung, die es ermöglicht. Informationen auch bei hoher Informationsdichte möglichst fehlerfrei auszuwerten, d.h. den Lesevorgang mit höchstmöglicher Genauigkeit zu gewährleisten.
Die DE-OS 20 27 799 betrifft das Lesen von Signalen aus einem Magnetbandspeicher, das mit einer vorgegebenen Geschwindigkeit durchgeführt werden soll. Hierbei werden in dem Bandspeicher gespeicherte Referenzinformationen ausgewertet und derart zur Steuerung eines Lesekopfes genutzt, daß dieser mit oder entgegen der Bandbewegung läuft. Die bekannte Vorrichtung kann auch einen Pufferspeicher aufweisen, in den Laufzeit- und Ausgangssignalunterschiede eingegeben werden und dessen Ein- bzw. Ausspeichergeschwindigkeit i'iber einen Phasendiskriminator gesteuert wird. Auch wird ein Normalfrequenzoszillator auf der mittleren Frequenz der aus dem Bandspeicher ausB'eiesenen Signale stabilisiert. Die bekannte Einrichtung zeigt jedoch keine Möglichkeit, wie man die Lesesignale selbst beeinflussen könnte, ur,,i sie derart aufzubereiten, daß Betriebsfehler des Speichers unwirksam gemacht sind.
Die DE-AS 14 99 942 betrifft eine Schaltungsanordnung, mit der die Lesegeschwindigkeit eines Speichers geregelt werden kann. Hierbei dient als Zeitnormal eine Laufzeiteinrichtung, deren Ausgangssigna! jeweils mit einem Synchronsignal zur Erzeugung eines Regelsignais verglichen wird. Das Synchronsignal wird aus dem Lesesighal gewonren. Das Nutzsignal (Lesesigna!) wird in einer Verzögerungseinrichtung mit regelbarer Verzögerungszeit an die Geschwindigkeit des Speichers
bzw. seiner Ausgangssignale angepaßt.
Die bekannten Einrichtungen haben gemeinsam, daß zur Erhöhung der Arbeitsgenauigkeit möglichst hochwertige mechanische Antriebe verwendet werden, aus deren Bewegung Regelsignale für die Antriebsgeschwindigkeit gewonnen werden. Solche Prinzipien wurden entwickelt, um ein möglichst genaues Einspeichern zu ermöglichen und die Einspeicherung mit relativ hoher Informationsdichte vorzunehmen.
Für einen Lesevorgang mögen die bekannten Einrichtungen auch geeignet sein, jedoch sind hier zusätzliche Anforderungen zu stellen, die erstmals im Zusammenhang mit der Erfindung erkannt wurden. Eine möglichst hohe Genauigkeit beim Einspeichervorgang kann durch Ungenauigkeiten beim l.esevorgang wieder zunichte gemacht werden. Solche Fehler können beispielsweise durch kurze Störimpulsc. jedoch auch durch Fehler des Speichermediums selbst, beispielsweise dyrh Vnrcrhmiiiynngon. verursacht werden. Sie fallen mit zunehmender Informationsdichte stärker ins Gewicht. Wollte man nun auch beim Lesevorgang ein Prinzip anwenden, wie es die Entgegenhaltungen für den Einspeichervorgang zeigen, so wäre insbesondere bei schnell sich ändernder Speichergeschwindigkeit und hoher Informationsdichte der erreichbaren Genauigkeit der Regelung eines Antriebes eine relativ niedrige Grenze gesetzt.
Demgemäß wurde die Aufgabe gestellt, den l.esevorgang mit höchstmöglicher Genauigkeit innerhalb großer Geschwindigkeitsbereiche bei hoher Informationsdichte zu gewährleisten, ohne hierzu einen kostspieligen und hochgenauen Antrieb zu benötigen.
Diese Aufgabe wird durch die Merkmale ilos Patentanspruchs I gelöst. Hierbei ist als bekannt vorausgesetzt, daß in Verbindung mit der Übertragung vor. Informationssignalcn aus einem Informationsspeicher die Speichergeschwindigkcil angebende Signale in digitaler und analoger Form erzeugt werden und eine von diesen Signalen beeinflußte Auswertung sowie zuvor eine Aufbereitung der lnfirmationssignale durchgeführt wird. D;es entspricht dem Inhalt der DE-OS 14 99 780. bei der jedoch nicht erkannt wurde, daß im Rahmen der Aufbereitung der gelesenen Informationssignale neben einer einfachen Verstärkung zusätzliche Maßnahmen möglich sind, die dazu führen, daß der l.esevorgang mit höchstmöglicher Genauigkeit nicht etwa hinsichtlich der Speichcrbcwcgung, sondern hinsichtlich der zur Verfügung gestellten gelesenen Informationssignale durchgeführt werden kann.
Erst die Erfindung bringt die entsprechende Lösung, denn ihr Grundgedanke besteht darin, auf die Aufbereitung der Informationssignalc derart Einfluß zu nehmen, daß die die Speichergeschwindigkeit angebenden Signale die Amplitude und den Frequenzgang in ganz bestimmter Weise steuern, und zwar die Amplitude durch der Geschwindigkeit umgekehrt porporlionale Änderung einer fnformationssignalverstärkung und den Frequenzgang durch der Geschwindigkeit proportionale Änderung.
Das erfindungsgemäße Prinzip weicht grundsätzlich von dem Prinzip der Steuerung der Geschwindigkeit ab. so daß entsprechend hochwertige Antriebe für den Lesevorgang nicht erforderlich sind. Innerhalb eines großen Geschwindigkeiisbereichs ergeben sich stets Lesesignale, die hinsichtlich Amplitude und Frequenz gleichbleibend genaue Werte beibehalten.
Durch die Erfindung wird der wesentliche Vorteil erreicht, daß es nicht erforderlich ist, den Informationsspeicher schnell anlaufen zu lassen und schnell stillzusetzen und den Schreibvorgang sowie den Lesevorgang mit gleichbleibender Nenngeschwindigkeit durchzuführen. Der Informationsspeicher wird hingegen auch dann ausgenutzt, wenn er sich im
Anlaufzustand bzw. im Verzögerungszustand befindet. Das Verfahren kann gemäß Anspruch 2 weiter
ausgebildet sein. Dadurch, daß ein die Geschwindigkeit für den Informationsspeicher angebendes Kriterium aus
in der Aufnahmekapazität für zu lesende Informationen abgeleitet wird, ergibt sich keine immer gleichbleibend vorgegebene Nenngeschwindigkeit, sondern eine Vorgabegeschwindigkeit, uic jeweils nur den Wert hat. der erreicht werden muß, um der Aufnahmekapazität für zu
ii lesende Informationen zu entsprechen. Die Synchronsteuerung des Lesevorgangs kann, wie noch gezeigt wird, mittels eines Taktsignals erfolgen, das aus der Bewegung des Informationsspeichers abgeleitet ist und als solches für andere Zwecke an sich bereits bekannt
:ii ist.
Durch die Verwendung eines Pufferspeichers ist es möglich, den Lesevorgang für den Inlormationsspcieher unabhängig von dessen Anlaufen und Abbremsen durchzuführen. Außerdem kann die jeweilige Informa-
: < tionsmenge bzw. die jeweils vorhandene Kapazität der mit gelesenen Informationen zu speisenden Einrichtung, wie noch beschrieben wird, in besonders einfacher Weise festgestellt werden.
Durch Anwendung des Prinzips des Vergleichs der
in /.ählerstände zweier Adreß/ähler ist es möglich, eine einfache Differenzbildung der beiden Zählerstände durchzuführen und daraus unmittelbar ein Signal zu erhalten, das den Fülliingsgrad des Pufferspeichers angibt. Wenn dieses Signal beim l.esevorgang inverliert
r. wird, so bedeutet dies da U /ur Differenzbildung iiichi /.. B. die Anzahl der Adressierungsvorgänge beim Ausspeiehern von der Anzahl der Adrcssicrungsvorgiingc beim Einspeichern abgezogen wird, sondern daß der umgekehrte Vorgang durchgeführt wird, so daß
in dadurch der jeweils vorhandene Leerraum des Pufferspeichers festgestellt wird. d. h. die Kapazität des Pufferspeichers für aufzunehmende Informationen. Die Invertierung des Signals ermöglicht die Verbindung der Adrcßzähler mit dem Pufferspeicher und der Ver-
i'i gleichsvorrichtung in besonders einfacher Weise ohne Umschalter.
Anstelle des Vergleichs der Inhalte zweier Zähler, die die Adressierungsvorgänge zählen, kann natürlich auch eine spezielle Rechenvorrichtung dem Pufferspeicher
"' zugeordnet sein, die analog den beschriebenen Zähl- und Vcrgleichsvorgängcn arbeitet und gleichfalls -"1Ii Kriterium liefert, das die Kapazität der mit den gelesenen Informationen zu speisenden Einrichtung angibt. Die Steuerung des Pufferspeichers mittels
v'i Adreßzählern und die Ableitung des Kriteriums durch einen Vergleich ist jedoch relativ einfach, da die Adreßzähler für beide Funktionen genutzt werden.
Damit das Kriterium zur direkten Steuerung des Antriebes für den Informationsspeicher verwendet
Ni werden kann, ist im Hinblick auf das beschriebene Prinzip der Erzeugung des Kriteriums, das mit einer digitalen Darstellung verbunden ist. ein Digital-Analogwandlcr erforderlich, der das Kriterium in analoger Form für den Antrieb des Informationsspeichers liefert.
h"> Zur Weiterbildung der Erfindung gemäß Anspruch J kann beispielsweise auf einer gemeinsamen Achse mit dem den informationsspeicher antreibenden Capstan eine Takischcibe vorgesehen sein, die ein permanent
gespeichertes Taktsignal enthält und der eine besondere I .esevomchuing für dieses Taktsignal zugeordnet ist.
Das Taktsignal wird in der Ausbildung des Verfahrens gemäß Anspruch 4 einer Regelschaltung zur Erzeugung einer konstanten Taktsignalamplitude zugeführt, und das Stellsignal dieser Regelschaltung wird zur Amplitudeneinstellung für die beim Lesevorgang gewonnenen Inffrmationssignale verwendet. Da durch die variable Geschwindigkeit des Informationsspeichers die beim Lesevorgang gewonnenen Informationssignale eine variable Amplitude haben, ist eine derartige Amplitudeneinstellung im Sinne einer möglichst konstanten Amplitude erforderlich. Die vorstehend beschriebene Weiterbildung der Erfindung ermöglicht dies in besonders einfacher Form, da zur Konstanthaltung der Taktsignalumplitiidc ein Stellsignal zur Verfugung steht, welches gleichzeitig für die Ausregelung der Amplitude der beim l.esevorgang gewonnenen Informationssigna-Ie \ erwendet werden kann.
Durch diese Weiterbildung werden ferner beim Lesen des Informationsspeichers Signalverzerrungen nach Blocklücken vermieden, und es erübrigt sich ein relativ langer besonderer Zeitaufwand für einen sogenannten Synchronisationsvorspann, denn eine durch eine besondere, zur Vermeidung von Verzerrungen erforderliche Regelung erzeugte Regelzeitkonstante tritt nicht auf.
In der Weiterbildung gemäß Anspruch 5 ist der Zcntraltaktgenerator zur Aufbereitung der Signale vorgesehen die einerseits von dem Taktsignalspeicher, andererseits aus den gelesenen Informationen abgeleitet werden. Der Zentraltaktgenerator liefert dann ein stabiles Taktsignal für die Lesevorgänge. Wenn die Frequenz des Zentraltaktgencrators ein Vielfaches der Cirundfrequenz der vom Taktsignalspeicher gewönne nen Taktsignale ist. so ist es möglich, eine von der Geschwindigkeit des Informationsspeichers unabhängige Filterung dahingehend durchzuführen, daß nur solche gelesenen Signale ausgewertet werden, die eine vorgegebene Mindestlange haben, so daß kürzere Störsignale nicht ausgewertet werden.
Durch die mit dem Zentraltaktgenerator durchgeführte Signalaufbereitung werden solche Fehler vermieden, die durch Phasen- oder Frequenzunterschiede infolge Schlupf zwischen dem Informationsspeicher und seinem Antrieb verursacht werden können.
Die Filterung mittels eines Tiefpaßfilters erhöht für Signale unterhalb der Filtergrenzfrequenz das Nutz/ Störverhältnis. Es können dadurch die vom Lesekopf abgegebenen Signale höher verstärkt werden als ohne Filter, ohne daß es zu fehlerhaften Signalauswertimgen kommen wird. Ein derartiger Leseverstärker ist unempfindlicher gegenüber Spannungseinbrüchpn des Lesesignals, wie sie beispielsweise bei Flattern, bei geringen Verschmutzungen oder bei fehlerhaften Magnetschichten des Informationsspeichers auftreten.
Eine Einrichtung zur Durchführung des erfindungsgemäJen Verfahrens, mit einem zyklisch arbeitenden, asynchrones Ein- und Ausspeichern ermöglichenden Pufferspeicher, dem eir.e seine jeweilige freie Kapazität auswertende Schaltung zugeordnet ist, zeichnet sich gemäß Anspruch 6 dadurch aus. daß die die freie Kapazität auswertende Schaltung je einen die Einspeicherungsvorgänge und einen die Ausspeicherungsvorgänge des Pufferspeichers zählenden Adreßzähler umfaßt und daß die Adreßzähler mit einer ihre Zählerstände vergleichenden Vergleichsschaltung verbunden sind, die an ihrem Ausgang ein die Geschwindigkeit des Informationsspeichers angebendes Signal liefert. Wie bereits erläutert, ist damit ein besonders einfacher Schaltungsaufbau verbunden, der durch die Verwendung eines Differenzzählers als Vergleichsschaltung noch begünstigt wird. Damit das Signal für den Antrieb des Informationsspeichers die geeignete analoge Charakteristik hat, ist der die freie Kapazität auswertenden Schaltung ein mit dem Signal angesteuerter Digital-Analogwandler nachgeschaltet.
Wenn bei der vorstehend beschriebenen Einrichtung gemäß Anspruch 7 als Informationsspeicher ein Magnetbandspeicher vorgesehen ist, dessen Antrieb mit einem Taktsignalspeicher mechanisch gekoppelt ist. so bedeutet dies, daß bei der Ableitung der Taktsignale gewissermaßen von einem duckt vom Informationsspeicherantrieb abgegebenen Meßwert ausgegangen wird. Dieses Prinzip führt zu wesentlich genaueren Taklsignalen als bei der Ableitung aus Vorgabesignalen für den Antrieb. Der Vorteil dieses Prinzips besteht in erster Linie darin, daß trotz variabler Geschwindigkeit ties Informationsträgerantriebs eine konstante Informationsdichte am Informationsträger erzielt wird.
Auf der Antriebswelle des Magnetbandspeichers kann dann als Taktsignalspeicher eine an ihrem Umfang mit gespeicherten Taktsignalen versehene Magnettrommel oder Magnetscheibe vorgesehen sein. Diese ermöglicht eine sehr hohe Auflösung des Umfangswinkels bzw. des AbMandes zwischen einzelnen Taktsignalen. Dadurch wird die Genauigkeit bei der Gewinnung der Taktsignale weiter erhöht. Es ist möglich, die Taktsignale auf dem Taktsignalspeichcr genauer als auf dem Magnetbandspeicher vorzusehen, hierzu muß lediglich der Durchmesser des Taktsignalspeichers entsprechend groß sein. Damit ist eine sehr genaue Phasenlage der Taktsignale beim Einschreiben in den Taktsignalspeicher verbunden. Die Verwendung eines separaten trommel- oder scheibenförmigen Taktsignalspeichcrs ermöglicht ferner eine höhere und auch eine niedrigere Taktsignalfrequenz gegenüber der Frequenz, von Taktsignalen auf dem Magnetbandspeicher.
Zur Aufbereitung vom Informationsspeicher und/ oder vom Taktsignalspeicher gelesener Signale IE1 gemäß Anspruch 8 bzw. 9 eine Amplitudenregelschaltung mit einem dem Taktsignalkanal zugeordneten Regelkreis vorgesehen, dessen Stellgröße auch zur Amplitudenregelung der Informationssignale dient. Wie bereits erläutert, führt die Verwendung eines Tiefpaßfilters mit von der Geschwindigkeit des Informationsspeichers abhängiger Grenzfrequenz, das gemäß Anspruch 10 in dem Informationssignalkanal angeordnet ist. zu besonderen Vorteilen hinsichtlich möglichst geringer Fehlerrate beim Lesen der Informationssigna-
Das Tiefpaßfilter kann aus nach Art eines Schieberegisters hintereinandergeschalieten bistabilen Schaltungen gebildet sein, deren Ausgänge für den ersten Schaltzustand über eine NAND-Verknüpfung den ersten Eingang einer weiteren bistabilen Schaltung und deren Ausgänge für den zweiten Schaltzustand über eine weitere NAN D-Verknüpfung den zweiten Eingang der weiteren bistabilen Schaltung ansteuern. Dieser vergleichsweise einfache Aufbau des Tiefpaßfilters als digitales Schieberegister ist deshalb vorteilhaft, weil die Gewichtung und die Summierung der Glieder der durch die bistabilen Schaltungen gebildeten Kette über die NAND-Verknüpfungen erfolgt, welche gleichzeitig eine Schwellenfunktion haben. Die weitere bistabile Schaltung übernimmt die Speicherung des Ausgangswertes der Kette im Hysteresebereich zwischen den einzelnen
ίο
Schwellenübergängen.
Der Taktsignalkanal und der Informationssignalkanal sind vorteilhaft gemeinsam auf eine Schaltung zur Erzeugung von Flankenimpulsen geführt. Diese Schaltung steuert zweckmäßig eine Phasendiskriminatorschaltung, die zur Synchronisation eines Zentraltaktgenerators dient. Dadurch wird eine außerordentlich stabile und phasengenaue Taktung aller Lesevorgänge möglich. Die Phasendiskriminatorschaltung ermöglicht eine Anpassung an unterschiedliche Geschwindigkeiten des Informationsspeichers, da der Zentraltaktgenerator mittels einer Steuerspannung kontrolliert werden kann.
Wenn der Zentraltaktgenerator beim Lesevorgang mit einer wesentlich höheren, vorzugsweise mit einer 8fachen Frequenz der Taktsignale arbeitet und mit 1 ·, seinen Ausgangssignalen die Takteingänge der bistabilen Schaltungen des Tiefpaßfilters steuert, so ist damit eine direkte Steuerung des Tiefpaßfilters durch die vom Zentraltaktgenerator abgegebenen Signale verwirki:«u»
IIV. III. -■'
Dem Zentraltaktgenerator kann eine Untersetzungsscha'tung zur Untersetzung der Frequenz seiner Ausgangssignale nachgeschaltet sein. Diese liefert dann die Taktsignale für das Lesen von Informationen im Informationsspeicher. Die Verwendung einer Unterset- r> zungsschaltung ermöglicht in sehr einfacher Weise die Ausnutzung ihrer Untersetzungsstufen beim Lesevorgang zur Lieferung von Signalen, mit denen die Signalfrequenzen für den bereits erläuterten Phasenvergleich angepaßt werden können, in
Als Phasendiskriminatorschaltung ist vorteilhaft eine phasenstarre Regelschaltung vorgesehen, die mit den codierten lnforma:ionssignalen angesteuert wird. Sie kann im wesentlichen zwei bistabile Schaltungen enthalten, wobei der Takteingang der einen bistabilen r. Schaltung mit den Ausgangssignalen der Schaltung zur Erzeugung von Flankenimpulsen angesteuert ist, der Takteingang der zweiten bistabilen Schaltung mit den Lesetaktsignalen der Untersetzerschaltung angesteuert ist, die Rückstellung beider bistabilen Schaltungen über μ eine NAND-Verknüpfung erfolgt, die mit dem O-Ausgangssignal der ersten und mit dem I-Ausgangssignal der zweiten bistabilen Schalung angesteuert ist. und das 1-Ausgangssignal der ersten bistabilen Schaltung und das O-Ausgangssignal der zweiten bistabilen Schaltung 4\ zu einer Erhöhung bzw. Verringerung einer Steuerspannung für den Zentraltaktgenerator verwendet sind.
Diese Art der Synchronisation des Zentraltaktgenerators ist sehr genau und bietet besondere Vorteile hinsichtlich einer sehr hohen Sicherheit bei der v> Datensignalerkennung. Wie noch gezeigt wird, kann durch sehr einfache zusätzliche Maßnahmen eine fehlerhafte Signalauswertung vermieden werden, wenn die ankommenden Signale Ausfälle einzelner Signalelemente haben.
Ein Ausführungsbeispiel der Erfindung sowie Teilschaltungen zur Regelung und Signalaufbereitung werden im folgenden anhand der Figuren beschrieben. Es zeigt
Fig. 1 eine schematische Blockdarstellung einer wechselseitigen Übertragung, also für das Schreiben und Lesen von Informationen zwischen einem intermittierend bewegten sequentiellen Informationsspeicher und einem Datenkanal, der im dargestellten Ausführungsbeispiel zu einem Rechner führt, b5
F i g. 2 eine Blockdarstellung der Wechselwirkung von Steuersignalen und Informationssignalee zur Erzeugung der die Vorgabegeschwindigkeit angebenden Steuergröße bei Verwendung eines Pufferspeichers der beschriebenen Art,
F i g. 3 Signalveriäufe für die aus dem Taktsignalspeicher erhaltenen Taktsignale und in den Informationsspeicher einzuschreibende Informationssignale,
Fig.4 graphische Darstellungen der Wechselwirkung zwischen dem Füliungsgrad eines Pufferspeichers beschriebener Art und der Geschwindigkeit des Informationsspeichers,
Fig.5 ein Blockschaltbild einer in Fig. 1 gezeigten Regel- und Signalaufbereitungsschaltung,
Fig. 6 das Blockschaltbild der Steuerung eines Zentraltaktgenerators mittels der aus der Schaltung nach F i g. 5 gewonnenen Signale und
F i g. 7 graphische Darstellungen von Signalverläufen in den Schaltungen nach F i g. 5 und 6.
In Fig. I ist das Blockschaltbild einer einerr, Informationsspeicher zugeordneten Einrichtung zur wechselseitigen Übertragung von Informationen zwi-
stellt. Als Informationsspeicher ist ein Magnetbandspeicher vorgesehen, dessen Magnetband 10 zwischen einer Vorratsspule 11 und einer Aufwickelspule 12 bewegt wird. Hierzu ist das Magnetband 10 um einen Capstan 13 herumgeführt, der auf einer Antriebswelle 14 angeordnet ist. Auf derselben Antriebswelle sitzt ein Taktsignalspeicher 15. der z. B. als eine Scheibe ausgebildet sein kann, an deren Umfang Taktsignale permanent gespeichert sind. Der Antrieb der Welle 14 erfolgt durch einen Motor 16, der mit einem Tachogenerator 17 gekoppelt ist. Der Tachogenerator 17 dient zur Erzeugung eines die jeweilige Istgeschwindigkeit des Motors 16 angebenden Signals, welches über eine Leitung 45 einem Vergleicher 43 zugeführt wird, der dieses Signal mit einem Vorgabesignal vergleicht und ein entsprechendes Stellsignal ?n den Motor 16 abgibt, so daß dessen Geschwindigkeit auf einem vorgegebenen Wert gehalten bzw. geregelt werden kann.
Dem Magnetband 10 und dem Taktsignalspeicher 15 ist jeweils ein Magnetkopf 20 bzw. 21 zugeordnet. Der Magnetkopf 20 ermöglicht in bekannter W>';se das Lesen gespeicherter Informationssignale bzw. das Einschreiben von Informationen in den Bandspeicher 10. Er kann in bekannter Weise als Lese-ZSchreibkopf oder als Lese-ZSchreib-ZLoschkopf ausgebildet sein.
Die beiden Magnetköpfe 20 und 21 geben die mit ihnen gelesenen Signale über Eingänge A und San eine Signalaufbereitungsschaltung 22 ab, die eine Phasen- und Frequenzsynchronisationsschaltung 23 und eine Decodierschaltung 24 ansteuert. Die Phasen- und Frequenzsynchronisationsschaltung 23 gibt an ihrem Ausgang Ei Steuersignale an die Decodierschaltung 24 sowie an eine Codierschaltung 30 ab, mit der auf das Magnetband 10 mittels des Magnetkopfes 20 zu schreibende Informationen in eine geeignete Darstellungsart codiert werden, so daß die von dieser Schaltung 30 abgegebenen Signale nach Verstärkung in einem Verstärker 31 den Magnetkopf 20 ansteuern.
Die von der Decodierschaltung 24 abgegebenen Informationssignale gelangen in einen FIFO-Speicher 25 bereits beschriebener Art. der einem Datenkanal 26 zugeordnet ist. Dieser Datenkanal 26 führt zu einem Rechner 27, der die hier in Rede stehenden Informationen aufnimmt bzw. an den Fl FO-Speicher 25 abgibt Die Steuerung dieser Vorgänge erfolgt durch Steuersignale, die über eine Steuersignalverbindung 28 zwischen dem Rechner 27 und dem FIFO-Speicher 25 übermittelt
werfen.
Da eine wechselseitige Übel fragung von Informatio nen /wischen dem Informationsspeicher 10 und Rechner 17 vorgesehen ist, ist ein weiterer Informationskanal 29 dargestellt, über den Informationen aus dem FIFO-Speicher 25 der Codierschaltung 30 zugeführt werden. Diese Informationen werden in beschriebener Weise in eine zum Schreiben auf das Magnetband 10 geeignete Darstellungsform umgesetzt.
In F i g. 1 ist ferner eine ilen Füllstand des FIFO-Speichers 25 auswertende Schaltung 41 dargestellt, der ein Digital-Analogwandler 42 nachgeschaltet ist. Dieser gibt die eine Vorgabegeschwindigkeit für den Informationsspeicher 10 angebende Steuergröße an die Vergleicherschaltung 43 ab.
Die Signalaufbereitungsschaltung 22 enthält zwei Regelverstärker 33 und 34, denen jeweils ein Begrenzerverstärker 37 bzw. 36 nachgeschaltet ist. Die von dem Begrenzerverstärker 36 abgegebenen, von dem Taktsi-''nüls'^sicher 15 sturr,rr,erideri Tsktsi^nsle werden 2n eine Verknupfungs- und Signalformungsschaltung 39 abgegeben, die ihrerseits die Phasen- und Frequenzsynchronisationsschaltung 23 ansteuert. Dem Begrenzerverstärker 37 ist ein Filter 38 nachgeschaltet, welches die Decodierschaltung 24 mit den von dem Magnetband 10 gelesenen Informationssignalen ansteuert. Das Filter 38 erhält Steuersignale über seinen Eingang D von der Phasen- und Frequenzsynchronisationsschaltung 23 und bewirkt in noch zu beschreibender Weise eine geschwindigke'tsunabhängige Filterung der gelesenen Informationssignale derart, daß kurzzeitige Störsignale weitgehend ausgeschaltet werden.
Da der Informationsspeicher 10 mit variabler Geschwindigkeit arbeitet, haben die gelesenen Informationssignale bzw. Taktsignale eine sich ändernde Amplitude. Um auswertbare Signale konstanter Amplitude zu erhalten, sind die beiden Regelverstärker 33 und 34 vorgesehen, die Amplitudenänderungen infolge Geschwindigkeitsänderungen beseitigen, so daß an den Eingängen der Begrenzerverstärker 36 und 37 weitgehend konstante Amplituden zur Verfügung stehen.
Wie bereits beschrieben, wird mit der den Füllstand des FIFO-Speichers 25 auswertenden Schaltung 41 festgestellt, wie groß die Menge derjenigen Informationen ist, die jeweils zum Schreiben auf das Magnetband 10 anstehen und wie groß beim Lesevorgang die Aufnahmekapazität des FIFO-Speichers 25 ist. Abhängig von diesen Informationsmengen wird ein digitales Signal an den Digital-Analogwandler 42 abgegeben, der seinerseits eine analoge Steuergröße zur Steuerung des Vergleichsvorganges in der Vergleichsschaltung 43 liefert. In beschriebener Weise kann dann die Steuerung der Geschwindigkeit des Antriebsmotors 16 derart durchgeführt werden, daß der Informationsspeicher 10 auf eine Geschwindigkeit geregelt wird, die von der jeweils zu schreibenden Informationsmenge bzw. von der mit dem FIFO-Speicher 15 aufnehmbaren Informationsmenge abhängt und den jeweiligen Betriebsverhältnissen somit optimal angepaßt ist.
In Fig. 1 ist ein Steuersignaleingang für ein Steuersignal RS dargestellt. Dieses Steuersignal kennzeichnet den jeweiligen Lesevorgang, also das Ausspeichern von Informationssignalen aus dem Magnetband 10.
In F i g. 2 ist ausführlicher die Art der Ansteuerung des FIFO-Speichers 25 sowie der Auswertung seines Füllstandes bzw. seiner Aufnahmekapazität dargestellt. Die Ansteuerung des FIFO-Speichers 25 mit Informationssignalen, die über den Datenkanal 26 von drm Rechner 27 (Fig. 1) und über den Datenkanal 40 von der Decodierschaltung 24 abgegeben werden, erfolgt in dem dargestellten Ainführungsbeispiel über UND-Verknüpfungen 64 und 65 sowie über ein ODER-Giied 66. Der FIFO-Speicher 25 gibt Informationssignale einerseits an den Rechner 27 über den Datenkanal 26, andererseits an den Informationsspeicher über den Informationssignalkanal 29 ab. Hierzu sind zwei
ίο UND-Verknüpfungen 67 und 68 vorgesehen.
Zum Einspeichern und Ausspeichern von Informationssignalen in den bzw. aus dem FIFO-Speicher 25 erfolgt eine Adressierung über einen Adressenentschlüssler62.
\* Die Adressierung wird über einen Multiplexer 61 gesteuert, dessen Umschaltung wiederum durch ein Steuersignal veranlaßt wird, welches am Ausgang e:nes ODER-Gliedes 54 erscheint. Dieses Steuersignal kennzeichnet jeweils einen Einspeichervorgang oder einen
2'.: AuEspeichervorgang und bewirk· die Umschaltung rfps Multiplexers 61 entweder auf den Ausgang eines Adressenzählers 50 oder auf den Ausgang eines Adressenzählers 51. Die beiden Adressenzähler 50 und 51 zählen die Adressierungsvorgänge für das Ausspei-
2ri ehern bzw. das Einspeichern von Informationen im FIFO-Speicher 25.
Die Ausgänge der beiden Adressenzähler 50 und 51 sind ferner mit den Eingängen einer Vergleicherschaltung 60 verbunden, die z. B. durch Differenzbildung ein
jn den jeweiligen Füllstand des FIFO-Speichers 25 angebendes Steuersignal an ein EXKLUSIV-ODER-Glied 63 abgibt, dessen zweiter Eingang durch das bereits beschriebene, das Lesen von Informationen aus dem Informationsspeicher kennzeichnende Signal RS
)5 angesteuert wird. Das EXKLUSIV-ODER-Glied 63 gibt eine Steuergröße an den bereits beschriebenen Digital-Analogwandler 42 ab, der seinerseits ein analoges Steuersignal KCan die Vergleicherschaltung 43 (Fi g. 1) liefert.
Die Zählimpulse für d'e Adressenzähler 50 und 51 werden über Verknüpfungsschaltungen geliefert, die jeweils aus zwei UND-Gliedern 55, 56 bzw. 58, 59 und einem ODER-Glied 54 bzw. 57 bestehen. Die von diesen Verknüpfungsschaltungen abgegebenen Signal^ werden jeweils über eine Verzögerungsschaltung 52 ozw. 53 geführt. Diese bewirkt, daß der jeweilige, an einen Adressenzähler 50 bzw. 51 gelieferte Zählimpuls erst dann abgegeben wird, wenn ein Einspeichervorgang bzw. ein Ausspeichervorgang am FIFO-Speicher 25 beendet ist.
Die Eingänge der UND-Glieder 55,56,58,59 werden mit Steuersignalen gespeist, die von einer zentralen Steuerschaltung geliefert werden. Diese Steuersignale sind für das UND-Glied 55 die Signale WS, CLD und £ Das Signal WS kennzeichnet einen Schreibvorgang, mit dem Informationen in den Informationsspeicher eingeschrieben werden. Das Signal CLD ist das von dem Taktsignalspeicher 15 (Fig. 1) gelesene_und anschließend aufbereitete Taktsignal. Das Signal E wird von der Vergleicherschaltung 60 abgegeben und kennzeichnet den Füllzustand des FIFO-Speichers 25, wenn dieser Informationen enthält, d. h. nicht völlig leer ist. Das_ UND-Glied 56 wird mit den Signalen RS, CLC und E angesteuert Das Signal RS wurde bereits beschrieben und kennzeichnet den Lesevorgang von Informationen aus dem Informationsspeicher, das Signal CLC ist ein Taktsignal, das über den Datenkanal 26 von dem Rechner 27 (F i g. 1) geliefert wird.
Die aus den Gliedern 54, 55 und 56 bestehende Verknüpfungsschaltung gibt also ein Signal an die Verzögerungsschaltung 53 ab, wenn entweder das UND-Glied 55 oder das UND-Glied 56 durchgeschaltet ist Das UND-Glied 55 ist durchgeschaltet, wenn Informationen in dec Informationsspeicher einzuschreiben sind, der FIFO-Speicher Informationen enthält und das Taktsignal aus dem Taktsignalspeicher 15 ansteht. Das UND-Glied 56 ist durchgeschaltet, wenn vom Informationsspeicher Informationen ausgelesen werden, das Taktsignal des Datenkanals ansteht und Informationen im FIFO-Speicher 25 vorhanden sind.
Die aus den Gliedern 54, 55 und 56 bestehende Verknüpfungsschaltung gibt also Zählsignale zur Zählung der Adressierungsvorgänge beim Einspeichern in den FIFO-Speicher 25 ab. Diese Einspeicherung findet dann statt, wenn Informationen in den Informationsspeicher einzuschreiben sind, denn dann werden Informationen dem FIFO-Speicher 25 entnommen, so daß Leerraum zum weiteren Einspeichern von Informationen aus dem Datenkanal 26 entsteht Ferner erfolgt ein Einspeichern von Informationen in den FIFO-Speicher 25, wenn Informationssignale aus dem Informationsspeicher ausgelesen werden. Entsprechend wird durch das Ausgangssignal des ODER-Gliedes 54 der Multiplexer 61 in die Schaltstellung gebracht die eine Adressierung für Einspeichervorgänge ermöglicht
Die aus den Gliedern 57, 58 und 59 bestehende Verknüpfungsschaltung liefert Zählsignale für den Adressenzähler 50, der die Adressierungsvorgänge beim Ausspeichern aus dem FIFO-Speicher 25 zählt Das UND-Glied 58 wird mieden Signalen RS, CLD und Fangesteuert Das Signal Fwird von der Vergleicherschaltung 60 geliefert und gibt an, daß der maximale Füllstand des FIFO-Spsichers 25 nicht vorliegt, d. h. daß Ti dessen Kapazitätsgrenze noch nicht erreicht ist In diesem Zustand ist es also möglich, Informationen in den FIFO-Speicher 25 einzuspeichern; dieser Vorgang wird durch das bereits beschriebene Signal RS gesteuert, welches das Lesen von Informationen aus dem Informationsspeicher veranlaßt. Gleichzeitig können entsprechende Informationen aus dem FIFO-Speicher 25 ausgespeichert werden; die entsprechende Adressierung wird mit dem Adressenzähler 50 gezählt.
DasJJND-Glied 59 wird mit den Signalen WS, CLC und F angesteuert Diese Signale wurden bereits beschrieben, und das entsprechend gelieferte Zählsignal bewirkt gleichfalls eine Zählung von Ausspeichervorgängen mit dem Adressenzähler 50. Wenn in den Informationsspeicher Informationssignale eingeschrieben werden, so entspricht dies einem Ausspeichervorgang aus dem FIFO-Speicher 25.
In bereits beschriebener Weise werden die Zählerstände der beiden Adressenzähler 50 und 51 laufend miteinander in der Vergleicherschaltung 60 verglichen und ein entsprechendes Steuersignal an das EXKLU-SIV-ODER-Glied 63 abgegeben.
Die Steuerung des Informationsflusses in den FIFO-Speicher 25 bzw. aus diesem heraus über die Kanäle 26, 29 und 40 erfolgt gleichfalls über μ Verknüpfungsglieder. Die Informationssignalkanäle sind in Fig. 2 entsprechend wie in Fig. I gekennzeichnet, und der Informationsfluß auf dem jeweiligen Kanal erfolgt abhängig von der Durchschaltung jeweils eines UND-Gliedes 64, 65, 67, 68. Das ODER-Glied 66 leitet Informationssignale in den FIFO-Speicher 25, wenn entweder das UND-Glied 64 oder das UND-Glied 65 durchgeschaltet ist. Dem UND-Glied 64 werden hierzu Ober vier Eingänge Informationen des Rechners 27 (Fig. 1) sowie drei verschiedene Steuersignale WS, CLC und F zugeführt Die drei Steuersignale wurden bereits beschrieben. Das UND-Glied 64 wird durchgeschaltet, wenn vom Rechner 27 Informationen anstehen, Informationssignale in den Informationsspeicher einzuschreiben sind, das Taktsignal des Rechners 27 verfügbar ist und der FIFO-Speicher nicht vollständig gefüllt ist
Das UND-Glied 65 wird durchgeschaltet, wenn Informationssignale über den Kanal 40 aus dem Informationsspeicher in den FIFO-Speicher 25 einzuschreiben sind. Dies erfolgt abhängig vom Auftreten der Steuersignale RS, CLD und F. Das Einspeichern von Informationssignalen in den FIFO-Speicher 25 erfolgt also dann, wenn Informationssignale aus dem Informationsspeicher gelesen werden, die Taktsignale des Taktsignalspeichers verfügbar sind und der FIFO-Speicher noch nicht vollständig gefüllt ist
Das Ausspeichern von Informationen aus dem FIFO-Speicher 25 erfolgt über die beiden UND-Glieder 67 und 68 in Richtung zum Rechner 27 über den Kanal 26 und in Richtung zum Informationsspeicher über den Kanal 29. Der Informationsfluß zum Rechner 27 ist davon abhängig, daß die drei Steuersignale CLC, RSund E anstehen. Dies bedeutet, daß der Informationsfluß dann erfolgt, wenn Informationssignale aus dem Informationsspeicher gelesen werden und der FIFO-Speicher 25 Informationen enthält Dieser Vorgang wird mit den Taktsignalen CLC gesteuert die vom Rechner 27 geliefert werden. %
Der Informationsfluß über den Kanal 29 erfolgt abhängig von der Durchschaltung des UND-Gliedes 68, d. h. beim Auftreten der Steuersignale CLD, WS', E Der entsprechende Zustand entspricht dem Einschreiben von Informationen in den Informationsspeicher, wenn das von dem Taktsignalspeicher 15 gelieferte und aufbereitete Taktsignal CLD ansteht und der FIFO-Speicher 25 Informationen enthält
In F i g. 3 sind zur Verdeutlichung der Funktionsweise eines Teils der in Fi g. 1 gezeigten Schaltung Signalverläufe dargestellt CLD zeigt den Verlauf des vom Taktsignalspeicher 15 gelesenen Taktsignals nach Regelung auf konstante Amplitude und Aufbereitung in der Phasen- und Frequenzsynchronisationsschaltung 23 für eine von einem niedrigen Wert zu einem hohen Wert sich ändernde Geschwindigkeit Es ist zu erkennen, daß die Frequenz des Taktsignals entsprechend von einem niederen zu einem hohen Wert geändert wird Wl zeigt beispielsweise einen Verlauf binärer Informationssignale, die unter Steuerung mit dem digitalisierten Taktsignal CLD auf das Magnetband 10 zu schreiben sind. Diese seriellen Informationssignale treten in der Codierschaltung 30 auf und sind z. B. nach dem bekannten NRZ-Verfahren codiert. WC zeigt für die voll z. B. nach dem bekannten FM-Verfahren codierten Signale den entsprechenden Verlauf eines Schreibstroms im Magnetkopf 20, durch den die Informationssignale auf das Magnetband 10 geschrieben werden. Das diesem Schreibstrom zugrunde liegende Codierverfahren arbeitet mit binärer Phasenverschiebung und stellt lediglich ein Beispiel für mehrere mögliche Codierverfahren dar.
In Fig.4a und b sind graphische Darstellungen für den Verlauf des Füllstandes <?Fdes FIFO-Speichers 25 und für den Verlauf der Geschwindigkeit des Informationsspeichers bzw. des Magnetbandes 10 über der Zeit gezeigt. Fig.4a zeigt das Verhältnis zwischen zuflie-
ßenden Informationen Q/und abfließenden Infqrmationen Qo, deren Differenz jeweils den Füllstand QF ergibt Ausgehend von einer Informationsmenge Qm'm ist über der Zeit ein Vorgang der Etnspeicberung von Informationen dargestellt, der bis zum Zeitpunkt fl 5 eine Zunahme des Füllstandes zur Folge hat. Der Füllstand QF nimmt dann wieder ab, da gleichzeitig Informationen ausgespeichert werden, wie dies durch die Kurve Qo verdeutlicht wird. Wenn die zugeflossene Informationsmenge Qi mit der abgeflossenen Informationsmenge Qo übereinstimmt, hat der Füllstand QFden Wert Null, so daß der FIFO-Speicher25 dann leer ist
Fig.4b zeigt für die Vorgänge nach Fig,.4a den entsprechenden Verlauf der Geschwindigkeit des Informationsspeichers. Zwischen den Zeitpunkten ti is und /3 erfolgt ein linearer Anstieg der Geschwindigkeit ausgehend von der Geschwindigkeit O über die Geschwindigkeit Vi bis zur Geschwindigkeit V2, die für eine vorbestimmte Zeit beibehalten wird. Dann wird der Informationsspeicher wieder verzögert, bis er bei 13 die Geschwindigkeit O erreicht Dies fällt etwa mit dem Zeitpunkt zusammen, zu dem der FIFO-Speicher 25 gleichfalls den Füllstand O erreicht hat
Den beiden graphischen Darstellungen nach F i g. 4a und b ist ferner zu entnehmen, daß der Start des Informationsspeichers zum Zeitpunkt f 2 erfolgt zu dem eine vorbestimmte Informationsmenge Qmin im FIFO-Speicher 25 enthalten ist Ferner ist zu erkennen, daß bei Erreichen einer vorbestimmten Geschwindigkeit Vi die Ausspeicherung von Informationen gemäß der &urve Qo nach F i g. 4a beginnt
Die folgende Beschleunigung und Verzögerung gemäß der in Fig.4b gezeigten Kurve erfolgt in beschriebener Weise durch die nach dem erfindungsgemäßen Verfahren erzeugte Steuergröße.
In Fig.5 ist die in Fig. 1 gezeigte Schaltung 22 zur Signalregelung und -aufbereitung deutlicher dargestellt
Die Teilschaltungen 33, 34, 38 und 39 sind in ihrem Aufbau gezeigt, und im folgenden wird ihre Funktion, soweit zum Verständnis der Erfindung erforderlich, beschrieben.
Der Taktsignalspeicher 15 (Fig. 1) liefen über den Magnetkopf 21 ein hinsichtlich Frequenz und Amplitude variables Taktsignal an den Schaltungseingang A. Dieses Taktsignal wird in einem Verstärker 341 vorverstärkt Über einen Widerstand 346 gelangt es dann auf einen Verstärker 342, dessen Ausgang mit der bereits beschriebenen Verstärkungs- und Begrenzerschaltung 36 verbunden ist. Ferner ist dieser Verstärkerausgang über eine Diode 344 mit dem Eingang eines so Verstärkers 343 mit Speichereigenschaften verbunden. Das Ausgangssignal dieses Verstärkers 343 steuert einen Feldeffekttransistor 345. Dieser bildet mit dem Widerstand 346 einen Spannungsteiler, und die an diesem Spannungsteiler abgegriffene Spannung steuert ss als Eingangssignal den Verstärker 342. Die Verstärker
342 und 343 sowie der Spannungsteiler aus dem Widerstand 346 und dem Transistor 345 bilden einen Regelkreis, der an seinem Ausgang des Taktsignal mit konstanter Amplitude, also unabhängig von der Geschwindigkeit des Taktsignalspeichers 13 abgibt. Innerhalb dieses Regelkreises erzeugt der Verstärker
343 die Stellgröße, mit der der Innenwiderstand des Feldeffekttransistors 345 geregelt wird. Dabei wird der Verstärker 343 auf konstante Eingangsspannung gere- 6S gelt. Das so auf konstante Amplitude geregelte Taktsignal hat nach Begrenzung in der Schaltung 36 die Form eines Rechtecksignals.
Dieses Rechtecksignal wird der Schaltung zugeführt die eine Schaltung 395 zur Erzeugung von FlanJcenimpulsen enthält Mit jeder Flanke des recbteckförmjgen Taktsignals wird ein Impuls erzeugt, diese Flankeninv pulse werden bei C einer noch zu beschreibenden, in Fig,6 gezeigten Phasen- oder Frequenzsynchronisationsschaltung zugeführt
Die in Fig.5 gezeigte Schaltung 39 enthält ferner drei NAND-Glieder 391, 393 und 394 sowie einen Negator 392. Dieser Verknüpfungsschaltung wird das Lesesignal RSzugeführt welches das NAND-Glied 391 über den Negator 392 ansteuert Das NAND-Glied 393 wird von dem Ausgangssigna] G eines noch zu beschreibenden Filters 38 angesteuert, sein Ausgangssigna) wird dem zweiten Eingang des NAND-Gliedes 394 zugeführt dessen erster Eingang mit den rechteckförmigen Taktsignalen angesteuert wird. Der Ausgang des NAND-Gliedes 394 ist mit dem Eingang dar bereits beschriebenen Schaltung 395 zur Erzeugung von Flankenimpulsen verbunden.
Ober den Eingang B der in Fig.5 gezeigten Schaltung gelangen die mit dem Magnetkopf 20 von dem Magnetband 10 gelesenen Informationssignale auf die Verstärkerschaltung 33, die zwei Verstärker 331 und 332 enthält Diese sind über einen Spannungsteiler miteinander verbunden, der aus einem Widerstand 336 und einem Feldeffekttransistor 335 besteht Dieser Feldeffekttransistor 335 wird durch die Stellgröße gesteuert die von dem bereits beschriebenen Verstärker 343 erzeugt wird. Am Ausgang des Verstärkers 332 stehen dann gelesene Informationssignale zur Verfügung, deren Amplitude unabhängig von der Geschwindigkeit des Magnetbandes 10 auf konstanten Wert geregelt ist Diese Informationssignale werden einer Verstärkungs- und Begrenzerschaltung 37 zugeführt deren Ausgang Fl mit dem Eingang der Filterschaltung 38 verbunden ist Diese wird also mit einem Rechtecksignal angesteuert welches den gelesenen Informationssignalen entspricht
Die Filterschaltung 38 besteht im wesentlichen aus vier hintereinandergeschalteten bistabilen Schaltungen 382, 383, 384 und 385. Die Takteingänge dieser bistabilen Schaltungen werden über den Steuereingang D von der noch zu beschreibenden, in F i g. 6 gezeigten Schaltung gesteuert Die bistabilen Schaltungen 382 bis 385 sind so miteinander verbunden, daß sie ein Schieberegister bilden. Die einzelnen Stufen dieses Schieberegisters sind in der dargestellten Weise mit zwei NAND-Gliedern 386 und 387 verbunden, deren Ausgänge mit den Eingängen einer weiteren bistabilen Schaltung 388 verbunden sind. Der Eingang des Sctveberegisters wird durch das bereits beschriebene Signal Fl angetieuert, welches dem einen Eingang der ersten bistabilen Schaltung 382 unverändert und dem zweiten Eingang dieser bistabilen Schaltung 382 über einen Inverter 381 zugeführt wird
In noch zu beschreibender Weise wird über den Steuereingang D der Filterschaltung 38 ein Taktsignal mit einer solchen Frequenz zugeführt, das nur bei Ansteuerung mit einem Eingangssignal Fl, welches breiter ist als eine vorgegebene minimale Impulsbreite, die vier bistabilen Schaltungen 382 bis 385 übereinstimmende Schaltzustände annehmen und dadurch die nachgeschattete bistabile Schaltung 388 gesetzt werden kann. Dies bedeutet, daß am Signalausgang G nur dann ein Signal erscheint, wenn die vorgenannte Bedingung der vorgegebenen Impulsbreite erfüllt ist. Die Schaltung 38 ist somit als eine Filterschaltung anzusehen, die das
308 124/ββ
Verhalten eines Tiefpaßfilters mit einer scharf ausgeprägten Durchlaß?innlinie hat- Von dieser Schaltung werden leine Signale durchgelassen, die schmaler als die vorgegebene Signalbreite sind. Wichtig ist dabei, daß dies«; Eigenschaften der Filterschaltnng 38 sich der s jeweiligen Geschwindigkeit des Lesevorganges anpassen, d.h. die Grenzfrequenz des Tiefpaßfilters ist abhangig von der variablen Geschwindigkeit des Informationsspeichers 10. Dies ist darauf zurückzufahren, daß das noch zu beschreibende, bei D zugeführte ι ο Taktsignal für die bistabilen Schaltungen 382 und 385 eine von der Geschwindigkeit des Informationsspeichers 10 abhängige Frequenz hat.
Das Ausgangssignal G der Filterschaltung 38 wird innerhalb der Schaltung 39 gleichfalls Ober die Schaltung 395 zur Erzeugung von Flankenimpulsen C geleitet
F i g. 6 zeigt eine Phasen- und Frequenzsynchronisationsschaltung, die auch als Phase-Lock-Loop-Generator (PLL) bezeichnet wird und einen Zentraltaktgenera- tor 250 enthält Ditfse Schaltung wird Ober den Eingang C mit den Ausgangssignalen der in F i g. 5 gezeigten Schaltung angesteuert und gibt an ihrem Ausgang Dein Taktsignal ab, das mit dem Zentraltaktgenerator 250 erzeugt wird. Bei RS wird das Steuersignal zugeführt, welches in beschriebener Weise einen Lesevorgang am Informationsspeicher 10 kennzeichnet
Bei der in F i g. 6 gezeigten Schaltung wird während des Schreibvorganges ein Schreibtaktsignal Ei erzeugt, das zur Codierung der zu schreibenden Informationssignale in der Schaltung 30 (Fig. 1) genutzt wird. Während des Lesevorganges wird ein Grundtaktsignal D und ein Lesetaktsignal öl erztjgt, diese Signale werden bei der Filterung und der Decodierung der gelesenem Informationssignale in de.· Schaltungen 38 J5 und 24 (Fig. I) genutzt Von den Taktsignalen £1 werden z. B. beim Schreibvorgang die Zeitpunkte für mögliche Nulldurchgänge des Schreibstromes abgeleitet und beim Lesevorgang der optimale Zeitpunkt zur Auswertung des von dem Tiefpaßfilter 38 (Fig. I) gelieferten Lesesignals bestimmt F i g. 7 zeigt Zeitpunkte zur optimalen Auswertung der gefilterten Lesesignale bei positiven Ranken des Signals Ei. Dabei ist von Wichtigkeit, daß sich bei dieser Takterzeugungsschaltung beim Lesevorgang das Signal E1 optimal auf das gefilterte, noch nicht decodierte Lesesignal G einstellen kann, obwohl dieses Signal, verursacht durch seinen Informationsinhalt, unregelmäßigen Verlauf hat. Bei bisher bekannten Verfahren werden zur Auswertung der Lesesignale meist sogenannte Datenfenster verwen- so det, die zu einem Lesetakt oder zu dem jeweils vorhergehenden Inforrtiationssignal einen festen zeitlichen Abstand haben. Die Auswertung der Lesesignale kann dabei nie über den insgesamt zulässigen Arbeitsbereich zu einem wirklich optimalen Zeitpunkt erfolgen, sondern es müssen immer Kompromisse geschlossen werden. Das mit der Schaltungsanordnung nach Fig.6 mögliche Verfahren führt nun zu einer wesentlich sichereren Lesesignalauswertung und dadurch zu einer verringerten Fehlermöglichkeit beim Lesevöfgäng.
Auf den Schaltungseingang C können entweder Flankenimpulse gelangen, die aus den vom Taktsignalspeicher 15 gelesenen Taktsignalen oder aber aus den vom Informationsspeicher 10 gelesenen Taktsignalen bs abgeleitet sind.
Mit dem Zentraltaktgenerator 250 werden Impulse erzeugt, die die nachgeschalteten Zähl-Flip-Flop-Schal tungen 251, 252, 253 und 254 ansteuern. Abhängig davon, ob aus der Schaltungsanordnung nach Fig.5 Flankenimpulse auf den Eingang C geleitet werden, die aus gelesenen Taktsignalen des Taktsignalspeichers 15 oder aus Taktsignalen des Informationsspeichers 10 abgeleitet sind, wird mit der in Fig.6 gezeigten Schaltung entweder jeder oder jeder achte Impuls des Zentraltaktgenerators 250 phasen- und freguenzsynchron zu den Eingangsimpulsen geregelt Diese Regelung wird mit den als Phasendiskriminator geschalteten Flip-Flop-Schaltungen 231, 232, 234 und den damit verbundenen Konstantstromquellen 240 und 242 erreicht Die Konstantstromquellen 240 und 242 erzeugen an einem Speicharglied 249 eine Steuerspannung L, die die Frequenz des Zentraltaktgenerators 250 regelt Die Ausgangssignale des Zentraltaktgenerators
250 haben eine gegenüber der Frequenz der vom Taktsignalspeicher 15 abgegebenen Taktsignale wesentlich höhere Frequenz, beispielsweise die 8fache Frequenz. Sie dient zur Erzeugung eines aufbereiteten Taktsignals, das bei D der in Fig.5 gezeigten Filterschaltung 38 zugeführt werden kann und außerdem zur Ableitung untersetzter Taktsignale dient die die Phasendiskirminatorschaltung an den bistabilen Schaltungen 231 und 232 sowie über ein NAND-Glied 235 die Rückstellung der bistabilen Schaltung 234 steuern. Außerdem werden untersetzte Taktsignale bei CLD der in Fig. 1 gezeigten Schaltung 30 zur Codierung zugeführt
Zur Untersetzung der hohen Taktsignalfrequenz sind die vier bistabilen Schaltungen 251 bis 254 vorgesehen, diese sind so hintereinander geschaltet daß das Ausgangssignal des Zentraltaktgenerators 250 löfach untersetzt wird und über den Ausgang CLDden stabilen Schreibtakt für die Schaltung (Fig. I) liefert Das Taktsignal hoher Frequenz, das über D mit der in F i g. 5 gezeigten Filterschaltung 38 verbunden ist bewirkt an dieser Filterschaltung, daß nur solche Informationssignale von ihr durchgelassen werden, die eine Mindestlänge von vier Taktimpulsen der hohen Taktfrequenz haben. Die aus den NAND-Gliedern 257, 259 und 260 sowie dem Inverter 258 bestehende Verknüpfungsschaltung bewirkt die Führung des 8fach untersetzten Taktsignals D bzw. des nicht untersetzten Taktsignals, das von dem Zentraltaktgenerator 250 geliefert wird, auf den Takteingang der bistabilen Schaltung 232 und einen Eingang des NAND-Gliedes 233. Dies erfolgt abhängig von dem bereits beschriebenen, einen Lesevorgang am Informationsspeicher 10 kennzeichnenden Signal RS.
Wenn nun die Signale C am Eingang der in F i g. 6 gezeigten Schaltung dem Signal Ei am Ausgang des NAND-Gliedes 260 voreilen (Hi, /12, Fig.7),so wird die bistabile Schaltung 231 gesetzt Für die Zeit dieses gesetzten Zustandes wird die Steuerspannung L über die Konstantstromquelle 242, die über das ODER-Glied 239 mit dem Signal H der bistabilen Schaltung 231 wirksam geschaltet wird, erhöht. Folgt nun eine negative Flanke des Signals Ei am Ausgang des NAND-Gliedes 260 bei Erreichen des entsprechenden Zählerstandes in dem mit den Flip-Flop-Sehallungen
251 bis 253 gebildeten Zähler, so wird die bistabile Schaltung 232 gesetzt, und unmittelbar danach werden über die NAND-Glieder 236 und 237 die bistabilen Schaltungen 231 und 232 zurückgestellt. Damit wird die Konstantstromquelle 242 wieder abgeschaltet. Die Steuerspannung L bleibt an dem Speicherglied 249 bestehen.
Die vorstehend beschriebene Erhöhung der Steuerspannung L bewirkt eine Frequenzerhöhung des Zentraltaktgenerators 250, so daß danach der Phasenunterschied zwischen den Signalen C und dem Signal E1 verringert wird.
Wenn zu einem anderen Zeitpunkt die Eingangssignale Cdem Signal E 1 nacheilen (t 14, f 15, Fi g. 7), so wird die bistabile Schaltung 232 gesetzt und bleibt in diesem Zustand, bis durch einen Impuls am Eingang C(t 16,117, Fig.7) die bistabile Schaltung 231 gesetzt wird und dadurch über die NAND-Glieder 236 und 237 die bistabilen Schaltungen 231 und 232 wieder zurückgestellt werden. Während des gesetzten Zustandes der bistabilen Schaltung 232 ist über den inverter 238 die Konstantstromquelle 240 eingeschaltet, so daß aus dem Speicherglied 249 über die Konstantstromquelle 240 Strom abfließen kann und die Steuerspannung L im Sinne einer Frequenzverringerung des Zentraltaktgenerators 250 reduziert wird.
Wenn in den Eingangssignalen Cinfolge eines durch, die enthaltenen Informationen bedingten Phasensprunges eine Flanke fehlt 13, F i g. 7), so wird ebenfalls die bistabile Schaltung 232 gesetzt. Dauert dieser gesetzte Zustand zur Zeit /18 (Fig.7) noch an. wenn eine Rückflanke des Signals El auftritt, so wird über das NAND-Glied 233 die bistabile Schaltung 234 gesetzt. Damit erfolgt über die NAND-Glieder 236 und 237 die Rückstellung der bistabilen Schaltung 232. In dem Zeitraum 113 bis 118(Fi g. 7) wird mit dem Signal Jder bistabilen Schaltung 232 die Konstantstromquelle 240 wirksam geschaltet. Der damit verbundene Ladungsverlust des Speichergliedes 219 wird anschließend während der Zeit 118 bis 119 (Fig. 7) durch Steuerung über die bistabile Schaltung 234 mit dem Signal K über das ODER-Glied 239 an der Konstantstromquelle 242 wieder ausgeglichen. Die bistabile Schaltung 234 wird /um Zeitpunkt /19 über das NAND-Glied 235 zurückgestellt.
Wesentliche Elemente der in Fig. 6 gezeigten Schaltung sind also die bistabile Schaltung 234 und die damit über das Signal K und das ODER-Glied 239 wirksam geschaltete Konstantstromquelle 242. Diese wird dann eingeschaltet, wenn bis zur negativen Flanke des Signals Ei noch kein Impuls am Eingang C erscheint- Dies kann beispielsweise darin der Fall sein, wenn innerhalb des Signals G bei einer Null-lnformation mit FM-Codierung eine Flanke ausfällt. Die dadurch bewirkte Reduzierung des Steuersignals L durch das Setzen der bistabilen Schaltung 232 würde fehlerhaft erfolgen, so daß sie durch ein gleich großes entgegengesetztes Signal korrigiert werden muß.
in Hierzu wird der Strom der Konstantstromquelle 242 durch Steuerung über die bistabile Schaltung 234 ausgenutzt, der die Steuerspannung L am Speicherglied 249 wieder erhöht
Die bereits erläuterten, in Fig.7 dargestellten
ιi Signale D bis L verdeutlichen die Funktion der in F i g. 5 und 6 gezeigten Schaltungen. D ist das am entsprechenden Ausgang des Zentraltaktgenerators 250 erzeugte Taktsignal hoher Frequenz. CLU ist das 16fache untersetzte Taktsignal, welches am Ausgang der
>o bistabilen. Schaltung 254 erscheint Fl ist ein Informationssignalverlauf, der etwa am Einv^ng der Filterschallung nach F i g. 5 auftreten kann. Dies' r Signalverlauf enthält Informationen und Störungen, die sich als kurze Impulse darstellen, und das Ausgangssignal C der
J5 Filterschaltung 38 läßt erkennen, daß nur noch solche Impuls·,.1 vorhanden sind, die in beschriebener Weise die vorgegebene Mindestlänge haben.
Mit der in Fig.5 und 6 gezeigten Einrichtung ist es also möglich, für den gesamten Geschwindigkeitsbe-
jo reich des Informationsspeichers 10 alle Störsignale auszufiltern, die kürzer sind als ein bestimmter Bruchteil der Breite eines zu erwartenden Informationssignals. Dadurch wird eine wesentlich erhöhte Sicherheit der Datensignalerkennung und somit eine Verringerung der
J5 Fehlermöglichtkeit beim Lesevorgang erreicht.
Die Filterschaltung 38 hat gegenüber analog arbeitenden Filtern infolge der digitalen, taktgesteuerten Arbeitsweise den Vorteil, daß sich der Durchlaßbereich genau der Datensignalrate und somit der Arbeitsgeschwindigkeit des Informationsspeichers 10 anpaßt und nicht etwa nur in bezug auf einen bestimmten ArLeitspunkt optimiert ist.
Hierzu 5 Blatt Zeichnungen

Claims (15)

Patentansprüche:
1. Verfahren zur Übertragung von informationssigrialim aus einem mit variabler Geschwindigkeit arbeitenden Informationsspeicher in einem Datenkanal in Datenverarbeitungsanlagen, bei dem die Geschwindigkeit des Informationsspeichers angebende Signale in digitaler und analoger Form erzeugt werden und eine von diesen Signalen beeinflußte Auswertung sowie zuvor eine Aufbereitung der Informaiionssignale durchgeführt wird, dadurch gekennzeichnet, daß die die Geschwindigkeit angebenden Signale zur Aufbereitung der Informationssignale benutzt werden, indem sie die Amplitude durch der Geschwindigkeit umgekehrt porportionale Änderung einer InformationssignalverstSrkung steuern und den Frequenzgang durch der Geschwindigkeit proportionale Änderung steuern.
2. Verfahren nach Anspruch 1. dadurch gekennzeichnet, daft, wie an sich bekannt, ein die Geschwindigkeit angebendes Kriterium aus der freien Kapazität eines im Datenkanal vorgesehenen, zyklisch arbeitenden, asynchrones Ein- und Ausspeichern ermöglichenden Pufferspeichers abgeleitet wird, wobei das die Geschwindigkeit angebende Signal durch Vergleich der ZäWcrstände zweier Adreßzähler erzeugt wird, die einerseits die Adressierungsvorgänge beim Einspeichern in den Pufferspeicher, andererseits die Adressierungsvorgänge beim Ausspeichern aus dem Pufferspeicher zählen.
3. Verfahren nach eiiwm de- vorhergehenden Ansprüche, dadurch gekennzeichnet, daß. wie an sich bekannt, von einem sync* »on mil dem Informationsspeicher bewegten Taktsignalspcieher ein Taktsignal mit der Speichergeschwindigkeit proportionaler Frequenz gewonnen wird und daß das Taktsignal zur Steuerung der Amplitude und des Frequenzganges der Signale vcrwcndci wird.
4. Verfahren nach Anspruch J. dadurch gekennzeichnet, daß das Taktsignal einer Regelschaltung zur Erzeugung einer konstanten Taktsignalamplitude zugeführt wird und daß das Stcllsignal dieser Regelschaltung zur Ampliludcncinsicllung für die beim Lesevorgang gewonnenen Informaiionssignale verwendet wird.
5. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß. wie an sich bekannt, das Taktsignal und/oder die Informaiionssignale einen Zcntrallaktgcncraior hinsichtlich Frequenz und Phase synchronisieren und daß die Informationssignale über ein Tiefpaßfilter geführt werden, dessen Grenzfrequenz mil der Taktfrequenz verändert wird.
6. Einrichtung zur Durchführung des Verfahrens nach einem der Ansprüche I bis 5, mit einem zyklisch arbeilcnden. asynchrones Ein- und Ausspcichern ermöglichenden Pufferspeicher, dem eine seine jeweilige freie Kapazität auswertende Schallung zugeordnet ist, dadurch gekennzeichnet, daß die die freie Kapazität auswertende Schallung (41) je einen die Einspcicherungsvorgängc und einen die Ausspeichcrungsvorgänge des Pufferspeichers (25) zählenden Adrcßzahlcr (50, 51) umfaßt und daß die Adreß/.ählcr mit einer ihre Zählerstände vergleichenden Vergleichsschaltung (60) verbunden sind, die an ihrem Ausgang ein die Geschwindigkeit des
Informationsspeichers (ΙΟ) angebendes Signal liefert.
7. Einrichtung zur Durchführung des Verfahrens nach einem der Ansprüche I bis 5, vorzugsweise nach Anspruch 6, wobei als Informationsspeicher ein Magnetbandspeicher vorgesehen ist, dessen Antrieb mit einem Taktsignalspeicher mechanisch gekoppelt ist, dadurch gekennzeichnet, daß auf der Antriebswelle des Magnetbandspeichers (10) als Taktsipnalspeicher eine an ihrem Umfang mit gespeicherten Taktsignalen versehene Magnettrommel (15) oder Magnetscheibe vorgesehen ist
8. Einrichtung nach Anspruch 6 oder 7. dadurch gekennzeichnet, daß zur Aufbereitung vom Informationsspeicher (10) und/oder vom Taktsignalspeicher \15) gelesener Signale eine Ampiitudenregelschaltung vorgesehen ist. die von dem die Geschwindigkeit angebenden Signal gesteuert wird.
9. Einrichtung nach Anspruch 7 oder 8. dadurch gekennzeichnet, daß zur Aufbereitung vom Informationsspeicher (10) und vom Taktsignalspeicher (15) gelesener Signale eine Ampiitudenregeischaitung mit einem dem Taktsignalkanal zugeordneten Regelkreis (34,35) vorgesehen ist. dessen Stellgröße auch zur Amplitudenregelung der Informationssignale dieni.
10. Einrichtung npch einem der Ansprüche 7 bis 9. dadurch gekennzeichnet, daß in dem Informationssignalkanal ein Tiefpaßfilter (38) mil von der Geschwindigkeit des Informationsspeichers (10) abhängiger Grenziicquenz angeordnet ist.
11. Einrichtung nach Anspruch 10. dadurch gekennzeichnet, daß das Tiefpaßfilter (38) aus nach Art eines Schieberegisters hintcreinandcrgeschalicicn bistabilen Schallungen (382. 383, 384. 385) gebildet ist. deren Ausgänge für den ersten Schaltzustand über eine NAND-Verknüpfung (386) den ersten Eingang einer weiteren bistabilen Schallung (388) und deren Ausgänge für den zweiten Schaltzustand über eine weitere NAND-Vcrkniipfüng (387) den zweiten Eingang der weiteren bislabilen Schaltung (388) ansteuern.
12. Einrichtung nach einem der Ansprüche4! bis 11. dadurch gekennzeichnet, daß der Taktsigjialkanal und der Informaiionssignalkanal gemeinsam auf eine Schallung (395) /ur Erzeugung von Flankeninipulsen geführt sind.
IJ. Einrichtung nach Anspruch 12. dadurch gekennzeichnet, daß die Schaltung (395) zur Erzeugung von Flankeninipulsen eine Phasemliskriminatorschaltung (231, 232) steuert, die zur Synchronisation eines Zcniraltaktgeneraiors (250) dient.
14. Einrichtung nach Anspruch IJ. dadurch gekennzeichnet, daß der Zentraltaklgenoraior beim Lcscvorgang mil einer wesentlich höheren, vorzugsweise mit der Stachen Frequenz der Informaiionstaktsignale arbeite! und mit seinen Ausgangssignalcn die Taklcingänge der bistabilen Schaltungen (382,383,384.385) des Tiefpaßfilters (38) steuert.
15. Einrichtung nach Anspruch 14. dadurch gekennzeichnet. d;ili dem Zcnirnlliikigcncriimr (250) eine llniersctzungsschaltimg (251, 252. 25J. 254) zur Untersetzung der Frequenz seiner Ausgangssignale nachgcschalici ist.
Ib. Einrichtung mich Anspruch 15, iladtirch gc kennzeichnet, daß die llnterselzungsschaliung (251. 252. 253. 254) Taktsignal (I-\. CII)) Un »l.is Schreiben und I.ösen von Informationen im Informa-
Λ, iionsspeicher{ 10} liefen.
ig, 17. Einrichtung nach einem der Ansprüche 13 bis
fe 16, dadurch gekennzeichnet, daß als Phasendiskrimi-
?■ natorschaltung (231, 232) eine phasenstarre Regel-
^ schaltung vorgesehen ist, die mit den codierten
Informationssignalen angesteuert wird.
18. Einrichtung nach Anspruch 17, dadurch ge-
■ kennzeichnet, daß eine bei Fehlen von Informationssignalflanken bewirkte Änderung der mit der ·,* Regelschaltung (231, 232) erzeugten Steuerspan-
M nung (L) für den Zentraltaktgenerator (250) mit
Ablauf einer halben Bitzeit unterbrochen und mit einer gleich großen, entgegengesetzten Änderung korrigiert wird.
r 19. Einrichtung nach Anspruch 17 oder 18,
tj dadurch gekennzeichnet, daß die phasenstarre
J Regelschaltung zwei bistabile Schaltungen (231,232)
enthält, daß der Takteingang der einen bistabilen Schaltung (231) mit den Ausgangssignalen (C) der Schaltung (395) zur Erzeugung von Flankenimpulsen angesteuert ist, daß der Takteingang der zweiten bistabilen Schaltung (232) mit den Lesetaktsignalen (E I) der Untersetzungsschaltung (251,252,253,254) angesteuert ist, daß die Rückstellung beider bistabilen Schaltungen (231, 232) über eine NAND-Verknüpfung (237) erfolgt, die mit dem O-Ausgangssignal der ersten und mit dem 1 -Ausgangssignal der zweiten bistabilen Schaltung (232) angesteuert ist, und daß das 1 -Ausgangssignal (H) der ersten bistabilen Schaltung (231) und das O-Ausgangssignai (J) der zweiten bistabilen Schaltung (232) zu einer Erhöhung bzw. Verringerung einer Steuerspannung (L) für den Zentraltaktgenerator (250) verwende' sind.
DE2639895A 1976-09-04 1976-09-04 Verfahren zur Übertragung von Informationssignalen aus einem Informationsspeicher in einem Datenkanal in Datenverarbeitungsanlagen und Einrichtung zur Durchführung des Verfahrens Expired DE2639895C2 (de)

Priority Applications (5)

Application Number Priority Date Filing Date Title
DE2639895A DE2639895C2 (de) 1976-09-04 1976-09-04 Verfahren zur Übertragung von Informationssignalen aus einem Informationsspeicher in einem Datenkanal in Datenverarbeitungsanlagen und Einrichtung zur Durchführung des Verfahrens
US05/819,615 US4220997A (en) 1976-09-04 1977-07-27 Process and apparatus for transferring information between an information store and a data channel
FR7726750A FR2363860A1 (fr) 1976-09-04 1977-09-02 Procede et dispositif pour transferer des informations entre une memoire d'informations et un canal de donnees
JP10502377A JPS5332630A (en) 1976-09-04 1977-09-02 Method and device for transferring information between information memory and computer
GB36986/77A GB1591054A (en) 1976-09-04 1977-09-05 Method of and apparatus for transferring information from an information store to a data channel

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE2639895A DE2639895C2 (de) 1976-09-04 1976-09-04 Verfahren zur Übertragung von Informationssignalen aus einem Informationsspeicher in einem Datenkanal in Datenverarbeitungsanlagen und Einrichtung zur Durchführung des Verfahrens

Publications (2)

Publication Number Publication Date
DE2639895A1 DE2639895A1 (de) 1978-03-09
DE2639895C2 true DE2639895C2 (de) 1983-06-16

Family

ID=5987155

Family Applications (1)

Application Number Title Priority Date Filing Date
DE2639895A Expired DE2639895C2 (de) 1976-09-04 1976-09-04 Verfahren zur Übertragung von Informationssignalen aus einem Informationsspeicher in einem Datenkanal in Datenverarbeitungsanlagen und Einrichtung zur Durchführung des Verfahrens

Country Status (5)

Country Link
US (1) US4220997A (de)
JP (1) JPS5332630A (de)
DE (1) DE2639895C2 (de)
FR (1) FR2363860A1 (de)
GB (1) GB1591054A (de)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55153159A (en) * 1979-05-15 1980-11-28 Sony Corp Digital signal recorder
US4344132A (en) * 1979-12-14 1982-08-10 International Business Machines Corporation Serial storage interface apparatus for coupling a serial storage mechanism to a data processor input/output bus
JPS5733409A (en) * 1980-08-06 1982-02-23 Sony Corp Reproducer of coded signal
JPS5766515A (en) * 1980-10-13 1982-04-22 Victor Co Of Japan Ltd Memory address control system
US4604658A (en) * 1981-10-13 1986-08-05 Victor Company Of Japan, Limited Memory control circuit for removing jitter
CA1211573A (en) * 1982-12-07 1986-09-16 Glenn T. Hotchkin System for regulating data transfer operations
WO1984003374A1 (en) * 1983-02-28 1984-08-30 Burroughs Corp Peripherally synchronized data transfer system
US4607348A (en) * 1983-02-28 1986-08-19 Burroughs Corporation Transfer rate control system from tape peripheral to buffer memory of peripheral controller
GB2145866B (en) * 1983-08-26 1986-09-10 British Broadcasting Corp Method and apparatus for recording and replay of digital audio data
JPS60254463A (ja) * 1984-05-31 1985-12-16 Sony Corp デジタルデ−タの記録ないし再生方式
DE3526017A1 (de) * 1985-07-20 1987-01-22 Thomson Brandt Gmbh Recorder
US4905189B1 (en) * 1985-12-18 1993-06-01 System for reading and writing information
US4785415A (en) * 1986-08-29 1988-11-15 Hewlett-Packard Company Digital data buffer and variable shift register
CA1286421C (en) * 1987-10-14 1991-07-16 Martin Claude Lefebvre Message fifo buffer controller
CA1286420C (en) * 1987-10-14 1991-07-16 Youssef Alfred Geadah Fifo buffer controller
US4872073A (en) * 1988-09-02 1989-10-03 Ampex Corporation Apparatus for playback of magnetically recorded data having a variable input rate
JPH02129746A (ja) * 1988-11-09 1990-05-17 Mitsubishi Electric Corp 入出力チャネル装置
IT1228110B (it) * 1988-12-21 1991-05-28 Telettra Spa M Sistema di controllo di sorgente variabile mediante retroazione del modo di funzionamento e circuito relativo
US5513326A (en) * 1989-06-12 1996-04-30 Quantum Corporation System for optimizing data transfer
EP0516232B1 (de) * 1991-05-31 1998-02-04 Philips Communication D'entreprise Einrichtung zur Übertragung von Daten mit variabler Bitrate zwischen einem Modem und einer synchronen Endeinrichtung
US5325338A (en) * 1991-09-04 1994-06-28 Advanced Micro Devices, Inc. Dual port memory, such as used in color lookup tables for video systems
DE69230126T2 (de) * 1991-11-27 2000-04-06 Canon Kk Wiedergabegerät
US5412611A (en) * 1992-03-17 1995-05-02 Fujitsu, Limited FIFO memory device capable of writing contiguous data into rows
GB2291231B (en) * 1992-10-16 1996-10-16 Fujitsu Ltd Synchronizing circuitry

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2905930A (en) * 1954-05-24 1959-09-22 Underwood Corp Data transfer system
US3406378A (en) * 1965-07-14 1968-10-15 Minnesota Mining & Mfg Digital data transfer system
DE1499942B2 (de) * 1966-01-29 1975-12-04 Standard Elektrik Lorenz Ag, 7000 Stuttgart Schaltungsanordnung zur Regelung der Schreib- und Lesegeschwindigkeit eines Aufzeichnungs- und Wiedergabegerätes
US3454930A (en) * 1966-04-27 1969-07-08 Potter Instrument Co Inc Digital magnetic tape recording system
FR2045141A5 (de) * 1969-06-06 1971-02-26 Beauviala Jean Pierre
JPS5096207A (de) * 1973-12-22 1975-07-31
US4003090A (en) * 1974-02-18 1977-01-11 Rca Corporation Magnetic recording and reproducing system with tape-to-head speed control
JPS5151248A (en) * 1974-10-31 1976-05-06 Fujitsu Ltd Shingotensohoshiki

Also Published As

Publication number Publication date
GB1591054A (en) 1981-06-10
JPS5332630A (en) 1978-03-28
US4220997A (en) 1980-09-02
DE2639895A1 (de) 1978-03-09
FR2363860A1 (fr) 1978-03-31
FR2363860B1 (de) 1980-08-01

Similar Documents

Publication Publication Date Title
DE2639895C2 (de) Verfahren zur Übertragung von Informationssignalen aus einem Informationsspeicher in einem Datenkanal in Datenverarbeitungsanlagen und Einrichtung zur Durchführung des Verfahrens
DE3151251C2 (de)
DE2440636C2 (de) Einrichtung zum Lesen und Schreiben selbsttaktender binär kodierter Daten von bzw. auf einen bewegten Speicher
DE3587982T2 (de) Verfahren und Gerät zur Positionierung von Abtastköpfen mittels digitaler Umsetzung analoger Signale.
DE2926525A1 (de) Einrichtung zum lesen von daten von einer rotierenden magnetplatte
DE2630197C3 (de) Zeitkorrekturschaltung für ein Datenwiedergewinnungssystem
DE2932798C2 (de)
DE3211233A1 (de) Schaltungsanordnung zur wiedergabe eines auf einem aufzeichnungstraeger aufgezeichneten pcm-signals
DE2349685C2 (de) Verfahren und Einrichtung zur Wiedergewinnung binärer Datensignale
DE2725365A1 (de) Verfahren und schaltungsanordnung zur wiedergabe von einem gespeicherten videosignal mit von der aufnahme abweichender geschwindigkeit
DE1774307C3 (de) Schaltungsanordnung zum Auffinden und Beseitigen von Störungen in Aufzeichnungen
DE3102782C2 (de) Festratenverzögerungskreis mit einem Binarzähler
DE2732293A1 (de) Wiedergabegeraet
DE3048673C2 (de) Frequenz-Diskriminator
EP0199147B1 (de) Schaltungsanordnung zum Wiedergewinnen binärer Datensignale und in diesen enthaltener Datentaktsignale
DE2646053A1 (de) Elektrische steuerschaltung fuer eine magnetbandgesteuerte glasschneidemaschine
DE1919871C3 (de) Schaltungsanordnung zur Erzeugung von Taktimpulsen aus einem Eingangssignal
DE2016447A1 (de) Schaltung zum mehrspurigen Aufzeichnen und Wiedergeben von Binär-Informationen hoher Bitdichte
DE2903329C2 (de) Anordnung zum Kodieren binärer Daten
DE2629875C3 (de) Datenlese- und Einschreibvorrichtung mit einem Synchronisations-Signalgenerator für ein magnetisches Aufzeichnungsmedium
DE2428444A1 (de) Einrichtung zur codierung bzw. decodierung von binaerdaten
DE2433365A1 (de) Schaltungsanordnung zur kompensation von zeitlichen verschiebungen der signalspitzenwerte bei der wiedergewinnung auf einem magnetischen aufzeichnungstraeger gespeicherter digitaler daten
DE1213001B (de) Verfahren und Einrichtung zur Pruefung der Bandbeschleunigung bei Magnetbandgeraeten
DE2911674C2 (de) Schaltung zum Erzeugen von Ausblendimpulsen und diese Schaltung verwendender Dekodierer
DE1907285A1 (de) Verfahren und Anordnung zum Erzeugen einer einstellbaren Verzoegerung fuer das Auslesen einer auf einem umlaufenden Traeger aufgezeichneten Information

Legal Events

Date Code Title Description
OAP Request for examination filed
OD Request for examination
8126 Change of the secondary classification

Ipc: ENTFAELLT

D2 Grant after examination
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee