DE112019003120T5 - Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren dafür - Google Patents

Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren dafür Download PDF

Info

Publication number
DE112019003120T5
DE112019003120T5 DE112019003120.1T DE112019003120T DE112019003120T5 DE 112019003120 T5 DE112019003120 T5 DE 112019003120T5 DE 112019003120 T DE112019003120 T DE 112019003120T DE 112019003120 T5 DE112019003120 T5 DE 112019003120T5
Authority
DE
Germany
Prior art keywords
tfr
metal
layer
trench
ridge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112019003120.1T
Other languages
English (en)
Other versions
DE112019003120B4 (de
Inventor
Yaojian Leng
Justin Sato
Greg Stom
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Microchip Technology Inc
Original Assignee
Microchip Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Microchip Technology Inc filed Critical Microchip Technology Inc
Publication of DE112019003120T5 publication Critical patent/DE112019003120T5/de
Application granted granted Critical
Publication of DE112019003120B4 publication Critical patent/DE112019003120B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • H01L28/24Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01CRESISTORS
    • H01C17/00Apparatus or processes specially adapted for manufacturing resistors
    • H01C17/06Apparatus or processes specially adapted for manufacturing resistors adapted for coating resistive material on a base
    • H01C17/075Apparatus or processes specially adapted for manufacturing resistors adapted for coating resistive material on a base by thin film techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01CRESISTORS
    • H01C1/00Details
    • H01C1/14Terminals or tapping points or electrodes specially adapted for resistors; Arrangements of terminals or tapping points or electrodes on resistors
    • H01C1/142Terminals or tapping points or electrodes specially adapted for resistors; Arrangements of terminals or tapping points or electrodes on resistors the terminals or tapping points being coated on the resistive element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01CRESISTORS
    • H01C17/00Apparatus or processes specially adapted for manufacturing resistors
    • H01C17/006Apparatus or processes specially adapted for manufacturing resistors adapted for manufacturing resistor chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01CRESISTORS
    • H01C17/00Apparatus or processes specially adapted for manufacturing resistors
    • H01C17/28Apparatus or processes specially adapted for manufacturing resistors adapted for applying terminals
    • H01C17/288Apparatus or processes specially adapted for manufacturing resistors adapted for applying terminals by thin film techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01CRESISTORS
    • H01C7/00Non-adjustable resistors formed as one or more layers or coatings; Non-adjustable resistors made from powdered conducting material or powdered semi-conducting material with or without insulating material
    • H01C7/006Thin film resistors

Abstract

Es wird ein Verfahren zur Herstellung eines Dünnschichtwiderstands- (TFR-) Moduls in einer Integrierten Schaltungs- (IC-) Struktur bereitgestellt. Ein TFR-Graben kann in einer Oxidschicht ausgebildet werden. Eine resistive TFR-Schicht kann über der Struktur abgeschieden werden und sich in den Graben erstrecken. Teile der TFR-Schicht außerhalb des Grabens können durch CMP entfernt werden, um ein TFR-Element zu definieren, das einen sich seitlich erstreckenden TFR-Bodenbereich und mehrere TFR-Grate aufweist, die sich von dem sich seitlich erstreckenden TFR-Bodenbereich nach oben erstrecken. Es kann zumindest ein CMP durchgeführt werden, um die gesamte oder Teile der Oxidschicht und zumindest eine Teilhöhe der TFR-Grate zu entfernen. Ein Paar voneinander beabstandeter Metallverbindungen kann dann über gegenüberliegenden Endbereichen des TFR-Elements ausgebildet werden, wobei jede Metallverbindung einen sich jeweils nach oben erstreckenden TFR-Grat kontaktiert, um dadurch zwischen den Metallverbindungen einen Widerstand über das TFR-Element zu definieren.

Description

  • VERWANDTE PATENTANMELDUNG
  • Diese Anmeldung beansprucht Priorität vor der vorläufigen US-Patentanmeldung Nr. 62/688,132 , die am 21. Juni 2018 eingereicht wurde und deren gesamter Inhalt hiermit für alle Zwecke durch Bezugnahme aufgenommen wird.
  • TECHNISCHES GEBIET DER ERFINDUNG
  • Die vorliegende Offenbarung betrifft Dünnschichtwiderstände (TFRs), insbesondere TFR-Module vom Damaszenertyp mit Metallverbindungen auf der Oberseite (z. B. Aluminiumverbindungen), und Verfahren zur Herstellung solcher TFR-Module.
  • HINTERGRUND DER ERFINDUNG
  • Integrierte Halbleiterschaltungen (IC) weisen typischerweise Metallisierungsschichten auf, die zum Verbinden verschiedener Komponenten des IC verwendet werden, und die als Verbindungselemente oder Back-End-of-Line- (BEOL-) Elemente bezeichnet werden. Kupfer wird aufgrund seines geringeren spezifischen Widerstands und seines hohen Elektromigrationswiderstands häufig gegenüber Aluminium bevorzugt. Eine Kupferverbindung ist jedoch typischerweise schwer herzustellen, wenn eine herkömmliche Fotolackmaskierung und ein Plasmaätzen verwendet werden, die für eine Aluminiumverbindung verwendet werden.
  • Ein bekanntes Verfahren zum Ausbilden von Kupferverbindungen auf einem IC ist als additive Strukturierung bekannt, die manchmal als Damaszenerprozess bezeichnet wird und sich auf traditionelle Metallinlayverfahren bezieht. Ein sogenannter Damaszenerprozess kann das Strukturieren von dielektrischen Materialien wie Siliziumdioxid oder Fluorsilikatglas (FSG) oder Organosilikatglas (OSG) mit offenen Gräben umfassen, in denen sich die Kupfer- oder andere Metallleiter befinden sollten. Eine Kupferdiffusionsbarriereschicht (typischerweise Ta, TaN oder eine Doppelschicht aus beiden) wird abgeschieden, gefolgt von einer abgeschiedenen Kupfersaatschicht, gefolgt von einer Kupfermassefüllung, z. B. unter Verwendung eines elektrochemischen Beschichtungsverfahrens. Ein chemisch-mechanisches Planarisierungs- (CMP-) Verfahren kann dann verwendet werden, um überschüssiges Kupfer und Barriere zu entfernen, und kann daher als Kupfer-CMP-Verfahren bezeichnet werden. Das im Graben verbleibende Kupfer fungiert als Leiter. Eine dielektrische Barriereschicht, z. B. SiN oder SiC, wird dann typischerweise über dem Wafer abgeschieden, um Kupferkorrosion zu verhindern und die Zuverlässigkeit der Vorrichtung zu verbessern.
  • Da immer mehr Eigenschaften in einzelne Halbleiterchips gepackt werden, besteht ein erhöhter Bedarf, passive Komponenten wie zum Beispiel Widerstände in die Schaltungen zu integrieren. Einige Widerstände können durch Ionenimplantation und -diffusion erzeugt werden, beispielsweise Polywiderstände. Solche Widerstände weisen jedoch typischerweise starke Schwankungen des Widerstandswerts auf und können auch Widerstandswerte aufweisen, die sich in Abhängigkeit von der Temperatur drastisch ändern. In der Industrie wurde ein neues Verfahren zum Aufbau integrierter Widerstände eingeführt, die als Dünnschichtwiderstände (TFRs) bezeichnet werden, um die Leistung integrierter Widerstände zu verbessern. Bekannte TFRs werden typischerweise aus SiCr (Siliciumchrom), SiCCr (Silicium-Siliciumcarbid-Chrom), TaN (Tantalnitrid), NiCr (Nickelchrom), AlNiCr (Aluminium-dotiertes Nickel-Chrom) oder zum Beispiel TiNiCr (Titan-Nickel-Chrom) ausgebildet.
  • 1 zeigt eine Querschnittsansicht von zwei beispielhaften TFR-Vorrichtungen 10A und 10B, die unter Verwendung herkömmlicher Verfahren implementiert wurden. Die Herstellung herkömmlicher TFR-Vorrichtungen 10A und 10B erfordert typischerweise drei hinzugefügte Maskenschichten. Eine erste hinzugefügte Maskenschicht wird verwendet, um die TFR-Köpfe 12A und 12B zu erzeugen. Eine zweite hinzugefügte Maskenschicht wird verwendet, um die TFRs 14A und 14B zu erzeugen. Eine dritte hinzugefügte Maskenschicht wird verwendet, um TFR-Durchkontaktierungen 16A und 16B zu erzeugen. Wie gezeigt, sind die TFRs 12A und 12B über der Ober- und Unterseite der TFR-Köpfe 12A bzw. 12B ausgebildet, wobei jedoch typischerweise in jedem Fall drei hinzugefügte Maskenschichten erforderlich sind.
  • 2 zeigt eine Querschnittsansicht einer bekannten IC-Struktur, einschließlich eines beispielhaften TFR 30, der im Hinblick auf die Lehren des US-Patents 9,679,844 ausgebildet wurde, wobei TFR 30 unter Verwendung einer einzelnen hinzugefügten Maskenschicht und eines Damaszenerprozesses erzeugt werden kann. Ein TFR-Film 34, in diesem Beispiel ein SiCCr-Film, kann in Gräben abgeschieden werden, die in einem zuvor verarbeiteten Halbleitersubstrat strukturiert sind. Wie gezeigt, ist der SiCCr-Film 34 als Widerstand zwischen leitenden (z. B. Kupfer) TFR-Köpfen 32 aufgebaut, wobei ein darüber liegender dielektrischer Bereich eine dielektrische Schicht 36 (z. B. SiN oder SiC) und einen über dem SiCCr-Film 34 ausgebildeten dielektrischen Kappenbereich 38 (z. B. SiO 2) aufweist. Die IC-Struktur einschließlich TFR 30 kann für einen typischen Cu- (Kupfer-) Verbindungsprozess (BEOL) weiterverarbeitet werden, z. B. eine nächste Stufe von Durchkontaktierung und Graben. TFR 30 kann unter Verwendung typischer Kupferdurchkontaktierungen 40, die beispielsweise mit den Kupfer-TFR-Köpfen 32 verbunden sind, mit anderen Teilen der Schaltung verbunden werden.
  • Ausführungsformen von TFR 30 können besonders für Kupfer-BEOL geeignet sein, das Einschränkungen hinsichtlich des Temperns aufweisen kann (z. B. kann die Temperatur des Temperns auf etwa 200 °C begrenzt sein). Es besteht jedoch die Notwendigkeit, den TFR vor der Metallisierung (entweder Cu oder Al) zu fertigen, damit der TFR bei hoher Temperatur (z. B. um 500 °C) getempert werden kann, um einen Temperaturkoeffizienten von 0 ppm oder nahe 0 ppm (TCR) zu erreichen. Es besteht auch ein Bedarf daran oder ein Vorteil darin (z. B. Kosten- und Zeitvorteil), die Anzahl der Maskenschichten zu verringern, die zum Aufbau des TFR erforderlich sind. Weiterhin besteht ein Bedarf an einem TFR-Modul, das unter Verwendung eines Damaszener-Verfahrens zur Verwendung in Legacy-Technologien mit Aluminiumverbindungen ausgebildet wird, z. B. für analoge Hochleistungsentwürfe.
  • Figurenliste
  • Beispielaspekte der vorliegenden Offenbarung werden nachstehend in Verbindung mit den Figuren beschrieben, in denen:
    • 1 eine Querschnittsansicht von zwei beispielhaften Dünnschichtwiderstands- (TFR-) Vorrichtungen ist, die unter Verwendung bekannter Verfahren implementiert sind;
    • 2 eine Querschnittsansicht einer bekannten integrierten Schaltungs- (IC-) Struktur mit einem beispielhaften TFR ist, der gemäß bekannten Verfahren ausgebildet wurde; und
    • 3A - 3C bis 13A - 13C einen beispielhaften Prozess zum Ausbilden eines TFR-Moduls gemäß einer beispielhaften Ausführungsform veranschaulichen.
  • ZUSAMMENFASSUNG
  • Ausführungsformen der vorliegenden Offenbarung stellen Dünnschichtwiderstandsmodule (TFR-Module) mit oberseitigen Metallverbindungen/TFR-Köpfen, Verfahren zur Herstellung solcher TFR-Module und Vorrichtungen mit integrierten Schaltkreisen einschließlich solcher TFR-Module bereit. In einigen Ausführungsformen können TFR-Module unter Verwendung eines Damaszener-CMP-Ansatzes ausgebildet werden, z. B. im Gegensatz zu einem Nass- oder Trockenätzprozess. Einige Ausführungsformen stellen Dünnschichtwiderstandsmodule (TFR-Module) mit oberseitigen Aluminiumverbindungen/TFR-Köpfen bereit, z. B. mit Funktion für Back-End-of-Line (BEOL) mit Aluminiumverbindungen.
  • Weiterhin können in einigen Ausführungsformen TFR-Module unter Verwendung einer einzelnen hinzugefügten Maskenschicht ausgebildet werden. Beispielsweise können die TFR-Verbindungen/Köpfe durch eine Metallschicht (z. B. M1-Schicht) definiert werden, die über und damit nach dem TFR-Element ausgebildet wird, wodurch eine oder zwei Maskenschichten im Vergleich zum Herstellungsprozess für bestimmte herkömmliche TFR-Module eliminiert werden können Dies kann die Kosten im Vergleich zu herkömmlichen Herstellungsverfahren senken. Wenn das TFR-Element vor den TFR-Köpfen/Kontakten ausgebildet wird, kann der TFR-Film getempert werden, ohne die später ausgebildeten TFR-Kopf-/Kontaktstrukturen zu beeinflussen, und kann daher aus verschiedenen Materialien mit unterschiedlichen Tempereigenschaften oder -anforderungen ausgebildet werden, zum Beispiel einschließlich SiCCr und SiCr. Somit kann das TFR-Element getempert werden, um 0 ppm oder nahe 0 ppm TCR zu erreichen, ohne die später ausgebildeten TFR-Verbindungen/Köpfe zu beeinflussen.
  • In einigen Ausführungsformen können vertikal verlaufende „Grate“ des TFR-Elements an den Seitenkanten des TFR-Elements durch einen geeigneten Gratentfernungsprozess entfernt oder beseitigt werden, z.B. durch Metallätzen, das verwendet wird, um die Metallverbindungen (z.B. Aluminium) über dem TFR-Element auszubilden.
  • In einigen Ausführungsformen können sich vertikal erstreckende TFR-Element-„Grate“, die den TCR (Temperaturkoeffizient des Widerstands) oder andere Leistungsmerkmale des TFR-Moduls negativ beeinflussen können, während eines Metallätzens, das die TFR-Metallverbindungen/Köpfe (z. B. Aluminiumverbindungen/Köpfe) ausbildet, teilweise reduziert oder vollständig beseitigt werden. Das Entfernen der TFR-Grate kann eine kontrollierte oder verbesserte TCR-Leistung bereitstellen, z. B. wie in der am 14. Mai 2018 eingereichten vorläufigen Patentanmeldung Nr. 62/670,880 erörtert, deren gesamter Inhalt hiermit durch Bezugnahme aufgenommen wird.
  • DETAILLIERTE BESCHREIBUNG
  • 3A - 3C bis 13A - 13C veranschaulichen einen beispielhaften Prozess zum Ausbilden eines TFR-Moduls gemäß einer beispielhaften Ausführungsform.
  • In einigen Ausführungsformen kann das TFR-Modul nach einem chemisch-mechanischen Planarisierungsprozess (CMP) vor dem Metalldielektrikum (PMD) ausgebildet werden. 3A - 3C veranschaulichen eine Draufsicht (3A), eine Querschnittsseitenansicht (3B) und eine Querschnittsendansicht (3C) einer initialen Struktur 100 mit einem PMD-Bereich 102 nach PMD CMP. In einigen Ausführungsformen kann die PMD 102 eine dielektrische Schicht aufweisen, z. B. HDP- (High Density Plasma) Oxid, das über einem Substrat ausgebildet ist.
  • Wie in 4A - 4C gezeigt, kann eine Oxidschicht 104, z. B. mit einer Dicke von Toxide von etwa 1000 Ä (z. B. 500 Ä - 1500 Ä), über PMD 102 abgeschieden werden.
  • Wie in 5A - 5C gezeigt, kann ein Fotolack 108 abgeschieden und strukturiert werden, um eine Öffnung 108 zum Ausbilden eines TFR-Grabens zu definieren.
  • Wie in 6A - 6C gezeigt, kann ein TFR-Grabenätzen durchgeführt werden, um den freiliegenden Bereich der Oxidschicht 104 zu entfernen, um einen TFR-Graben 110 zu definieren. Das Ätzen kann derart durchgeführt werden, dass es auf oder leicht in der dielektrischen Schicht 102 stoppt, und kann somit eine TFR-Grabentiefe von etwa 1000 Ä (z. B. 500 Å bis 1500 Ä) festlegen. Der Fotolack 106 kann nach dem Ätzen abgezogen werden.
  • Wie in 7A - 7C gezeigt, kann eine Schicht aus TFR-Material 112 über der Struktur abgeschieden werden, z. B. unter Verwendung eines PVD- oder Sputter-Abscheidungsprozesses, und kann sich in den TFR-Graben 110 erstrecken. In einer Ausführungsform kann die TFR-Schicht 112 eine SiCr- oder SiCCr-Schicht mit einer Dicke von etwa 100 Å bis 500 Å aufweisen. In anderen Ausführungsformen kann die TFR-Schicht 112 TaN, NiCr, AlNiCr, TiNiCr oder irgendein anderes geeignetes TFR-Material aufweisen. In einigen Ausführungsformen kann die TFR-Schichtdicke basierend auf einem Zielflächenwiderstand ausgewählt werden, z. B. 500-1000 Ω/sq. Die TFR-Schicht 112 kann als resistive TFR-Schicht bezeichnet werden.
  • In einigen Ausführungsformen kann die Struktur dann z. B. bei einer Temperatur von etwa 500 °C (z. B. 400 °C - 600 °C oder 450 °C - 550 °C) für etwa 30 Minuten (z. B. 20 - 60 min) getempert werden, um 0 ppm oder nahe 0 ppm TCR (Temperaturkoeffizient des Widerstands) der TFR-Schicht 110 oder des resultierenden TFR-Moduls zu erreichen. In einigen Ausführungsformen kann „nahe 0“ ppm TCR einen TCR von 0 ± 400 ppm/°C oder einen TCR von 0 ± 100 ppm/°C oder einen TCR von 0 ± 50 ppm/°C oder einen TCR von 0 ± 20 ppm/°C oder einem TCR von 0 ± 10 ppm/°C umfassen, abhängig von der speziellen Ausführungsform. In einigen besonderen Ausführungsformen kann die TFR-Schicht 110 oder das resultierende TFR-Modul einen TCR von etwa 40 ppm/°C aufweisen, z. B. 40 ± 30 ppm/°C oder 40 ± 20 ppm/°C oder 40 ± 10 ppm/°C, z. B. gemäß Verfahren, die in der am 14. Mai 2018 eingereichten vorläufigen US-Patentanmeldung Nr. 62/670,880 offenbart sind (siehe z. B. 10B und entsprechenden Text), deren gesamter Inhalt hiermit durch Bezugnahme aufgenommen wird.
  • Wie in 8A - 8C gezeigt, kann eine dielektrische Deckschicht 116 über der Struktur abgeschieden werden und sich in den TFR-Graben 110 erstrecken, um den TFR-Film 112 zu schützen. In einer Ausführungsform kann die dielektrische Deckschicht 116 eine Nitridschicht, z. B. Siliziumnitrid, z. B. Si3N4, mit einer Dicke von etwa 1000 Ä (z. B. 500 Ä - 1500 Ä) aufweisen.
  • Wie in 9A - 9C gezeigt, kann ein TFR-CMP durchgeführt werden, um die oberen Abschnitte (d.h. außerhalb des TFR-Grabens) der TFR-Schicht 112 und der dielektrischen Deckschicht 116 zu entfernen, um ein TFR-Element 122 mit einem sich seitlich erstreckenden Bodenbereich 122 zu definieren und einen sich vertikal erstreckenden Grat 124, der sich nach oben um den Umfang des Bodenbereichs 122 erstreckt. In dem dargestellten Beispiel, in dem die dielektrische Deckschicht 116 Nitrid (z. B. Siliziumnitrid) aufweist, kann die Nitrid/Oxid-Selektivität des CMP-Prozesses (z. B. Oxidschicht 104 schneller entfernen als die Nitriddeckschicht 116) eine hügelförmige Struktur 118 über dem TFR-Element 122 definieren. Wie in 9A - 9C gezeigt, weist der umlaufende TFR-Grat 124 ein Paar längerer Grate 124A auf, die sich von den längeren Seiten des TFR-Elements 122 erstrecken, und ein Paar kürzerer Grate 124B, die sich von den kürzeren Seiten des TFR-Elements 122 erstrecken.
  • Wie gezeigt, kann die hügelförmige Struktur 118 sich verjüngende Bereiche neben jedem TFR-Grat 124 definieren, wobei jeder sich verjüngende Bereich eine abfallende, gekrümmte Oberseite aufweist, die eine Ecke oder Biegung an der Stelle jedes TFR-Grats definieren kann, wie bei 130 angegeben. Die Form der hügelförmigen Struktur 118, einschließlich der abfallenden Bereiche neben jedem TFR-Grat 124 und der Ecken oder Biegungen 130 an solchen abfallenden Bereichen, kann durch die spezifische Nitrid/Oxid-Selektivität des CMP festgelegt werden.
  • Wie in 10A - 10C gezeigt, kann in einigen Ausführungsformen die Oberseite der hügelförmigen Struktur 118 z. B. unter Verwendung eines beliebigen geeigneten Verfahrens weiter verjüngt und geglättet werden, wodurch die Höhe der TFR-Grate 124 weiter verringert und/oder ein anschließendes Metallätzen erleichtert werden kann, um eine verbleibende Höhe von einem oder mehreren TFR-Grate 124 zu entfernen (z. B. Teile der langen TFR-Grate 124A, die durch die Metallverbindungen nach dem Ätzen freigelegt wurden, wie in 13C gezeigt, die unten erörtert wird). In einer Ausführungsform kann das Verfahren zum Verjüngen und Glätten des Hügelprofils und zum Verringern der Höhe der TFR-Grate 124 ein Kontaktmuster und Ätzen, eine Ti oder TiN-Liner-Abscheidung, eine Wolframschicht-Abscheidung und ein Wolfram-CMP umfassen, die übliche Verfahrensprozessschritte zum Ausbilden von Gerätekontakten in einem typischen Prozessablauf für integrierte Schaltkreise (IC) sind. Das Wolfram-CMP kann die Höhe des TFR-Grats verringern und/oder die obere Oberfläche in dem geneigten Bereich neben jedem TFR-Grat 124 weiter verjüngen und glätten. Die oben beschriebene Verarbeitung kann die Ecken oder Biegungen 130 in der oberen Oberfläche der Hügelstruktur 118 verringern, um dadurch eine glatte Oberseite 132 im Bereich der TFR-Grate 124 zu erzeugen, die die strukturelle Qualität von Metallverbindungen/-köpfen 160 (nachstehend erörtert) verbessern kann, die nachfolgend über TFR-Graten 124 ausgebildet werden.
  • Wie in 11A - 11C gezeigt, kann eine Metallschicht (z. B. eine Metall-1-Schicht) 140 über der Struktur abgeschieden werden. In einigen Ausführungsformen kann die Metallschicht 140 Aluminium aufweisen. In anderen Ausführungsformen kann die Metallschicht 140 Kupfer oder andere Metalle aufweisen. Wie gezeigt, definiert die abgeschiedene Metallschicht 140 nach unten abfallende (nicht vertikale und nicht horizontale) Bereiche, die sich über den Umfang des TFR-Elements 122 erstrecken und die oberen Oberflächen der TFR-Grate 124A und 124B berühren.
  • Wie in 12A - 12C gezeigt, wird der Fotolack 150 über der Metallschicht 140 abgeschieden und strukturiert, um ein Paar von Abdeckmittelbereichen 150 über den kurzen TFR-Graten 124B an gegenüberliegenden Enden des TFR-Elements 112 zu definieren. In einigen Ausführungsformen kann dieser Strukturierungsschritt der Metall-1-Strukturierungsprozessschritt in einem typischen Integrated-Circuit- (IC-) Prozessablauf mit Al-Verbindungen sein.
  • Wie in 13A - 13C gezeigt, wird ein Metallätzen durchgeführt, um ein Paar von Metallverbindungen oder TFR-Köpfen 160 zu definieren. Eine Bodenfläche jeder Verbindung 160 berührt eine Oberseite eines jeweiligen TFR-Grats 124B, um dadurch über das TFR-Element 122 einen leitenden Pfad zwischen diesen zwei Verbindungen 160 bereitzustellen. Das Metallätzen kann auch die verbleibenden Teile der langen TFR-Grate 124A entfernen, die nicht durch den Fotolack 150/Verbindungen 160 abgedeckt sind, wie in 13C gezeigt.
  • Wie oben diskutiert, kann die Reduzierung oder Entfernung von einem oder mehreren TFR-Element-Graten 124 die Leistung des Temperaturkoeffizienten des Widerstands (TCR) des TFR-Moduls während des Betriebs verbessern.
  • Weiterhin kann in einigen Ausführungsformen der Erfindung das TFR-Modul unterhalb anderer Ebenen der Metallschicht aufgebaut sein, z. B. mit Al-Verbindungen, wie z. B. Metall-2, Metall-3 usw., und ist daher nicht auf das oben beschriebene Metall-1-Beispiel beschränkt. In einigen Ausführungsformen kann das TFR-Modul nach einem Inter-Level Dielectrics (ILD) CMP eingefügt werden, um die Topographie von einer vorherigen Metallschicht in den Al-Verbindungen zu planarisieren.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/688132 [0001]
    • US 9679844 [0007]
    • DE 62/670880 [0013]
    • US 62/670880 [0020]

Claims (19)

  1. Verfahren zur Herstellung eines Dünnschichtwiderstands- (TFR-) Moduls in einer integrierten Schaltungs- (IC-) Struktur, wobei das Verfahren aufweist: Ausbilden eines Grabens in einer integrierten Schaltungsstruktur; Abscheiden einer resistiven TFR-Schicht über der Struktur der integrierten Schaltung und sich in den Graben erstreckend; Entfernen von Teilen der TFR-Schicht außerhalb des Grabens, um ein TFR-Element zu definieren, das einen sich seitlich erstreckenden TFR-Bodenbereich und eine Vielzahl von TFR-Graten aufweist, die sich von dem sich seitlich erstreckenden TFR-Bodenbereich nach oben erstrecken; Durchführen zumindest eines Materialentfernungsprozesses, um die gesamte oder Teile der Oxidschicht und zumindest eine Teilhöhe der TFR-Grate zu entfernen; und Ausbilden eines Paares voneinander beabstandeter Metallverbindungen über gegenüberliegende Endbereichen des TFR-Elements, wobei jede Metallverbindung einen sich jeweils nach oben erstreckenden TFR-Grat kontaktiert, um dadurch über das TFR-Element einen leitenden Pfad zwischen den Metallverbindungen zu definieren.
  2. Verfahren nach Anspruch 1, wobei das Ausbilden des Paares von voneinander beabstandeten Metallverbindungen aufweist: Abscheiden einer Metallschicht über dem TFR-Element; und Durchführen eines Metallätzens zum Entfernen von Teilen der Metallschicht, um dadurch das Paar voneinander beabstandeter Metallverbindungen zu definieren, wobei das Metallätzen auch zumindest eine Teilhöhe von zumindest einem TFR-Grat entfernt, der nicht durch die Metallverbindungen bedeckt ist.
  3. Verfahren nach einem der Ansprüche 1 bis 2, wobei das zumindest eine Materialentfernungsverfahren eine hügelförmige Struktur ausbildet, die das TFR-Element aufweist; und wobei das Ausbilden des Paares von voneinander beabstandeten Metallverbindungen das Ausbilden des Paares von Metallverbindungen auf geneigten oberen Oberflächen der hügelförmigen Struktur aufweist.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei die voneinander beabstandeten Metallverbindungen Aluminium aufweisen.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei die voneinander beabstandeten Metallverbindungen in einer Metall-1-Schicht ausgebildet sind.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei die TFR-Schicht SiCr oder SiCCr aufweist.
  7. Verfahren nach einem der Ansprüche 1 bis 6, das weiterhin aufweist: vor dem Entfernen von Teilen der TFR-Schicht außerhalb des Grabens, Abscheiden einer Nitriddeckschicht über der TFR-Schicht und sich in den Graben erstreckend; und wobei der Schritt des Entfernens von Teilen der TFR-Schicht außerhalb des Grabens zum Definieren des TFR-Elements auch Teile der Nitriddeckschicht außerhalb des Grabens entfernt.
  8. Verfahren nach einem der Ansprüche 1 bis 7, das weiterhin vor dem Ausbilden der Metallverbindungen das Tempern der TFR-Schicht oder des TFR-Elements aufweist, um einen ausgewählten Temperaturwiderstandskoeffizienten (TCR) bereitzustellen.
  9. Verfahren nach einem der Ansprüche 1 bis 8, wobei das Ausbilden eines Grabens in einer integrierten Schaltungsstruktur aufweist: Ausbilden einer Oxidschicht über einem dielektrischen Bereich; und Ausbilden eines Grabens in der Oxidschicht.
  10. Verfahren nach einem der Ansprüche 1 bis 9, wobei das Materialentfernungsverfahren eine chemisch-mechanische Planarisierung (CMP) aufweist.
  11. Verfahren nach einem der Ansprüche 1 bis 9, wobei das Entfernen von Teilen der TFR-Schicht außerhalb des Grabens zum Definieren des TFR-Elements das Durchführen einer chemisch-mechanischen Planarisierung (CMP) aufweist.
  12. Dünnschichtwiderstand (TFR), der aufweist: ein resistives TFR-Element, das einen sich seitlich erstreckenden TFR-Bodenbereich und ein Paar von TFR-Graten aufweist, die sich von der ersten und zweiten lateralen Seite des sich seitlich erstreckenden TFR-Bodenbereichs nach oben erstrecken; eine nichtleitende Struktur neben jedem sich nach oben erstreckenden TFR-Grat, wobei jede nichtleitende Struktur eine abfallende Oberseite definiert, die in einer Richtung von einer Mitte des TFR-Elements weg nach unten abfällt; ein Paar von Metallverbindungen, die über dem TFR-Element ausgebildet sind, wobei jede Metallverbindung: über einer jeweiligen nichtleitenden Struktur neben einem sich jeweils nach oben erstreckenden TFR-Grat angeordnet ist, sich schräg über die abfallende Oberseite der jeweiligen nichtleitenden Struktur erstreckt; und den jeweiligen nach oben ragenden TFR-Grat kontaktiert; derart, dass ein leitender Pfad zwischen den Metallverbindungen über die sich nach oben erstreckenden TFR-Grate und den sich seitlich erstreckenden TFR-Bodenbereich definiert wird.
  13. Dünnschichtwiderstand nach Anspruch 12, wobei die Metallverbindungen Aluminium aufweisen.
  14. Dünnschichtwiderstand nach einem der Ansprüche 12 bis 13, wobei das TFR-Element ein Element vom Damaszenertyp aufweist, das in einem Graben ausgebildet ist.
  15. Dünnschichtwiderstand nach einem der Ansprüche 12 bis 14, wobei die Metallverbindungen in einer Metall-1-Schicht ausgebildet sind.
  16. Dünnschichtwiderstand nach einem der Ansprüche 12 bis 15, wobei das TFR-Element SiCr oder SiCCr aufweist.
  17. Dünnschichtwiderstand nach einem der Ansprüche 12 bis 16, wobei die nichtleitende Struktur neben jedem sich nach oben erstreckenden TFR-Grat einen Nitridbereich neben einer ersten Seite des sich jeweils nach oben erstreckenden TFR-Grats und über dem sich seitlich erstreckenden TFR-Bodenbereich aufweist.
  18. Dünnschichtwiderstand nach einem der Ansprüche 12 bis 16, wobei die nichtleitende Struktur neben jedem sich nach oben erstreckenden TFR-Grat einen Oxidbereich auf einer ersten Seite des jeweiligen sich nach oben erstreckenden TFR-Grats und einen Nitridbereich auf einer zweiten Seite des jeweiligen sich nach oben ragenden TFR-Grats aufweist.
  19. Dünnschichtwiderstand (TFR), ausgebildet nach einem der Verfahren nach Anspruch 1 bis 11.
DE112019003120.1T 2018-06-21 2019-06-18 Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren dafür Active DE112019003120B4 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862688132P 2018-06-21 2018-06-21
US62/688,132 2018-06-21
US16/034,423 US10553336B2 (en) 2018-06-21 2018-07-13 Thin-film resistor (TFR) module with top-side interconnects connected to reduced TFR ridges and manufacturing methods
US16/034,423 2018-07-13
PCT/US2019/037616 WO2019246020A1 (en) 2018-06-21 2019-06-18 Thin-film resistor in an integrated circuit and manufacturing method thereof

Publications (2)

Publication Number Publication Date
DE112019003120T5 true DE112019003120T5 (de) 2021-03-11
DE112019003120B4 DE112019003120B4 (de) 2024-04-25

Family

ID=68981036

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112019003120.1T Active DE112019003120B4 (de) 2018-06-21 2019-06-18 Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren dafür

Country Status (5)

Country Link
US (1) US10553336B2 (de)
CN (1) CN112335065A (de)
DE (1) DE112019003120B4 (de)
TW (1) TWI791101B (de)
WO (1) WO2019246020A1 (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017113401A1 (de) * 2017-06-19 2018-12-20 Epcos Ag Schichtwiderstand und Dünnfilmsensor
US20210272726A1 (en) * 2020-02-27 2021-09-02 Microchip Technology Incorporated Thin film resistor (tfr) formed in an integrated circuit device using wet etching of a dielectric cap
US11508500B2 (en) 2020-02-28 2022-11-22 Microchip Technology Incorporated Thin film resistor (TFR) formed in an integrated circuit device using TFR cap layer(s) as an etch stop and/or hardmask
US11495657B2 (en) 2020-03-02 2022-11-08 Microchip Technology Incorporated Thin film resistor (TFR) formed in an integrated circuit device using an oxide cap layer as a TFR etch hardmask
US11626474B2 (en) 2020-12-31 2023-04-11 Microchip Technology Incorporated Thin-film resistor (TFR) with improved contacts
US11670439B2 (en) * 2021-07-15 2023-06-06 Microchip Technology Incorporated Thin-film resistor (TFR) module

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6534374B2 (en) 2001-06-07 2003-03-18 Institute Of Microelectronics Single damascene method for RF IC passive component integration in copper interconnect process
US7112286B2 (en) * 2003-12-04 2006-09-26 Texas Instruments Incorporated Thin film resistor structure and method of fabricating a thin film resistor structure
JP2005243828A (ja) * 2004-02-25 2005-09-08 Denso Corp 半導体装置
US7291525B1 (en) * 2004-08-05 2007-11-06 National Semiconductor Corporation System and method for manufacturing thin film resistors using a trench and chemical mechanical polishing
US7669313B2 (en) 2005-07-11 2010-03-02 Texas Instruments Incorporated Method for fabricating a thin film resistor semiconductor structure
US7485540B2 (en) 2005-08-18 2009-02-03 International Business Machines Corporation Integrated BEOL thin film resistor
US7829428B1 (en) * 2008-08-26 2010-11-09 National Semiconductor Corporation Method for eliminating a mask layer during thin film resistor manufacturing
US8680618B2 (en) * 2011-10-17 2014-03-25 Texas Instruments Incorporated Structure and method for integrating front end SiCr resistors in HiK metal gate technologies
CN104051614A (zh) 2013-03-15 2014-09-17 联华电子股份有限公司 埋入式电阻
US9679844B2 (en) * 2015-06-18 2017-06-13 Microchip Technology Incorporated Manufacturing a damascene thin-film resistor
TWI692851B (zh) * 2016-12-12 2020-05-01 聯華電子股份有限公司 半導體元件及其製作方法
US10658453B2 (en) 2018-06-15 2020-05-19 Microchip Technology Incorporated Aluminum compatible thin-film resistor (TFR) and manufacturing methods

Also Published As

Publication number Publication date
US10553336B2 (en) 2020-02-04
CN112335065A (zh) 2021-02-05
WO2019246020A1 (en) 2019-12-26
DE112019003120B4 (de) 2024-04-25
TW202002020A (zh) 2020-01-01
TWI791101B (zh) 2023-02-01
US20190392967A1 (en) 2019-12-26

Similar Documents

Publication Publication Date Title
DE112019003120B4 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren dafür
DE10256346B4 (de) Halbleiterbauelement mit MIM-Kondensator und Zwischenverbindung und Herstellungsverfahren dafür
DE10351875B4 (de) Integriertes Schaltkreisbauelement mit MIM-Kondensator
DE102012111786B4 (de) Hybrid-Verbindungsaufbau und Verfahren zur Herstellung desselben
DE102019212488B4 (de) Verbindungen gebildet durch eine Metallverdrängungsreaktion
DE112019002455T5 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren
DE112004001530B4 (de) Versiegelte Poren in Damascene-Strukturen mit Low-k-Material
DE102012111574A1 (de) Ätzschaden- und esl-freie dual-damaszene metallkontaktstruktur
DE19834917A1 (de) Verfahren zum Bilden von selbstausrichtenden Durchgängen in integrierten Schaltungen mit mehreren Metallebenen
DE102018122339A1 (de) Verschlussschicht-schema zum verbessern der rram-leistung
DE102006053927A1 (de) Halbleiter-Bauteil und ein Verfahren zu seiner Herstellung
DE102004005697B4 (de) Herstellungsverfahren für eine widerstandsfähige Via-Struktur und zugehörige Via-Struktur
DE102018203792B4 (de) Nicht-Dorn-Schnittbildung
DE112018004421T5 (de) Damaszener-dünnschichtwiderstand (tfr) in polymetall-dielektrikum und verfahren zur herstellung
DE102019203224B4 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE10244570A1 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE102018205693B4 (de) Verfahren zum bilden von selbstausgerichteten schnitten
DE112018003821T5 (de) Systeme und verfahren zum ausbilden eines in einer integrierten schaltungsanordnung integrierten dünnfilmwiderstandes
DE102019115467B4 (de) Minderung der Stufenhöhe in Resistive Random-Access-Memorystrukturen
DE112019003036B4 (de) Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren
EP1770726A1 (de) MIM-Kondensator sowie zugehöriges Herstellungsverfahren
DE102019204020B4 (de) Verbindungsstruktur und Verfahren zu deren Herstellung
DE60037599T2 (de) Herstellungsverfahren für halbleiteranordnung mit reduzierter signalwegverzögerungszeit
DE19716791B4 (de) Verfahren zum Herstellen von Kontaktöffnungen in einer mehrschichtigen Halbleiterstruktur
DE102018200438B4 (de) Voll ausgerichtete Via-Strukturen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division