DE112017008325T5 - Mikroelektronische anordnungen - Google Patents

Mikroelektronische anordnungen Download PDF

Info

Publication number
DE112017008325T5
DE112017008325T5 DE112017008325.7T DE112017008325T DE112017008325T5 DE 112017008325 T5 DE112017008325 T5 DE 112017008325T5 DE 112017008325 T DE112017008325 T DE 112017008325T DE 112017008325 T5 DE112017008325 T5 DE 112017008325T5
Authority
DE
Germany
Prior art keywords
die
conductive contacts
photo
package substrate
definable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112017008325.7T
Other languages
English (en)
Inventor
Aleksandar Aleksov
Johanna M. Swan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112017008325T5 publication Critical patent/DE112017008325T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/145Organic substrates, e.g. plastic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3675Cooling facilitated by shape of device characterised by the shape of the housing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/42Fillings or auxiliary members in containers or encapsulations selected or arranged to facilitate heating or cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B80/00Assemblies of multiple devices comprising at least one memory device covered by this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04026Bonding areas specifically adapted for layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06132Square or rectangular array being non uniform, i.e. having a non uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0615Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/06151Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/06177Combinations of arrays with different layouts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0901Structure
    • H01L2224/0903Bonding areas having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16147Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a bonding area disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/165Material
    • H01L2224/16501Material at the bonding interface
    • H01L2224/16503Material at the bonding interface comprising an intermetallic compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1701Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1701Structure
    • H01L2224/1703Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1705Shape
    • H01L2224/17051Bump connectors having different shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/175Material
    • H01L2224/17505Bump connectors having different materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29199Material of the matrix
    • H01L2224/2929Material of the matrix with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/32146Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the layer connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/32227Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the layer connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • H01L2224/3301Structure
    • H01L2224/3303Layer connectors having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • H01L2224/331Disposition
    • H01L2224/3318Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/33181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/802Applying energy for connecting
    • H01L2224/80201Compression bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/802Applying energy for connecting
    • H01L2224/80201Compression bonding
    • H01L2224/80203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81192Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81444Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81447Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/832Applying energy for connecting
    • H01L2224/83201Compression bonding
    • H01L2224/83203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83851Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester being an anisotropic conductive adhesive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83855Hardening the adhesive by curing, i.e. thermosetting
    • H01L2224/83862Heat curing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92224Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/1015Shape
    • H01L2924/10155Shape being other than a cuboid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15153Shape the die mounting substrate comprising a recess for hosting the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15153Shape the die mounting substrate comprising a recess for hosting the device
    • H01L2924/15155Shape the die mounting substrate comprising a recess for hosting the device the shape of the recess being other than a cuboid
    • H01L2924/15156Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15313Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a land array, e.g. LGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/38Effects and problems related to the device integration
    • H01L2924/384Bump effects
    • H01L2924/3841Solder bridging

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Wire Bonding (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)

Abstract

Mikroelektronische Anordnungen und damit verbundene Vorrichtungen und Verfahren werden hierin offenbart. Zum Beispiel kann bei einigen Ausführungsbeispielen eine mikroelektronische Anordnung ein Package-Substrat mit einer ersten Oberfläche und einer gegenüberliegenden zweiten Oberfläche, ein erstes photodefinierbares Material auf zumindest einem Abschnitt der zweiten Oberfläche und ein zweites photodefinierbares Material auf zumindest einem Abschnitt des ersten photodefinierbaren Materials umfassen, wobei das zweite photodefinierbare Material eine unterschiedliche Materialzusammensetzung als das erste photodefinierbare Material aufweist.

Description

  • Hintergrund
  • Integrierte-Schaltungs-Dies sind konventionell mit einem Package-Substrat für eine mechanische Stabilität und zum Erleichtern einer Verbindung zu anderen Komponenten, wie z.B. Schaltungsplatinen, gekoppelt. Der durch konventionelle Substrate erreichbare Verbindungsabstand wird u.a. durch die Herstellung, die Materialien und thermische Überlegungen eingeschränkt.
  • Figurenliste
  • Ausführungsbeispiele sind aus der nachfolgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen ohne weiteres offensichtlich. Um diese Beschreibung zu vereinfachen, bezeichnen gleiche Bezugszeichen ähnliche strukturelle Elemente. Ausführungsbeispiele sind in den Figuren der beiliegenden Zeichnungen beispielhaft dargestellt und nicht einschränkend.
    • 1 ist eine Seiten-Querschnittsansicht einer mikroelektronischen Beispiel-Anordnung gemäß verschiedenen Ausführungsbeispielen.
    • 2 ist eine Unteransicht eines Die, der in der mikroelektronischen Anordnung von 1 gemäß verschiedenen Ausführungsbeispielen dar.
    • 3-11 sind Seiten-Querschnittsansichten von mikroelektronischen Beispiel-Anordnungen gemäß verschiedenen Ausführungsbeispielen.
    • 12-16 sind Draufsichten von Beispielanordnungen von mehreren Dies in einer mikroelektronischen Anordnung gemäß verschiedenen Ausführungsbeispielen.
    • 17A-17F sind Seiten-Querschnittsansichten verschiedener Stufen in einem Beispielprozess zur Herstellung der mikroelektronischen Anordnung von 5 gemäß verschiedenen Ausführungsbeispielen dar.
    • 18A-18B sind Seiten-Querschnittsansichten verschiedener Stufen in einem anderen Beispielprozess zur Herstellung der mikroelektronischen Anordnung von 5 gemäß verschiedenen Ausführungsbeispielen.
    • 19A-19H sind Seiten-Querschnittsansichten verschiedener Stufen in einem anderen Beispielprozess zur Herstellung der mikroelektronischen Anordnung von 5 gemäß verschiedenen Ausführungsbeispielen.
    • 20 ist eine Seiten-Querschnittsansicht einer mikroelektronischen Beispiel-Anordnung gemäß verschiedenen Ausführungsbeispielen.
    • 21A-21B sind Seiten-Querschnittsansichten verschiedener Stufen in einem Beispielprozess zur Herstellung der mikroelektronischen Anordnung von 20 gemäß verschiedenen Ausführungsbeispielen.
    • 22-25 sind Seiten-Querschnittsansichten von Package-Substraten, die in einer mikroelektronischen Anordnung gemäß verschiedenen Ausführungsbeispielen umfasst sein können.
    • 26 ist eine Draufsicht einer Aussparung in einem Package-Substrat gemäß verschiedenen Ausführungsbeispielen.
    • 27A-27E sind Seiten-Querschnittsansichten verschiedener Stufen in einem Beispielprozess zur Herstellung des Package-Substrats 22 gemäß verschiedenen Ausführungsbeispielen.
    • 28A-28B sind Seiten-Querschnittsansichten von einem Package-Substrate, das in einer mikroelektronischen Anordnung gemäß verschiedenen Ausführungsbeispielen umfasst sein kann.
    • 29A-29D sind Seiten-Querschnittsansichten verschiedener Stufen in einem Beispielprozess zur Herstellung des Package-Substrats von 28 gemäß verschiedenen Ausführungsbeispielen.
    • 30 ist eine Seiten-Querschnittsansicht einer mikroelektronischen Beispiel-Anordnung gemäß verschiedenen Ausführungsbeispielen.
    • 31 ist eine Draufsicht eines Wafers und von Dies, die in einer mikroelektronischen Anordnung gemäß irgendeinem der hierin offenbarten Ausführungsbeispiele umfasst sein können.
    • 32 ist eine Querschnitt-Seitenansicht eines Integrierte-Schaltungs- (IC-; integrated circuit) Bauelements, das in einer mikroelektronischen Anordnung gemäß irgendeinem der hierin offenbarten Ausführungsbeispielen umfasst sein kann.
    • 33 ist eine Querschnitt-Seitenansicht einer IC-Bauelementanordnung, die eine mikroelektronische Anordnung umfassen kann, gemäß irgendeinem der hierin offenbarten Ausführungsbeispiele.
    • 34 ist ein Blockdiagramm einer beispielhaften elektrischen Vorrichtung, die eine mikroelektronische Anordnung gemäß irgendeinem der hierin offenbarten Ausführungsbeispiele umfassen kann.
  • Detaillierte Beschreibung
  • Mikroelektronische Anordnungen und damit verbundene Vorrichtungen und Verfahren werden hierin offenbart. Zum Beispiel kann bei einigen Ausführungsbeispielen eine mikroelektronische Anordnung ein Package-Substrat umfassen, umfassend ein dielektrisches Material, das eine erste Oberfläche und eine gegenüberliegende zweite Oberfläche aufweist, ein erstes photodefinierbares Material auf zumindest einem Abschnitt der zweiten Oberfläche und ein zweites photodefinierbares Material auf zumindest einem Abschnitt des ersten photodefinierbaren Materials umfassen, wobei das zweite photodefinierbare Material eine unterschiedliche Materialzusammensetzung als das erste photodefinierbare Material aufweist.
  • Ein Kommunizieren großer Zahlen von Signalen zwischen zwei oder mehr Dies in einem Multi-Die-Integrierte-Schaltung- (IC) Package stellt unter anderem aufgrund der zunehmend kleinen Größe solcher Dies, thermischer Einschränkungen und Leistungslieferungseinschränkungen eine Herausforderung dar. Verschiedene der hierin offenbarten Ausführungsbeispiele können helfen, ein zuverlässiges Anbringen von mehreren IC-Dies bei niedrigeren Kosten, mit verbesserter Leistungseffizienz, mit höherer Bandbreite und/oder mit größerer Entwurfsflexibilität relativ zu konventionellen Ansätzen zu erreichen. Verschiedene der hierin offenbarten mikroelektronischen Anordnungen können eine bessere Leistungslieferung und Signalgeschwindigkeit aufweisen, bei gleichzeitiger Reduktion der Größe des Packages relativ zu konventionellen Ansätzen. Die hierin offenbarten mikroelektronischen Anordnungen können besonders für kleine und Niedrigprofil-Anwendungen in Computern, Tablets, Industrierobotern und Verbraucherelektronik (z.B. tragbare Vorrichtungen) vorteilhaft sein.
  • In der nachfolgenden detaillierten Beschreibung wird Bezug auf die beiliegenden Zeichnungen genommen, die einen Teil derselben bilden, wobei gleiche Bezugszeichen durchgehend gleiche Teile bezeichnen, und in denen auf darstellende Weise Ausführungsbeispiele gezeigt sind, die praktiziert werden können. Es sollte darauf hingewiesen werden, dass andere Ausführungsbeispiele verwendet werden können und strukturelle oder logische Änderungen ausgeführt werden können, ohne von dem Schutzbereich der vorliegenden Offenbarung abzuweichen. Daher soll die folgende detaillierte Beschreibung nicht in einem einschränkenden Sinne genommen werden.
  • Verschiedene Operationen können wiederum als mehrere diskrete Handlungen oder Operationen beschrieben werden, auf eine Weise, die beim Verständnis des beanspruchten Gegenstands hilfreich ist. Die Reihenfolge der Beschreibung sollte jedoch nicht derart betrachtet werden, dass sie impliziert, dass diese Operationen notwendigerweise von der Reihenfolge abhängig sind. Genauer gesagt werden diese Operationen möglicherweise nicht in der präsentierten Reihenfolge ausgeführt. Beschriebene Operationen können in einer unterschiedlichen Reihenfolge zu dem beschriebenen Ausführungsbeispiel ausgeführt werden. Verschiedene zusätzliche Operationen können ausgeführt werden und/oder beschriebene Operationen können bei zusätzlichen Ausführungsbeispielen weggelassen sein.
  • Zum Zweck der vorliegenden Offenbarung bezeichnet die Phrase „A und/oder B“ (A), (B), oder (A und B). Zum Zweck der vorliegenden Offenbarung bezeichnet die Phrase „A, B, und/oder C“ (A), (B), (C), (A und B), (A und C), (B und C), oder (A, B und C). Die Zeichnungen sind nicht notwendigerweise maßstabsgetreu. Obwohl viele der Zeichnungen geradlinige Strukturen mit flachen Wänden und rechtwinkligen Ecken darstellen, dient dies lediglich der Veranschaulichung, und tatsächliche Vorrichtungen, die unter Verwendung dieser Techniken hergestellt werden, weisen abgerundete Ecken, Oberflächenrauigkeit und andere Merkmale auf.
  • Die Beschreibung verwendet die Phrasen „bei einem Ausführungsbeispiel“ oder „bei Ausführungsbeispielen“, die sich jeweils auf ein oder mehrere desselben oder unterschiedlicher Ausführungsbeispiele beziehen können. Ferner sind die Ausdrücke „aufweisen“, „umfassen“, „haben“ und ähnliche, wie sie hierin im Hinblick auf Ausführungsbeispiele der vorliegenden Offenbarung verwendet werden, synonym. Wie hierin verwendet, sind ein „Package“ und ein „IC-Package“ gleichbedeutend, ebenso wie ein „Die“ und ein „IC-Die“. Die Begriffe „oben“ und „unten“ können hierin verwendet werden, um verschiedene Merkmale der Zeichnungen zu erläutern, jedoch dienen diese Begriffe lediglich der Vereinfachung der Erörterung und implizieren nicht eine gewünschte oder erforderliche Orientierung. Nach hiesigem Gebrauch bedeutet der Begriff „isolieren“ „elektrisch isolieren“, ausgenommen es ist anderweitig angegeben.
  • Bei Verwendung zum Beschreiben eines Bereichs von Abmessungen stellt der Ausdruck „zwischen X und Y“ einen Bereich dar, der X und Y umfasst. Der Einfachheit halber kann der Ausdruck „17“ verwendet werden, um Bezug auf die Sammlung von Zeichnungen der 17A-17F zu nehmen, der Ausdruck „18“ kann verwendet werden, um Bezug auf die Sammlung von Zeichnungen der 18A-18B zu nehmen etc. Obwohl bestimmte Elemente hierin möglicherweise im Singular bezeichnet werden, können solche Elemente mehrere Teilelemente umfassen. Beispielsweise kann „ein Isoliermaterial“ ein oder mehrere Isoliermaterialien umfassen. Nach hiesigem Gebrauch, kann sich ein „leitfähiger Kontakt“ auf einen Abschnitt eines leitfähigen Materials (z.B. Metall) beziehen, der als eine Schnittstelle zwischen unterschiedlichen Komponenten dient; leitfähige Kontakte können in einer Oberfläche einer Komponente ausgespart, mit dieser bündig sein oder sich von dieser weg erstrecken, und können irgendeine geeignete Form (z.B. eine leitfähige Anschlussfläche oder Buchse, oder Abschnitt einer leitfähigen Leitung oder eines Vias) annehmen.
  • 1 ist eine Seiten-Querschnittsansicht einer mikroelektronischen Anordnung 100 gemäß verschiedenen Ausführungsbeispielen. Eine Anzahl von Elementen ist in 1 wie in der mikroelektronischen Anordnung 100 umfasst dargestellt, aber eine Anzahl dieser Elemente ist in einer mikroelektronischen Anordnung 100 möglicherweise nicht vorhanden. Bei verschiedenen Ausführungsbeispielen sind beispielsweise der Wärmeverteiler (heat spreader) 131, das thermische Schnittstellenmaterial 129, das Formmaterial 127, der Die 114-3, der Die 114-4, die Zweite-Ebene-Verbindungen 137 und/oder die Schaltungsplatine 133 möglicherweise nicht umfasst. Ferner stellt 1 eine Anzahl von Elementen dar, die bei nachfolgenden Zeichnungen zur Vereinfachung der Darstellung weggelassen sind, jedoch in irgendeiner der hierin offenbarten mikroelektronischen Anordnungen 100 umfasst sein können. Beispiele solcher Elemente umfassen den Wärmeverteiler 131, das thermische Schnittstellenmaterial 129, das Formmaterial 127, die Zweite-Ebene-Verbindungen 137 und/oder die Schaltungsplatine 133. Viele der Elemente der mikroelektronischen Anordnung 100 von 1 sind in anderen der beiliegenden Figuren umfasst; die Erörterung dieser Elemente wird bei der Erörterung dieser Figuren nicht wiederholt und irgendeines dieser Elemente kann irgendeine der hierin offenbarten Formen annehmen. Bei einigen Ausführungsbeispielen können einzelne der hierin offenbarten mikroelektronischen Anordnungen 100 als ein System-in-Package (SiP) dienen, in dem mehrere Dies 114 mit unterschiedlicher Funktionalität umfasst sind. Bei solchen Ausführungsbeispielen kann die mikroelektronische Anordnung 100 als ein SiP bezeichnet werden.
  • Die mikroelektronische Anordnung 100 kann ein Package-Substrat 102 umfassen, das durch Die-zu-Package-Substrat- (DTPS; die-to-package substrate) Verbindungen 150-1 mit einem Die 114-1 gekoppelt ist. Insbesondere kann die obere Oberfläche des Package-Substrats 102 einen Satz von leitfähigen Kontakten 146 umfassen und die untere Oberfläche des Dies 114-1 kann einen Satz von leitfähigen Kontakten 122 umfassen; die leitfähigen Kontakte 122 an der unteren Oberfläche des Dies 114-1 können elektrisch und mechanisch durch die DTPS-Verbindungen 150-1 mit den leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 gekoppelt sein. Bei dem Ausführungsbeispiel der 1 umfasst die obere Oberfläche des Package-Substrats 102 eine Aussparung 108, in der der Die 114-1 zumindest teilweise angeordnet ist; die leitfähigen Kontakte 146, mit denen der Die 114-1 gekoppelt ist, sind am Boden der Aussparung 108 positioniert. Bei anderen Ausführungsbeispielen ist der Die 114-1 möglicherweise nicht in einer Aussparung angeordnet (z.B. wie unten erörtert wird Bezug nehmend auf die 9-11). Irgendeiner der hierin offenbarten leitfähigen Kontakte (z.B. die leitfähigen Kontakte 122, 124, 146, 140 und/oder 135) kann Bondanschlussflächen, Pfosten oder irgendeinen anderen geeigneten leitfähigen Kontakt umfassen, zum Beispiel, und die DTPS-Verbindungen 150 können irgendeine geeignete Erste-Ebene-Verbindung umfassen. Das Gehäusesubstrat 102 kann andere oder unterschiedliche Merkmale (nicht abgebildet) umfassen, wie z.B. Kerne, Löt-Resiste usw.
  • Das Package-Substrat 102 kann ein Isoliermaterial (z.B. ein dielektrisches Material, das in mehreren Schichten gebildet ist, wie im Stand der Technik bekannt) und ein oder mehrere leitfähige Pfade durch das dielektrische Material (z.B. umfassend leitfähige Leiterbahnen und/oder leitfähige Vias, wie gezeigt) umfassen. Bei einigen Ausführungsbeispielen kann das Isoliermaterial des Package-Substrats 102 ein dielektrisches Material sein, wie beispielsweise ein organisches dielektrisches Material, ein feuerhemmendes Material der Klasse 4 (FR-4), Bismaleimintriazin- (BT) Harz, Polyimidmaterialien, glasverstärktes Epoxid-Matrixmaterial oder Low-k- und Ultra-low-k-Dielektrikum (z.B. kohlenstoffdotierte Dielektrika, fluordotierte Dielektrika, poröse Dielektrika und organische Polymer-Dielektrika). Insbesondere, wenn das Package-Substrat 102 unter Verwendung von standardmäßigen Gedruckte-Schaltungsplatine-(PCB; printed circuit board) Prozessen gebildet wird, kann das Package-Substrat 102 FR-4 umfassen und die leitfähigen Pfade in dem Package-Substrat 102 können durch strukturierte (patterned) Lagen aus Kupfer, die durch Aufbauschichten des FR-4 getrennt sind, gebildet sein. Die leitfähigen Pfade in dem Package-Substrat 102 können durch Liner-Materialien, wie beispielsweise Adhäsionsliner und/oder Barriereliner, falls geeignet, begrenzt sein.
  • Bei einigen Ausführungsbeispielen können sich ein oder mehrere leitfähige Pfade in dem Package-Substrat 102 zwischen einem leitfähigen Kontakt 146 an der oberen Oberfläche des Package-Substrats 102 und einem leitfähigen Substrat 140 an der unteren Oberfläche des Package-Substrats 102 erstrecken. Bei einigen Ausführungsbeispielen können sich ein oder mehrere der leitfähigen Pfade in dem Package-Substrat 102 zwischen einem leitfähigen Kontakt 146 an dem Boden der Aussparung 108 und einem leitfähigen Kontakt 140 an der unteren Oberfläche des Package-Substrats 102 erstrecken. Bei einigen Ausführungsbeispielen können sich ein oder mehrere der leitfähigen Pfade in dem Package-Substrat 102 zwischen unterschiedlichen leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 (z.B. zwischen einem leitfähigen Kontakt 146 an dem Boden der Aussparung 108 und einem unterschiedlichen leitfähigen Kontakt 146 an der oberen Oberfläche des Package-Substrats 102) erstrecken. Bei einigen Ausführungsbeispielen können sich ein oder mehrere der leitfähigen Pfade in dem Package-Substrat 102 zwischen unterschiedlichen leitfähigen Kontakten 140 an der unteren Oberfläche des Package-Substrats 102 erstrecken.
  • Die hierin offenbarten Dies 114 können ein Isoliermaterial (z.B. ein dielektrisches Material, das in mehreren Schichten gebildet ist, wie im Stand der Technik bekannt) und mehrere leitfähige Pfade, die durch das Isoliermaterial gebildet sind, umfassen. Bei einigen Ausführungsbeispielen kann das Isoliermaterial eines Dies 114 ein dielektrisches Material, wie beispielsweise Siliziumdioxid, Siliziumnitrid, Oxinitrid, Polyimidmaterialien, Glasverstärktes-Epoxid-Matrixmaterialien oder Low-k- und Ultra-low-k-Dielektrikum (z.B. kohlenstoffdotierte Dielektrika, fluordotierte Dielektrika, poröse Dielektrika, organische Polymer-Dielektrika, fotostrukturierbare Dielektrika und/oder Benzocyclobuten-basierte Polymere), umfassen. Bei einigen Ausführungsbeispielen kann das Isoliermaterial eines Dies 114 ein Halbleitermaterial, wie beispielsweise Silizium, Germanium oder ein III-V Material (z.B. Galliumnitrid) und ein oder mehrere zusätzliche Materialien umfassen. Zum Beispiel kann ein Isoliermaterial Siliziumoxid oder Siliziumnitrid umfassen. Die leitfähigen Pfade in einem Die 114 können leitfähige Leiterbahnen und/oder leitfähige Vias umfassen und können irgendeinen von den leitfähigen Kontakten in dem Die 114 in irgendeiner geeigneten Weise (z.B. mehrere leitfähige Kontakte auf einer gleichen Oberfläche oder auf unterschiedlichen Oberflächen auf dem Die 114 verbindend) verbinden. Beispiel-Strukturen, die in den hierin offenbarten Dies 114 umfasst sein können, werden nachfolgend erörtert Bezug nehmend auf 32. Die leitfähigen Pfade in den Dies 114 können durch Liner-Materialien, wie beispielsweise Adhäsionsliner und/oder Barriereliner, falls geeignet, begrenzt sein.
  • Bei einigen Ausführungsbeispielen kann der Die 114-1 leitfähige Pfade zum Routen von Leistung, Masse und/oder Signalen zu/von einigen der anderen Dies 114, die in der mikroelektronischen Anordnung 100 umfasst sind, umfassen. Beispielsweise kann der Die 114-1 Substrat-Durchkontaktierungen (TSVs; through-substrate vias, umfassend ein Leitfähiges-Material-Via, wie beispielsweise ein Metall-Via, das durch ein Barriere-Oxid von dem umgebenden Silizium oder anderen Halbleitermaterial isoliert ist) oder andere leitfähige Pfade, durch die Leistung, Masse und/oder Signale zwischen dem Package-Substrat 102 und einem oder mehreren Dies 114 „oben auf“ dem Die 114-1 (z.B. bei dem Ausführungsbeispiel von 1, dem Die 114-2 und/oder dem Die 114-3) übertragen werden können, umfassen. Bei einigen Ausführungsbeispielen kann der Die 114-1 leitfähige Pfade zum Routen von Leistung, Masse und/oder Signalen zwischen unterschiedlichen von den Dies 114 „oben auf“ dem Die 114-1 (z.B. bei dem Ausführungsbeispiel von 1, dem Die 114-2 und dem Die 114-3) umfassen. Bei einigen Ausführungsbeispielen kann der Die 114-1 die Quelle und/oder das Ziel von Signalen sein, die zwischen dem Die 114-1 und anderen Dies 114, die in der mikroelektronischen Anordnung 100 umfasst sind, kommuniziert werden.
  • Bei einigen Ausführungsbeispielen routet der Die 114-1 Leistung und/oder Masse möglicherweise nicht an den Die 114-2; stattdessen kann der Die 114-2 direkt mit Leistungs- und/oder Masseleitungen in dem Package-Substrat 102 gekoppelt sein. Durch Erlauben eines Koppelns des Dies 114-2 direkt mit Leistungs- und/oder Masseleitungen in dem Package-Substrat 102, brauchen solche Leistungs- und/oder Masseleitungen nicht durch den Die 114-1 geroutet zu werden, was es dem Die 114-1 erlaubt, kleiner gemacht zu werden oder mehr aktive Schaltungsanordnung oder Signalpfade zu umfassen.
  • Bei einigen Ausführungsbeispielen umfasst der Die 114-1 möglicherweise nur leitfähige Pfade und umfasst möglicherweise keine aktive oder passive Schaltungsanordnung. Bei anderen Ausführungsbeispielen kann der Die 114-1 eine aktive oder passive Schaltungsanordnung (z.B. unter anderem Transistoren, Dioden, Widerstände, Induktivitäten und Kondensatoren) umfassen. Bei einigen Ausführungsbeispielen kann der Die 114-1 eine oder mehrere Bauelementschichten umfassen, umfassend Transistoren (z.B. wie unten erörtert wurde Bezug nehmend auf 32. Wenn der Die 114-1 eine aktive Schaltungsanordnung umfasst, können Leistungs- und/oder Massesignale durch das Package-Substrat 102 und zu dem Die 114-1 durch die leitfähigen Kontakte 122 auf der unteren Oberfläche des Dies 114-1 geroutet werden.
  • Obwohl 1 eine bestimmte Anzahl und Anordnung von leitfähigen Pfaden in dem Package von 102 und/oder einem oder mehreren der Dies 114 darstellt, sind diese lediglich darstellend, und irgendeine geeignete Anzahl und Anordnung kann verwendet werden. Die hierin offenbarten leitfähigen Pfade (z.B. leitfähigen Leiterbahnen und/oder leitfähigen Vias) können aus irgendeinem geeigneten leitfähigen Material, wie beispielsweise Kupfer, Silber, Nickel, Gold, Aluminium oder anderen Metallen oder Legierungen, gebildet sein.
  • Bei einigen Ausführungsbeispielen kann das Package-Substrat 102 ein Medium niedrigerer Dichte sein und der Die 114-1 kann ein Medium höherer Dichte sein. Nach hiesigem Gebrauch sind die Begriffe „niedrigere Dichte“ und „höhere Dichte“ relative Begriffe, die anzeigen, dass die leitfähigen Pfade (z.B. umfassend leitfähige Leitungen und leitfähige Vias) in einem Medium niedrigerer Dichte größer sind und/oder einen größeren Abstand aufweisen als die leitfähigen Pfade in einem Medium höherer Dichte. Bei einigen Ausführungsbeispielen kann ein Medium höherer Dichte unter Verwendung eines modifizierten semiadditiven Prozesses oder eines semiadditiven Aufbauprozesses mit fortschrittlicher Lithographie (mit kleinen vertikalen Verbindungsmerkmalen, die durch fortschrittliche Laser- oder Lithographieprozesse gebildet werden) hergestellt werden, während ein Medium niedrigerer Dichte eine PCB sein kann, die unter Verwendung eines Standard-PCB-Prozesses (z.B. eines standardmäßigen subtraktiven Prozesses unter Verwendung von Ätzchemie zum Entfernen von Bereichen von unerwünschtem Kupfer und mit groben vertikalen Verbindungsmerkmalen, die durch einen Standardlaserprozess gebildet werden) hergestellt wird.
  • Die mikroelektronische Anordnung 100 von 1 kann auch einen Die 114-2 umfassen. Der Die 114-2 kann elektrisch und mechanisch durch DTPS-Verbindungen 150-2 mit dem Package-Substrat 102 gekoppelt sein und kann elektrisch und mechanisch durch Die-zu-Die- (DTD; die-to-die) Verbindungen 130-1 mit dem Die 114-1 gekoppelt sein. Insbesondere kann die obere Oberfläche des Package-Substrats 102 einen Satz von leitfähigen Kontakten 146 umfassen und die untere Oberfläche des Dies 114-2 kann einen Satz von leitfähigen Kontakten 122 umfassen; die leitfähigen Kontakte 122 an der unteren Oberfläche des Dies 114-1 können elektrisch und mechanisch durch die DTPS-Verbindungen 150-2 mit den leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 gekoppelt sein. Ferner kann die obere Oberfläche des Dies 114-1 einen Satz von leitfähigen Kontakten 124 umfassen und die untere Oberfläche des Dies 114-2 kann einen Satz von leitfähigen Kontakten 124 umfassen; die leitfähigen Kontakte 124 an der unteren Oberfläche des Dies 114-2 können elektrisch und mechanisch durch die DTD-Verbindungen 130-1 mit einigen der leitfähigen Kontakte 124 an der oberen Oberfläche des Dies 114-1 gekoppelt sein. 2 ist eine Unteransicht des Dies 114-2 der mikroelektronischen Anordnung 100 von 1, die die „gröberen“ leitfähigen Kontakte 122 und die „feineren“ leitfähigen Kontakte 124 zeigt. Der Die 114-2 der mikroelektronischen Anordnung 100 kann somit ein einseitiger (single-sided) Die sein (in dem Sinne, dass der Die 114-2 leitfähige Kontakte 122/124 nur auf einer einzelnen Oberfläche aufweist) und kann ein Gemischter-Abstand- (mixed-pitch) Die sein (in dem Sinne, dass der Die 114-2 Sätze von leitfähigen Kontakten 122/124 mit unterschiedlichem Abstand aufweist). Obwohl 2 die leitfähigen Kontakte 122 und die leitfähigen Kontakte 124 als jeweils in einem rechteckigen Array angeordnet darstellt, ist dies nicht notwendigerweise der Fall, und die leitfähigen Kontakte 122 und 124 können in irgendeiner geeigneten Struktur (z.B. sechseckige, rechteckige, unterschiedliche Anordnungen zwischen den leitfähigen Kontakten 122 und 124 etc.) angeordnet sein. Ein Die 114, der DTPS-Verbindungen 150 und DTD-Verbindungen 130 an der gleichen Oberfläche aufweist, kann als ein Gemischter-Abstand-Die 114 bezeichnet werden; allgemeiner gesagt, kann ein Die 114, der Verbindungen 130 von unterschiedlichen Abständen an einer gleichen Oberfläche aufweist, als ein Gemischter-Abstand-Die 114 bezeichnet werden.
  • Der Die 114-2 kann sich um eine Überlappungsdistanz 191 über den Die 114-1 erstrecken. Bei einigen Ausführungsbeispielen kann die Überlappungsdistanz 191 zwischen 0,5 Millimeter und 5 Millimeter (z.B. zwischen 0,75 Millimeter und 2 Millimeter, oder ungefähr 1 Millimeter) sein.
  • Die mikroelektronische Anordnung 100 von 1 kann auch einen Die 114-3 umfassen. Der Die 114-3 kann elektrisch und mechanisch durch DTD-Verbindungen 130-2 mit dem Die 114-1 gekoppelt sein. Insbesondere kann die untere Oberfläche des Dies 114-3 einen Satz von leitfähigen Kontakten 124 umfassen, die elektrisch und mechanisch durch die DTD-Verbindungen 130-2 mit einigen der leitfähigen Kontakte 124 an der oberen Oberfläche des Dies 114-1 gekoppelt sind. Bei dem Ausführungsbeispiel der 1 kann der Die 114-3 ein einseitiger, Einzel-Abstand-Die sein; bei anderen Ausführungsbeispielen kann der Die 114-3 ein doppelseitiger (oder „Mehrere-Ebenen-“ oder „omni-direktionaler“) Die sein und zusätzliche Komponenten können auf der oberen Oberfläche des Dies 114-3 angeordnet sein.
  • Wie oben bei dem Ausführungsbeispiel von 1 erörtert, kann der Die 114-1 ein Hochdichte-Verbindungs-Routing in einem lokalisierten Bereich der mikroelektronischen Anordnung 100 bereitstellen. Bei einigen Ausführungsbeispielen kann die Gegenwart des Dies 114-1 eine direkte Chip-Anbringung von Feinabstand-Halbleiterdies (z.B. den Dies 114-2 und 114-3), die nicht vollständig direkt an dem Package-Substrat 102 angebracht sein können, unterstützen. Insbesondere kann, wie vorstehend erörtert, der Die 114-1 Leiterbahn-Breiten und Beabstandungen, die nicht in dem Package-Substrat 102 erreichbar sind, unterstützen. Die Proliferation von tragbarer und mobiler Elektronik sowie Internet of Things (IoT) -Anwendungen treiben Reduktionen in der Größe von elektronischen Systemen, aber Beschränkungen des PCB-Herstellungsprozesses und die mechanischen Konsequenzen einer Wärmeausdehnung während einer Verwendung haben bedeutet, dass Chips mit feinem Verbindungsabstand nicht direkt an der PCB befestigt werden können. Verschiedene Ausführungsbeispiele der mikroelektronischen Anordnungen 100, die hierin offenbart sind, können in der Lage sein, Chips mit Hochdichte-Verbindungen und Chips mit Niedrigdichte-Verbindungen, ohne Performance oder Herstellbarkeit zu opfern, unterstützen.
  • Die mikroelektronische Anordnung 100 von 1 kann auch einen Die 114-4 umfassen. Der Die 114-4 kann elektrisch und mechanisch durch DTPS-Verbindungen 150-3 mit dem Package-Substrat 102 gekoppelt sein. Insbesondere kann die untere Oberfläche des Dies 114-4 einen Satz von leitfähigen Kontakten 122 umfassen, die elektrisch und mechanisch durch die DTPS-Verbindungen 150-3 mit einigen von den leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 gekoppelt sind. Bei dem Ausführungsbeispiel der 1 kann der Die 114-4 ein einseitiger, Einzel-Abstand-Die sein; bei anderen Ausführungsbeispielen kann der Die 114-4 ein doppelseitiger Die sein und zusätzliche Komponenten können auf der oberen Oberfläche des Dies 114-4 angeordnet sein. Zusätzliche passive Komponenten, wie beispielsweise oberflächenbefestigte Widerstände, Kondensatoren und/oder Induktivitäten, können auf der oberen Oberfläche oder der unteren Oberfläche des Package-Substrats 102 angeordnet oder in dem Package-Substrat 102 eingebettet sein.
  • Die mikroelektronische Anordnung 100 von 1 kann auch eine Schaltungsplatine 133 umfassen. Das Package-Substrat 102 kann mit der Schaltungsplatine 133 durch Zweite-Ebene-Verbindungen 137 an der unteren Oberfläche des Package-Substrats 102 gekoppelt sein. Insbesondere kann das Package-Substrat 102 an seiner unteren Oberfläche leitfähige Kontakte 140 umfassen und die Schaltungsplatine 133 an ihrer oberen Oberfläche leitfähige Kontakte 135 umfassen; die Zweite-Ebene-Verbindungen 137 können die leitfähigen Kontakte 135 und die leitfähigen Kontakte 140 elektrisch und mechanisch koppeln. Die Zweite-Ebene-Verbindungen 137, die in 1 dargestellt sind, sind Lötkugeln (z.B. für eine Kugelgitterarray-Anordnung), aber es können auch irgendwelche geeigneten Zweite-Ebene-Verbindungen 137 verwendet werden (z.B. Pins in einer Pin-Gitterarray-Anordnung oder Anschlussbereiche in einer Landegitterarray-Anordnung). Die Schaltungsplatine 133 kann z.B. eine Hauptplatine sein, auf der andere Komponenten angebracht sein können (nicht gezeigt). Die Schaltungsplatine 133 kann leitfähige Pfade und andere leitfähige Kontakte (nicht gezeigt) zum Routen von Leistung, Masse und Signalen durch die Schaltungsplatine 133, wie im Stand der Technik bekannt, umfassen. Bei einigen Ausführungsbeispielen koppeln die Zweite-Ebene-Verbindungen 137 das Package-Substrat 102 möglicherweise nicht mit einer Schaltungsplatine 133, sondern koppeln stattdessen möglicherweise das Package-Substrat 102 mit einem anderen IC-Package, einem Interposer oder irgendeiner geeigneten Komponente.
  • Die mikroelektronische Anordnung 100 von 1 kann auch ein Formmaterial 127 umfassen. Das Formmaterial 127 kann sich um einen oder mehrere der Dies 114 auf dem Package-Substrat 102 erstrecken. Bei einigen Ausführungsbeispielen kann sich das Formmaterial 127 über einem oder mehreren der Dies 114 auf dem Package-Substrat 102 erstrecken. Bei einigen Ausführungsbeispielen kann sich das Formmaterial 127 zwischen einem oder mehreren der Dies 114 und dem Package-Substrat 102 um die zugeordneten DTPS-Verbindungen 150 erstrecken; bei solchen Ausführungsbeispielen kann das Formmaterial 127 als ein Unterfüllmaterial dienen. Bei einigen Ausführungsbeispielen kann sich das Formmaterial 127 zwischen unterschiedlichen der Dies 114 um die zugeordneten DTD-Verbindungen 130 erstrecken; bei solchen Ausführungsbeispielen kann das Formmaterial 127 als ein Unterfüllmaterial dienen. Das Formmaterial 127 kann mehrere unterschiedliche Formmaterialien (z.B. ein Unterfüllmaterial und ein unterschiedliches Überformmaterial) umfassen. Das Formmaterial 127 kann ein Isoliermaterial sein, wie beispielsweise ein geeignetes Epoxidmaterial. Bei einigen Ausführungsbeispielen kann das Formmaterial 127 ein Unterfüllmaterial umfassen, das ein Epoxidfluss ist, der beim Löten der Dies 114-1/114-2 an das Package-Substrat 102 beim Bilden der DTPS-Verbindungen 150-1 und 150-2 hilft und dann die DTPS-Verbindungen 150-1 und 150-2 polimerisiert und einkapselt. Das Formmaterial 127 kann ausgewählt werden, um einen Wärmeausdehnungskoeffizienten (CTE; coefficient of thermal expansion) aufzuweisen, der die Spannung zwischen den Dies 114 und dem Package-Substrat 102, die sich aus einer ungleichmäßigen Wärmeausdehnung in der mikroelektronischen Anordnung 100 ergibt, mindern oder minimieren kann. Bei einigen Ausführungsbeispielen kann der CTE des Formmaterials 127 einen Wert aufweisen, der im Hinblick auf den CTE des Package-Substrats 102 (z.B. den CTE des dielektrischen Materials des Package-Substrats 102) und einen CTE der Dies 114 dazwischen ist.
  • Die mikroelektronische Anordnung 100 von 1 kann auch ein thermisches Schnittstellenmaterial (TIM; thermal interface material) 129 umfassen. Das TIM 129 kann ein thermisch leitfähiges Material (z.B. Metallpartikel) in einem Polymer oder anderen Binder umfassen. Das TIM 129 kann eine thermische Schnittstellenmaterialpaste oder ein thermisch leitfähiges Epoxid (das beim Auftragen ein Fluid sein kann und sich beim Aushärten verfestigen kann, wie im Stand der Technik bekannt ist) sein. Das TIM 129 kann einen Pfad für Wärme bereitstellen, die durch die Dies 114 erzeugt wird, um ohne weiteres zu dem Wärmeverteiler 131 zu fließen, wo sie verteilt und/oder dissipiert werden kann. Einige Ausführungsbeispiele der mikroelektronischen Anordnung 100 von 1 können eine gesputterte Rückseiten-Metallisierung (nicht gezeigt) über das Formmaterial 127 und die Dies 114 umfassen; das TIM 129 (z.B. ein Löt-TIM) kann auf dieser Rückseiten-Metallisierung angeordnet sein.
  • Die mikroelektronische Anordnung 100 von 1 kann auch einen Wärmeverteiler 131 umfassen. Der Wärmeverteiler 131 kann verwendet werden, um Wärme von den Dies 114 (z.B. so, dass die Wärme ohne weiteres durch eine Wärmesenke oder ein anderes Wärmemanagementbauelement dissipiert werden kann) zu bewegen. Der Wärmeverteiler 131 kann irgendein geeignetes thermisch leitfähiges Material (z.B. Metall, geeignete Keramik etc.) umfassen und kann irgendwelche geeigneten Merkmale (z.B. Finnen) umfassen. Bei einigen Ausführungsbeispielen kann der Wärmeverteiler 131 ein integrierter Wärmeverteiler sein.
  • Die hierin offenbarten DTPS-Verbindungen 150 können irgendeine geeignete Form annehmen. Bei einigen Ausführungsbeispielen kann ein Satz von DTPS-Verbindungen 150 Lötmittel (z.B. Löthöcker oder -kugeln, die einem thermischen Wiederaufschmelzen (Reflow) unterzogen werden, um die DTPS-Verbindungen 150 zu bilden) umfassen. Die DTPS-Verbindungen 150, die Lötmittel umfassen, können irgendein geeignetes Lötmaterial umfassen, wie beispielsweise Blei/Zinn, Zinn/Bismut, eutektisches Zinn/Silber, ternäres Zinn/Silber/Kupfer, Zinn/Nickel/Kupfer, Zinn/Bismut/Kupfer, Zinn/Indium/Kupfer, Zinn/Zink/Indium/Bismut oder andere Legierungen. Bei einigen Ausführungsbeispielen kann ein Satz von DTPS-Verbindungen 150 ein antisotropes leitfähiges Material umfassen, wie beispielsweise einen antisotropen leitfähigen Film oder eine antisotrope leitfähige Paste. Ein antisotropes leitfähiges Material kann leitfähige Materialien umfassen, die in einem nicht leitfähigen Material dispergiert sind. Bei einigen Ausführungsbeispielen kann ein anisotropes leitfähiges Material mikroskopische leitfähige Partikel umfassen, die in einem Binder oder einem wärmehärtenden Klebemittelfilm (z.B. einem wärmehärtenden Biphenyl-Typ-Epoxidharz oder einem auf Acryl basierenden Material) eingebettet sind. Bei einigen Ausführungsbeispielen können die leitfähigen Partikel ein Polymer und/oder ein oder mehrere Metalle (z.B. Nickel oder Gold) umfassen. Beispielsweise können die leitfähigen Partikel vernickeltes Gold oder versilbertes Kupfer, das wiederum mit einem Polymer beschichtet ist, umfassen. Bei einem anderen Beispiel können die leitfähigen Partikel Nickel umfassen. Wenn das anisotrope leitfähige Material unkomprimiert ist, gibt es möglicherweise keinen leitfähigen Pfad von einer Seite des Materials zur anderen. Wenn das anisotrope leitfähige Material jedoch angemessen komprimiert ist (z.B. durch leitfähige Kontakte auf jeder Seite des anisotropen leitfähigen Materials), können die leitfähigen Materialien in der Nähe der Region der Komprimierung einander kontaktieren, so dass ein leitfähiger Pfad von einer Seite des Films zu der anderen in der Region der Komprimierung gebildet wird).
  • Die hierin offenbarten DTD-Verbindungen 130 können irgendeine geeignete Form annehmen. Die DTD-Verbindungen 130 können einen feineren Abstand als die DTPS-Verbindungen 150 in einer mikroelektronischen Anordnung umfassen. Bei einigen Ausführungsbeispielen können die Dies 114 auf jeder Seite eines Satzes von DTD-Verbindungen 130 ungepackagete Dies sein und/oder die DTD-Verbindungen 130 können kleine leitfähige Höcker oder Säulen (z.B. Kupfer-Höcker oder -Säulen) umfassen, die durch ein Lötmittel an den leitfähigen Kontakten 124 angebracht sind. Die DTD-Verbindungen 130 können einen zu feinen Abstand aufweisen, um direkt mit dem Package-Substrat 102 zu koppeln (z.B. zu fein, um als DTPS-Verbindungen 150 zu dienen). Bei einigen Ausführungsbeispielen kann ein Satz von DTD-Verbindungen 130 Lötmittel umfassen. DTD-Verbindungen 130, die Lötmittel umfassen, können irgendein geeignetes Lötmaterial umfassen, wie beispielsweise irgendeines der vorstehend erörterten Materialien. Bei einigen Ausführungsbeispielen kann ein Satz von DTD-Verbindungen 130 ein anisotropes leitfähiges Material umfassen, wie beispielsweise irgendeines der vorstehend erörterten Materialien. Bei einigen Ausführungsbeispielen können die DTD-Verbindungen 130 als Datenübertragungspfade verwendet werden, während die DTPS-Verbindungen 150 unter anderem für Leistungs- und Masseleitungen verwendet werden können.
  • Bei einigen Ausführungsbeispielen können einige oder alle von den DTD-Verbindungen 130 in einer mikroelektronischen Anordnung 100 Metall-zu-Metall-Verbindungen (z.B. Kupferzu-Kupfer-Verbindungen oder plattierte Verbindungen) sein. Bei solchen Ausführungsbeispielen können die leitfähigen Kontakte 124 auf jeder Seite der DTD-Verbindungen 130 aneinander gebondet sein (z.B. unter erhöhtem Druck und/oder Temperatur), ohne die Verwendung von dazwischenliegendem Lötmittel oder einem anisotropen leitfähigen Material. Bei einigen Ausführungsbeispielen kann eine Seite einer DTD-Verbindung 130 eine Metallsäule (z.B. eine Kupfersäule) umfassen und die andere Seite der DTD-Verbindung kann einen Metallkontakt (z.B. einen Kupferkontakt) umfassen, der in einem Dielektrikum ausgespart ist. Bei einigen Ausführungsbeispielen kann eine dünne Abdeckung eines Lötmittels in einer Metall-zu-Metall-Verbindung verwendet werden, um Planarität Rechnung zu tragen, und dieses Lötmittel kann während einer Verarbeitung zu einer intermetallischen Verbindung werden. Bei einigen Metall-zu-Metall-Verbindungen, die ein Hybridbonden nutzen, kann ein dielektrisches Material (z.B. Siliziumoxid, Siliziumnitrid, Siliziumcarbid oder eine organische Schicht) zwischen den aneinandergebondeten Metallen (z.B. zwischen Kupfer-Anschlussflächen oder Posten, die die zugeordneten leitfähigen Kontakte 124 bereitstellen) vorhanden sein. Bei einigen Ausführungsbeispielen kann eine Metall-zu-Metall-Verbindung (z.B. eine Kupfer-zu-Kupfer-Verbindung) ein Edelmetall (z.B. Gold) oder ein Metall, dessen Oxide leitfähig sind (z.B. Silber), umfassen. Bei einigen Ausführungsbeispielen kann eine Metall-zu-Metall-Verbindung Metall-Nanostrukturen (z.B. Nanostäbchen) umfassen, die einen reduzierten Schmelzpunkt aufweisen können. Metall-zu-Metall-Verbindungen können in der Lage sein, einen höheren Strom zuverlässig zu leiten als andere Typen von Verbindungen; beispielsweise können einige Lötverbindungen spröde intermetallische Verbindungen bilden, wenn Strom fließt, und der maximale Strom, der durch solche Verbindungen bereitgestellt wird, kann eingeschränkt sein, um einen mechanischen Ausfall zu mindern.
  • Bei einigen Ausführungsbeispielen können einige oder alle von den DTD-Verbindungen 130 in einer mikroelektronischen Anordnung 100 Lötverbindungen sein, die ein Lötmittel mit einem höheren Schmelzpunkt als ein in einigen oder allen von den DTPS-Verbindungen 150 umfasstes Lötmittel. Zum Beispiel, wenn die DTD-Verbindungen 130 in einer mikroelektronischen Anordnung 100 gebildet werden, bevor die DTPS-Verbindungen 150 gebildet werden (z.B. wie unten Bezug nehmend auf die 17A-17F erörtert), können lötmittelbasierte DTD-Verbindungen 130 ein Höhere-Temperatur-Lötmittel (z.B. mit einem Schmelzpunkt über 200 Grad Celsius) verwenden, während die DTPS-Verbindungen 150 ein Niedrigere-Temperatur-Lötmittel (z.B. mit einem Schmelzpunkt unter 200 Grad Celsius) verwenden können. Bei einigen Ausführungsbeispielen kann ein Höhere-Temperatur-Lötmittel Zinn; Zinn und Gold; oder Zinn, Silber und Kupfer (z.B. 96,5 % Zinn, 3 % Silber und 0,5 % Kupfer) umfassen. Bei einigen Ausführungsbeispielen kann ein Niedrigere-Temperatur-Lötmittel Zinn und Bismut (z.B. eutektisches Zinn- Bismut) oder Zinn, Silber und Bismut umfassen. Bei einigen Ausführungsbeispielen kann ein Niedrigere-Termperatur-Lötmittel Indium, Indium und Zinn oder Gallium umfassen.
  • In den hierin offenbarten mikroelektronischen Anordnungen 100, können einige oder alle von den DTPS-Verbindungen 150 einen größeren Abstand als einige oder alle von den DTD-Verbindungen 130 aufweisen. DTD-Verbindungen 130 können einen kleineren Abstand als DTPS-Verbindungen 150 aufweisen, aufgrund der größeren Ähnlichkeit von Materialien in den unterschiedlichen Dies 114 auf jeder Seite von einem Satz von DTD-Verbindungen 130 als zwischen dem Die 114 und dem Package Substrat 102 auf jeder Seite von einem Satz von DTPS-Verbindungen 150. Insbesondere können die Unterschiede in der Materialzusammensetzung eines Dies 114 und eines Package-Substrats 102 zu einem differentiellen Ausdehnen und Zusammenziehen des Dies 114 und des Package-Substrats 102 aufgrund von während des Betriebs erzeugter Wärme (sowie der während verschiedener Herstellungsoperationen angewendeten Wärme) führen. Um durch dieses differentielle Ausdehnen und Zusammenziehen verursachten Schaden (z.B. Rissbildung, Lötbrückenbildung etc.) zu mindern, können die DTPS-Verbindungen 150 größer und weiter auseinander gebildet sein als die DTD-Verbindungen 130, die aufgrund der größeren Materialähnlichkeit des Paares von Dies 114 auf jeder Seite von den DTD-Verbindungen weniger thermische Spannung erfahren können. Bei einigen Ausführungsbeispielen können die hierin offenbarten DTPS-Verbindungen 150 einen Abstand zwischen 80 Mikron und 300 Mikron aufweisen, während die hierin offenbarten DTD-Verbindungen 130 einen Abstand zwischen 7 Mikron und 100 Mikron aufweisen können.
  • Die Elemente der mikroelektronischen Anordnung 100 können irgendwelche geeigneten Abmessungen aufweisen. Nur eine Teilmenge der beiliegenden Figuren sind mit Bezugszeichen gekennzeichnet, die Abmessungen repräsentieren, aber dies dient lediglich der Klarheit der Darstellung, und irgendwelche der hierin offenbarten mikroelektronischen Anordnungen 100 können Komponenten mit den hierin erörterten Abmessungen aufweisen. Bei einigen Ausführungsbeispielen kann die Dicke 164 des Package-Substrats 102 beispielsweise zwischen 0,1 Millimeter und 1,4 Millimeter sein (z.B. zwischen 0,1 Millimeter und 0,35 Millimeter, zwischen 0,25 Millimeter und 0,8 Millimeter, oder ungefähr 1 Millimeter). Bei einigen Ausführungsbeispielen kann die Aussparung 108 eine Tiefe 175 zwischen 10 Mikron und 200 Mikron (z.B. zwischen 10 Mikron und 30 Mikron, zwischen 30 Mikron und 100 Mikron, zwischen 60 Mikron und 80 Mikron, oder ungefähr 75 Mikron) aufweisen. Bei einigen Ausführungsbeispielen kann die Tiefe 175 gleich zu einer bestimmten Anzahl von Schichten des dielektrischen Materials in dem Package-Substrat 102 sein. Beispielsweise kann die Tiefe 175 ungefähr gleich zu zwischen einer und fünf Schichten des dielektrischen Materials in dem Package-Substrat 102 (z.B. zwei oder drei Schichten des dielektrischen Materials) sein. Bei einigen Ausführungsbeispielen kann die Tiefe 175 gleich zu oder kleiner als die Dicke eines Lötmittelresistmaterials (nicht gezeigt) auf der oberen Oberfläche des Package-Substrats 102 sein.
  • Bei einigen Ausführungsbeispielen kann die Distanz 179 zwischen der unteren Oberfläche des Dies 114-1 und der benachbarten oberen Oberfläche des Package-Substrats 102 (am Boden der Aussparung 108) weniger als die Distanz 177 zwischen der unteren Oberfläche des Dies 114-2 und der benachbarten oberen Oberfläche des Package-Substrats 102 sein. Bei einigen Ausführungsbeispielen kann die Distanz 179 ungefähr gleich wie die Distanz 177 sein. Bei einigen Ausführungsbeispielen kann die Distanz 177 zwischen der unteren Oberfläche des Dies 114-2 und der benachbarten oberen Oberfläche des Package-Substrats 102 größer sein als die Distanz 193 zwischen der unteren Oberfläche des Dies 114-2 und der benachbarten oberen Oberfläche des Dies 114-1. Bei anderen Ausführungsbeispielen kann die Distanz 177 geringer als die oder gleich zu der Distanz 193 sein.
  • Bei einigen Ausführungsbeispielen kann sich die obere Oberfläche des Dies 114-1 höher als die obere Oberfläche des Package-Substrats 102, wie dargestellt in 1 erstrecken. Bei anderen Ausführungsbeispielen kann die obere Oberfläche des Dies 114-1 im Wesentlichen koplanar mit der oberen Oberfläche des Package-Substrats 102 sein oder kann unter der oberen Oberfläche des Package-Substrats 102 ausgespart sein. 3 stellt ein Beispiel des vorstehenden Ausführungsbeispiels dar. Obwohl verschiedene der Figuren mikroelektronische Anordnungen 100 mit einer einzelnen Aussparung 108 in dem Package-Substrat 102 darstellen, kann die Dicke von 102 mehrere Aussparungen 108 (z.B. mit den gleichen oder unterschiedlichen Abmessungen und jeweils mit einem darin angeordneten Die 114) oder keine Aussparungen 108 umfassen. Beispiele der vorstehenden Ausführungsbeispiel werden unten Bezug nehmend auf die 7-8 erörtert und Beispiele der letzteren Ausführungsbeispiele werden unten Bezug nehmend auf die 9-11 beschrieben. Bei einigen Ausführungsbeispielen kann eine Aussparung 108 an der unteren Oberfläche des Package-Substrats 102 (z.B. benachbart zu den leitfähigen Kontakten 140) positioniert sein, anstelle von oder zusätzlich zu einer Aussparung 108 an der oberen Oberfläche des Package-Substrats 102.
  • Bei dem Ausführungsbeispiel der 1 ist ein einzelner Die 114-2 als das Package-Substrat 102 und den Die 114-1 „überspannend“ dargestellt. Bei einigen Ausführungsbeispielen der mikroelektronischen Anordnungen 100, die hierin offenbart sind, können mehrere Dies 114 das Package-Substrat 102 und einen anderen Die 114 überspannen. Zum Beispiel stellt 4 ein Ausführungsbeispiel dar, bei dem zwei Dies 114-2 jeweils leitfähige Kontakte 122 und leitfähige Kontakte 124 aufweisen, die an den unteren Oberflächen angeordnet sind; die leitfähigen Kontakte 122 der Dies 114-2 sind über DTPS-Verbindungen 150-2 mit leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 gekoppelt und die leitfähigen Kontakte 124 der Dies 114-2 sind über DTD-Verbindungen 130 mit leitfähigen Kontakten 124 an der oberen Oberfläche des Dies 114 gekoppelt. Bei einigen Ausführungsbeispielen können Leistungs- und/oder Massesignale direkt an die Dies 114 der mikroelektronischen Anordnung 100 von 4 durch das Package-Substrat 102 bereitgestellt werden und der Die 114-1 kann, unter anderem, Signale zwischen den Dies 114-2 routen.
  • Bei einigen Ausführungsbeispielen kann der Die 114-1 als eine Brücke zwischen mehreren anderen Dies 114 angeordnet sein und kann auch zusätzliche Dies 114 angeordnet auf demselben aufweisen. Zum Beispiel stellt 5 ein Ausführungsbeispiel dar, bei dem zwei Dies 114-2 jeweils leitfähige Kontakte 122 und leitfähige Kontakte 124 aufweisen, die an den unteren Oberflächen angeordnet sind; die leitfähigen Kontakte 122 der Dies 114-2 sind über DTPS-Verbindungen 150-2 mit leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 gekoppelt und die leitfähigen Kontakte 124 der Dies 114-2 sind über DTD-Verbindungen 130 mit leitfähigen Kontakten 124 an der oberen Oberfläche des Dies 114 gekoppelt (z.B. wie oben Bezug nehmend auf 4 erörtert wurde). Zusätzlich ist ein Die 114-3 (oder mehrere Dies 114-3, nicht gezeigt) mit dem Die 114-1 gekoppelt, durch leitfähige Kontakte 124 auf benachbarten Oberflächen dieser Dies 114 und intervenierender DTD-Verbindungen 130-2 (z.B. wie oben erörtert Bezug nehmend auf 1).
  • Wie vorangehend erwähnt, kann irgendeine geeignete Anzahl der Dies 114 in einer mikroelektronischen Anordnung 100 doppelseitige Dies 114 sein. Zum Beispiel stellt 6 eine mikroelektronische Anordnung 100 dar, die eine Anzahl von Elementen gemeinsam mit 1 verwendet, jedoch einen doppelseitigen Die 114-6 umfasst. Der Die 114-6 umfasst leitfähige Kontakte 122 und 124 an seiner unteren Oberfläche; die leitfähigen Kontakte 122 an der unteren Oberfläche des Dies 114-6 sind über DTPS-Verbindungen 150-2 mit leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 gekoppelt und die leitfähigen Kontakte 124 an der unteren Oberfläche des Dies 114-6 sind über DTD-Verbindungen 130-1 mit leitfähigen Kontakten 124 an der oberen Oberfläche des Dies 114-1 gekoppelt. Der Die 114-6 umfasst auch leitfähige Kontakte 124 an seiner oberen Oberfläche; diese leitfähigen Kontakte 124 sind durch DTD-Verbindungen 130-3 mit leitfähigen Kontakten 124 an der unteren Oberfläche eines Dies 114-7 gekoppelt.
  • Wie vorangehend erwähnt wurde, kann ein Package-Substrat 102 eine oder mehrere Aussparungen 108, in denen Dies 114 zumindest teilweise angeordnet sind, umfassen. Zum Beispiel stellt 7 eine mikroelektronische Anordnung 100, umfassend ein Package-Substrat 102 mit zwei Aussparungen, dar: einer Aussparung 108-1 und einer Aussparung 108-2. Bei dem Ausführungsbeispiel der 7 ist die Aussparung 108-1 in der Aussparung 108-2 verschachtelt, aber bei anderen Ausführungsbeispielen müssen mehrere Aussparungen 108 nicht notwendigerweise verschachtelt zu sein. In 7 ist der Die 114-1 zumindest teilweise in der Aussparung 108-1 angeordnet und die Dies 114-6 und 114-3 sind zumindest teilweise in der Aussparung 108-2 angeordnet. Bei dem Ausführungsbeispiel der 7, wie dem Ausführungsbeispiel von 6, umfasst der Die 114-6 leitfähige Kontakte 122 und 124 an seiner unteren Oberfläche, die leitfähigen Kontakte 122 an der unteren Oberfläche des Dies 114-6 sind über DTPS-Verbindungen 150-2 mit leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 gekoppelt und die leitfähigen Kontakte 124 an der unteren Oberfläche des Dies 114-6 sind über DTD-Verbindungen 130-1 mit leitfähigen Kontakten 124 an der oberen Oberfläche des Dies 114-1 gekoppelt. Der Die 114-6 umfasst auch leitfähige Kontakte 124 an seiner oberen Oberfläche; diese leitfähigen Kontakte 124 sind durch DTD-Verbindungen 130-3 mit leitfähigen Kontakten 124 an der unteren Oberfläche eines Dies 114-7 gekoppelt. Ferner umfasst die mikroelektronische Anordnung 100 von 7 einen Die 114-8, der das Package-Substrat 102 und den Die 114-6 überspannt. Insbesondere umfasst der Die 114-8 leitfähige Kontakte 122 und 124 an seiner unteren Oberfläche, die leitfähigen Kontakte 122 an der unteren Oberfläche des Dies 114-8 sind über DTPS-Verbindungen 150-3 mit leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 gekoppelt und die leitfähigen Kontakte 124 an der unteren Oberfläche des Dies 114-8 sind über DTD-Verbindungen 130-4 mit leitfähigen Kontakten 124 an der oberen Oberfläche des Dies 114-6 gekoppelt.
  • Bei verschiedenen der hierin offenbarten mikroelektronischen Anordnungen 100 kann ein einzelner Die 114 zu anderen Dies 114 von „unten“ (z.B. wie vorstehend Bezug nehmend auf die 4 und 5 erörtert) oder von „oben“ überbrücken. Zum Beispiel stellt 8 eine mikroelektronische Anordnung 100 ähnlich zu der mikroelektronischen Anordnung 100 von 7, jedoch umfassend zwei doppelseitige Dies 114-9 und 114-10 sowie einen zusätzlichen Die 114-11, dar. Der Die 114-9 umfasst leitfähige Kontakte 122 und 124 an seiner unteren Oberfläche; die leitfähigen Kontakte 122 an der unteren Oberfläche des Dies 114-9 sind über DTPS-Verbindungen 150-3 mit leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 gekoppelt und die leitfähigen Kontakte 124 an der unteren Oberfläche des Dies 114-9 sind über DTD-Verbindungen 130-4 mit leitfähigen Kontakten 124 an der oberen Oberfläche des Dies 114-6 gekoppelt. Der Die 114-6 umfasst leitfähige Kontakte 124 an seiner oberen Oberfläche; diese leitfähigen Kontakte 124 sind durch DTD-Verbindungen 130-3 mit leitfähigen Kontakten 124 an der unteren Oberfläche eines Dies 114-10 gekoppelt. Ferner umfasst der Die 114-11 leitfähige Kontakte 124 an seiner unteren Oberfläche; einige dieser leitfähigen Kontakte 124 sind durch DTD-Verbindungen 130-6 mit leitfähigen Kontakten 124 an der oberen Oberfläche des Dies 114-9 gekoppelt und einige dieser leitfähigen Kontakte 124 sind durch DTD-Verbindungen 130-5 mit leitfähigen Kontakten 124 an der oberen Oberfläche des Dies 114-10 gekoppelt. Der Die 114-11 kann somit die Dies 114-9 und 114-10 überbrücken.
  • Wie vorstehend erwähnt, umfasst bei einigen Ausführungsbeispielen das Package-Substrat 102 möglicherweise keine Aussparungen 108. Zum Beispiel stellt 9 ein Ausführungsbeispiel mit Dies 114 und einem Package-Substrat 102 dar, die gegenseitig in der Weise verbunden sind, die vorstehend Bezug nehmend auf 1 erörtert ist, jedoch wobei der Die 114-1 nicht in einer Aussparung in dem Package-Substrat 102 angeordnet ist. Stattdessen sind die Dies 114 über einem planaren Abschnitt der oberen Oberfläche des Package-Substrats 102 angeordnet. Irgendein geeignetes von den hierin offenbarten Ausführungsbeispielen, die Aussparungen 108 umfassen, kann Gegenstück-Ausführungsbeispiele aufweisen, die keine Aussparung 108 umfassen. Zum Beispiel stellt 10 eine mikroelektronische Anordnung 100 mit Dies 114 und einem Package-Substrat 102 dar, die gegenseitig in der Weise verbunden sind, die vorstehend Bezug nehmend auf 4 erörtert ist, jedoch wobei der Die 114-1 nicht in einer Aussparung in dem Package-Substrat 102 angeordnet ist.
  • Irgendeine der Anordnungen von Dies 114, die in irgendeiner der beiliegenden Figuren dargestellt ist, kann Teil einer sich wiederholenden Struktur in einer mikroelektronischen Anordnung 100 sein. Zum Beispiel stellt 11 einen Abschnitt einer mikroelektronischen Anordnung 100 dar, in der eine Anordnung wie diejenige von 10 wiederholt ist, mit mehreren Dies 114-1 und mehreren Dies 114-2. Die Dies 114-1 können zu den benachbarten Dies 114-2 überbrücken. Allgemeiner können die hierin offenbarten mikroelektronischen Anordnungen 100 irgendeine geeignete Anordnung von Dies 114 umfassen. 12-16 sind Draufsichten von Beispielanordnungen von mehreren Dies 114 in verschiedenen mikroelektronischen Anordnungen 100 gemäß verschiedenen Ausführungsbeispielen. Das Package-Substrat 102 ist bei den 12-16 weggelassen; einige oder alle der Dies 114 in diesen Anordnungen können zumindest teilweise in einer Aussparung 108 in einem Package-Substrat 102 angeordnet sein oder sind möglicherweise nicht in einer Aussparung eines Package-Substrats 102 angeordnet. Bei den Anordnungen von 12-16 können die unterschiedlichen Dies 114 irgendeine geeignete Schaltungsanordnung umfassen. Beispielsweise kann bei einigen Ausführungsbeispielen der Die 114A ein aktiver oder passiver Die sein und die Dies 114B können eine Eingangs-/Ausgangs-Schaltungsanordnung, einen Hohe-Bandbreite-Speicher und/oder einen erweiterten dynamischen Direktzugriffsspeicher (EDRAM; enhanced dynamic random access memory) umfassen. Die Arrays von 12-16 sind weitgehend rechteckig, aber die Dies 114 können in irgendeiner geeigneten Anordnung positioniert sein (z. B. einer nicht rechteckigen Anordnung, wie einer dreieckigen Anordnung, einer hexagonalen Anordnung usw.). Obwohl hier die Dies 114 mit rechteckigen Grundflächen abgebildet sind, können die Dies 114 irgendwelche gewünschten Grundflächen haben (z.B. dreieckig, sechseckig, usw.), und solche Dies 114 können in irgendeinem gewünschten Array (z.B. dreieckig, sechseckig, usw.) angeordnet sein.
  • 12 stellt eine Anordnung dar, in der ein Die 114A unter mehreren unterschiedlichen Dies 114B angeordnet ist. Der Die 114A kann mit einem Package-Substrat 102 (nicht gezeigt) in irgendeiner der hierin Bezug nehmend auf den Die 114-1 offenbarten Weisen verbunden sein, während die Dies 114B das Package-Substrat 102 und den Die 114A (z.B. in irgendeiner der hierin Bezug nehmend auf den Die 114-2 offenbarten Weisen) überspannen können. 12 stellt auch den Die 114C dar, der auf dem Die 114A (z.B. in der hierin Bezug nehmend auf den Die 114-3 offenbarten Weise) angeordnet ist. In 12 „überlappen“ die Dies 114B die Ränder und/oder die Ecken des Dies 114A, während der Die 114C gänzlich über dem Die 114A ist. Ein Platzieren von Dies 114B zumindest teilweise über den Ecken des Dies 114A kann die Routing-Überlastung in dem Die 114A reduzieren und kann die Nutzung des Dies 114A (z.B. im Fall, dass die Anzahl von Eingängen/Ausgängen, die zwischen dem Die 114A und den Dies 114B benötigt wird, nicht ausreichend groß ist, um den vollen Rand des Dies 114A zu erfordern) verbessern. Bei einigen Ausführungsbeispielen kann der Die 114A in einer Aussparung 108 in einem Package-Substrat 102 angeordnet sein. Bei einigen Ausführungsbeispielen kann der Die 114A in einer Aussparung 108 in einem Package-Substrat 102 angeordnet sein und die Dies 114B können in einer oder mehreren Aussparungen 108 in dem Package-Substrat 102 angeordnet sein. Bei einigen Ausführungsbeispielen ist möglicherweise keiner der Dies 114A oder 114B in Aussparungen 108 angeordnet.
  • 13 stellt eine Anordnung dar, in der ein Die 114A unter mehreren unterschiedlichen Dies 114B angeordnet ist. Der Die 114A kann mit einem Package-Substrat 102 (nicht gezeigt) in irgendeiner der hierin Bezug nehmend auf den Die 114-1 offenbarten Weisen verbunden sein, während die Dies 114B das Package-Substrat 102 und den Die 114A (z.B. in irgendeiner der hierin Bezug nehmend auf den Die 114-2 offenbarten Weisen) überspannen können. 13 stellt auch Dies 114C dar, die auf dem Die 114A (z.B. in der hierin Bezug nehmend auf den Die 114-3 offenbarten Weise) angeordnet sind. In 13 „überlappen“ die Dies 114B die Ränder des Dies 114A, während die Dies 114C gänzlich über dem Die 114A sind. Bei einigen Ausführungsbeispielen kann der Die 114A in einer Aussparung 108 in einem Package-Substrat 102 angeordnet sein. Bei einigen Ausführungsbeispielen kann der Die 114A in einer Aussparung 108 in einem Package-Substrat 102 angeordnet sein und die Dies 114B können in einer oder mehreren Aussparungen 108 in dem Package-Substrat 102 angeordnet sein. Bei einigen Ausführungsbeispielen ist möglicherweise keiner der Dies 114A oder 114B in Aussparungen 108 angeordnet. Bei dem Ausführungsbeispiel der 13 können die Dies 114B und 114C in einem Abschnitt eines rechteckigen Arrays angeordnet sein. Bei einigen Ausführungsbeispielen können zwei Dies 114A den Platz des einzelnen Dies 114A, der in 13 dargestellt ist, einnehmen und ein oder mehrere Dies 114C können die zwei Dies 114A „überbrücken“ (z.B. in der Weise, wie unten Bezug nehmend auf 15 erörtert wurde).
  • 14 stellt eine Anordnung dar, in der ein Die 114A unter mehreren unterschiedlichen Dies 114B angeordnet ist. Der Die 114A kann mit einem Package-Substrat 102 (nicht gezeigt) in irgendeiner der hierin Bezug nehmend auf den Die 114-1 offenbarten Weisen verbunden sein, während die Dies 114B das Package-Substrat 102 und den Die 114A (z.B. in irgendeiner der hierin Bezug nehmend auf den Die 114-2 offenbarten Weisen) überspannen können. In 14 „überlappen“ die Dies 114B die Ränder und/oder die Ecken des Dies 114A. Bei einigen Ausführungsbeispielen kann der Die 114A in einer Aussparung 108 in einem Package-Substrat 102 angeordnet sein. Bei einigen Ausführungsbeispielen kann der Die 114A in einer Aussparung 108 in einem Package-Substrat 102 angeordnet sein und die Dies 114B können in einer oder mehreren Aussparungen 108 in dem Package-Substrat 102 angeordnet sein. Bei einigen Ausführungsbeispielen ist möglicherweise keiner der Dies 114A oder 114B in Aussparungen 108 angeordnet. Bei dem Ausführungsbeispiel der 14 können die Dies 114B in einem Abschnitt eines rechteckigen Arrays angeordnet sein.
  • 15 stellt eine Anordnung dar, in der mehrere Dies 114A unter mehreren unterschiedlichen Dies 114B angeordnet sind, so dass jeder Die 114A zwei oder mehr horizontal oder vertikal benachbarte Dies 114B überbrückt. Die Dies 114A können mit einem Package-Substrat 102 (nicht gezeigt) in irgendeiner der hierin Bezug nehmend auf den Die 114-1 offenbarten Weisen verbunden sein, während die Dies 114B das Package-Substrat 102 und den Die 114A (z.B. In irgendeiner der hierin Bezug nehmend auf den Die 114-2 offenbarten Weisen) überspannen können. In 12 „überlappen“ die Dies 114B die Ränder der benachbarten Dies 114A. Bei einigen Ausführungsbeispielen können die Dies 114A in einer oder mehreren Aussparungen 108 in einem Package-Substrat 102 angeordnet sein. Bei einigen Ausführungsbeispielen können die Dies 114A in einer oder mehreren Aussparungen 108 in einem Package-Substrat 102 angeordnet sein und die Dies 114B können in einer oder mehreren Aussparungen 108 in dem Package-Substrat 102 angeordnet sein. Bei einigen Ausführungsbeispielen ist möglicherweise keiner der Dies 114A oder 114B in Aussparungen 108 angeordnet. In 15 können die Dies 114A und die Dies 114B in rechteckigen Arrays angeordnet sein.
  • 16 stellt eine Anordnung dar, in der mehrere Dies 114A unter mehreren unterschiedlichen Dies 114B angeordnet sind, so dass jeder Die 114A die vier diagonal benachbarten Dies 114B überbrückt. Die Dies 114A können mit einem Package-Substrat 102 (nicht gezeigt) in irgendeiner der hierin Bezug nehmend auf den Die 114-1 offenbarten Weisen verbunden sein, während die Dies 114B das Package-Substrat 102 und den Die 114A (z.B. In irgendeiner der hierin Bezug nehmend auf den Die 114-2 offenbarten Weisen) überspannen können. In 12 „überlappen“ die Dies 114B die Ecken der benachbarten Dies 114A. Bei einigen Ausführungsbeispielen können die Dies 114A in einer oder mehreren Aussparungen 108 in einem Package-Substrat 102 angeordnet sein. Bei einigen Ausführungsbeispielen können die Dies 114A in einer oder mehreren Aussparungen 108 in einem Package-Substrat 102 angeordnet sein und die Dies 114B können in einer oder mehreren Aussparungen 108 in dem Package-Substrat 102 angeordnet sein. Bei einigen Ausführungsbeispielen ist möglicherweise keiner der Dies 114A oder 114B in Aussparungen 108 angeordnet. In 16 können die Dies 114A und die Dies 114B in rechteckigen Arrays angeordnet sein.
  • Irgendwelche geeigneten Techniken können verwendet werden, um die hierin offenbarten mikroelektronischen Anordnungen herzustellen. Zum Beispiel stellen 17A-17F Seiten-Querschnittsansichten verschiedener Stufen in einem Beispielprozess zur Herstellung der mikroelektronischen Anordnung 100 von 5 gemäß verschiedenen Ausführungsbeispielen dar. Obwohl die Operationen, die nachfolgend Bezug nehmend auf die 17A-17F (und andere der beiliegenden Zeichnungen, die Herstellungsprozesse darstellen) erörtert sind, in einer bestimmten Reihenfolge dargestellt sind, können diese Operationen in irgendeiner geeigneten Reihenfolge durchgeführt werden. Zusätzlich, obwohl bestimmte Anordnungen in den 17A-17F (und anderen der beiliegenden Zeichnungen, die Herstellungsprozesse darstellen) dargestellt sind, können die nachfolgend Bezug nehmend auf die 17A-17F erörterten Operationen zum Bilden von irgendwelchen geeigneten Anordnungen verwendet werden. Bei einigen Ausführungsbeispielen können mikroelektronische Anordnungen 100, die hergestellt werden gemäß dem Prozess der 17A-17F (z.B. irgendeine der mikroelektronischen Anordnungen 100 der 1-11), DTPS-Verbindungen 150-1 aufweisen, die Lötverbindungen sind, und DTD-Verbindungen 130-1 und 130-2, die Nichtlötverbindungen (z.B. Metall-zu-Metall-Verbindungen oder Anisotropes-Leitfähiges-Material-Verbindungen) sind. Bei dem Ausführungsbeispiel der 17A-17F können die Dies 114 zuerst in einen „Verbund-Die“ angeordnet sein und dann kann der Verbund-Die mit dem Package-Substrat 102 gekoppelt werden. Dieser Ansatz kann engere Toleranzen in der Bildung der DTD-Verbindungen 130 erlauben und kann für relativ kleine Dies 114 besonders wünschenswert sein.
  • 17A stellt eine Anordnung 300, umfassend einen Träger 202, auf dem die Dies 114-2 und 114-3 angeordnet sind, dar. Die Dies 114-2 und 114-3 sind auf dem Träger 202 „umgedreht“, in dem Sinne, dass die leitfähigen Kontakte 122 und 124 der Dies 114 von dem Träger 202 abgewandt sind und die leitfähigen Kontakte 124 des Dies 114-3 von dem Träger 202 abgewandt sind. Die Dies 114-2 und 114-3 können unter Verwendung irgendeiner geeigneten Technik, wie beispielsweise eines entfernbaren Klebemittels, an dem Träger befestigt sein. Der Träger 202 kann irgendein geeignetes Material zum Bereitstellen von mechanischer Stabilität während nachfolgender Herstellungsoperationen umfassen.
  • 17B stellt eine Anordnung 302 nachfolgend auf ein Koppeln des Dies 114-1 mit den Dies 114-2 und 114-3 dar. Insbesondere kann der Die 114-1 „umgedreht“ in der Anordnung 302 angeordnet sein, so dass die leitfähigen Kontakte 124 des Dies 114-1 mit den leitfähigen Kontakten 124 der Dies 114-2 (über DTD-Verbindungen 130-1) und mit den leitfähigen Kontakten 124 des Dies 114-3 (über DTD-Verbindungen 130-2) gekoppelt sein können. Irgendeine geeignete Technik kann verwendet werden, um die DTD-Verbindungen 130 der Anordnung 302 zu bilden, wie beispielsweise Metall-zu-Metall-Anbringungstechniken, Löttechniken oder Anisotropes-leitfähiges-Material-Techniken.
  • 17C stellt eine Anordnung 304 dar, umfassend ein Package-Substrat 203. Das Package-Substrat 203 kann strukturell ähnlich zu dem Package-Substrat 102 von 5 sein, umfasst jedoch möglicherweise nicht die Aussparung 108 des Package-Substrats 102. Bei einigen Ausführungsbeispielen kann das Package-Substrat 203 unter Verwendung von Standard-PCB-Herstellungsprozessen hergestellt werden und somit kann das Package-Substrat 203 die Form einer PCB annehmen, wie vorangehend erörtert wurde. Bei einigen Ausführungsbeispielen kann das Package-Substrat 203 ein Satz von Redistributionsschichten sein, gebildet auf einem Panel-Träger (nicht gezeigt) durch Laminieren oder Spinning auf einem dielektrischen Material und Erzeugen von leitfähigen Vias und Leitungen durch Laserbohren und Plattieren. Irgendein im Stand der Technik bekanntes Verfahren zur Herstellung des Package-Substrats 203 kann verwendet werden und der Kürze halber werden solche Verfahren hierin nicht detaillierter erörtert.
  • 17D stellt eine Anordnung 306 nachfolgend auf ein Bilden einer Aussparung 108 in dem Package-Substrat 203 (17C) zum Bilden des Package-Substrats 102 dar. Die Aussparung 108 kann eine untere Oberfläche aufweisen, an der leitfähige Kontakte 146 freigelegt sind. Irgendeine geeignete Technik kann verwendet werden, um die Aussparung 108 zu bilden. Beispielsweise kann bei einigen Ausführungsbeispielen die Aussparung 108 zu einem planaren Metallstopp in dem Package-Substrat 203 (nicht gezeigt) abwärts-lasergebohrt sein; Sobald der Metallstopp erreicht ist, kann der Metallstopp entfernt werden, um die leitfähigen Kontakte 146 am Boden der Aussparung 108 freizulegen. Bei einigen Ausführungsbeispielen kann die Aussparung 108 durch einen mechanischen Bohrer gebildet werden.
  • 17E stellt eine Anordnung 308 nachfolgend auf ein „Umdrehen“ der Anordnung 302 (17B) und Ausrichten der Dies 114-1 und 114-2 mit dem Package-Substrat 102 ( 17D) dar, so dass die leitfähigen Kontakte 122 auf den Dies 114-1 und 114-2 mit ihren jeweiligen leitfähigen Kontakten 146 auf der oberen Oberfläche des Package-Substrats 102 ausgerichtet sind.
  • 17F stellt eine Anordnung 310 nachfolgend auf ein Bilden von DTPS-Verbindungen 150 zwischen den Dies 114-1/114-2 und dem Package-Substrat 102 der Anordnung 308 (17E) und anschließendes Entfernen des Trägers dar. Die DTPS-Verbindungen 150 können irgendeine der hierin offenbarten Formen annehmen (z.B. Lötverbindungen oder Anisotropes-Leitfähiges-Material-Verbindungen) und irgendwelche geeigneten Techniken können verwendet werden, um die DTPS-Verbindungen 150 zu bilden (z.B. einen Masse-Wideraufschmelzprozess oder einen Thermokompressions-Bondprozess). Die Anordnung 310 kann die Form der mikroelektronischen Anordnung 100 von 5 annehmen. Weitere Operationen können, falls geeignet, durchgeführt werden (z.B. Bereitstellen eines Formmaterials 127, Bereitstellen eines TIM 129, Bereitstellen eines Wärmeverteilers 131, Anbringen zusätzlicher Dies 114 an das Package-Substrat 102 etc.).
  • 18A-18B stellen Seiten-Querschnittsansichten verschiedener Stufen in einem anderen Beispielprozess zur Herstellung der mikroelektronischen Anordnung von 5 gemäß verschiedenen Ausführungsbeispielen dar. Bei einigen Ausführungsbeispielen können mikroelektronische Anordnungen 100, die hergestellt werden gemäß dem Prozess der 18A-18B (z.B. irgendeine der mikroelektronischen Anordnungen 100 der 1-11), DTPS-Verbindungen 150-1 aufweisen, die Lötverbindungen sind, und DTD-Verbindungen 130-1 und 130-2, die ebenfalls Lötverbindungen sind. Bei dem Ausführungsbeispiel der 18A-18B kann der Die 114-1 mit dem Package-Substrat 102 gekoppelt werden und dann können die übrigen Dies 114 angebracht werden. Dieser Ansatz kann der Toleranz und Wölbung des Package-Substrats 102 Rechnung tragen und kann besonders für relativ große Dies 114 wünschenswert sein. Der Prozess der 17A-17F kann vorteilhaft kompatibler mit Nichtlöt-DTD-Verbindungen 130 sein, während der Prozess der 18A-18B vorteilhaft eine einfachere Handhabung der Dies 114 umfassen kann.
  • 18A stellt eine Anordnung 312 nachfolgend auf ein Koppeln des Dies 114-1 mit dem Package-Substrat 102 dar. Insbesondere kann der Die 114-1 in der Aussparung 108 positioniert sein und die leitfähigen Kontakte 122 an der unteren Oberfläche des Dies 114-1 können mit leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 durch DTPS-Verbindungen 150-1 gekoppelt sein. Die DTPS-Verbindungen 150-1 können die Form von irgendeinem der hierin offenbarten Ausführungsbeispiele annehmen, wie beispielsweise Lötverbindungen oder Anisotropes-Leitfähiges-Material-Verbindungen. Das Package-Substrat 102 kann gemäß irgendeiner der Techniken gebildet werden, die oben Bezug nehmend auf die 17C-17D erörtert werden.
  • 18B stellt eine Anordnung 314 nachfolgend auf ein Koppeln der Dies 114-2 und 114-3 mit der Anordnung 312 (18A) dar. Insbesondere können die leitfähigen Kontakte 124 des Dies 114-1 mit den leitfähigen Kontakten 124 der Dies 114-2 (über DTD-Verbindungen 130-1) und mit den leitfähigen Kontakten 124 des Dies 114-3 (über DTD-Verbindungen 130-2) gekoppelt sein. Ferner können die leitfähigen Kontakte 122 der Dies 114-2 mit leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 über DTPS-Verbindungen 150-2 gekoppelt sein. Irgendeine geeignete Technik kann verwendet werden, um die DTD-Verbindungen 130-1 und 130-2 und die DTPS-Verbindungen 150-2 der Anordnung 314 zu bilden, wie beispielsweise Löttechniken oder Anisotropes-leitfähiges-Material-Techniken. Beispielsweise können die DTPS-Verbindungen 150-2 und die DTD-Verbindungen 130-1/130-2 Lötverbindungen sein. Die Anordnung 314 kann die Form der mikroelektronischen Anordnung 100 von 5 annehmen. Weitere Operationen können, falls geeignet, durchgeführt werden (z.B. Bereitstellen eines Formmaterials 127, Bereitstellen eines TIM 129, Bereitstellen eines Wärmeverteilers 131, Anbringen zusätzlicher Dies 114 an das Package-Substrat 102 etc.).
  • 19A-19H sind Seiten-Querschnittsansichten verschiedener Stufen in einem anderen Beispielprozess zur Herstellung der mikroelektronischen Anordnung von 5 gemäß verschiedenen Ausführungsbeispielen. Bei einigen Ausführungsbeispielen können mikroelektronische Anordnungen 100, die hergestellt werden gemäß dem Prozess der 19A-19H (z.B. irgendeine der mikroelektronischen Anordnungen 100 der 1-11), DTPS-Verbindungen 150-1 aufweisen, die Nichtlötverbindungen sind (z.B. Anisotropes-Leitfähiges-Material-Verbindungen) und DTD-Verbindungen 130-1 und 130-2, die Lötverbindungen sind.
  • 19A stellt eine Anordnung 315 dar, umfassend einen Package-Substrat-Abschnitt 113 auf einem Träger 202. Der Package-Substrat-Abschnitt 113 kann der „obere“ Abschnitt des Package-Substrats 102 sein, wie nachfolgend weiter erörtert, und kann leitfähige Kontakte 146 an der Oberfläche des Package-Substrat-Abschnitts 113, die von dem Träger 202 abgewandt sind, umfassen. Der Träger 202 kann irgendeine der hierin erörterten Formen annehmen. Der Package-Substrat-Abschnitt 113 kann auf dem Träger 202 unter Verwendung irgendeiner geeigneten Technik, wie beispielsweise einer Redistributionsschichttechnik, gebildet werden.
  • 19B stellt eine Anordnung 316 nachfolgend auf ein Bilden eines Hohlraums 111 in dem Package-Substrat-Abschnitt 113 der Anordnung 315 (19A) dar. Der Hohlraum 111 kann beispielsweise unter Verwendung irgendeiner der Techniken, die vorangehend Bezug nehmend auf die Aussparung 108 von 17D erörtert wurden, gebildet werden. Wie im Folgenden ausführlicher erörtert wird, kann der Hohlraum 111 der Aussparung 108 entsprechen.
  • 19C stellt eine Anordnung 318 nachfolgend auf ein Positionieren des Dies 114-1 in dem Hohlraum 111 der Anordnung 316 (19B) dar. Der Die 114-1 kann in dem Hohlraum 111 positioniert werden, so dass die leitfähigen Kontakte 122 dem Träger 202 zugewandt sind und die leitfähigen Kontakte 124 von dem Träger 202 abgewandt sind. Bei einigen Ausführungsbeispielen kann eine Pick-and-Place-Maschine verwendet werden, um den Die 114-1 in dem Hohlraum 111 auf dem Träger 202 zu positionieren.
  • 19D stellt eine Anordnung 320 nach einem Koppeln der Dies 114-2 und 114-3 mit der Anordnung 318 (19C) und dem Bereitstellen eines Formmaterials 127 um die Dies 114 dar. Insbesondere können die leitfähigen Kontakte 124 des Dies 114-1 mit den leitfähigen Kontakten 124 der Dies 114-2 (über DTD-Verbindungen 130-1) und mit den leitfähigen Kontakten 124 des Dies 114-3 (über DTD-Verbindungen 130-2) gekoppelt sein. Ferner können die leitfähigen Kontakte 122 der Dies 114-2 mit leitfähigen Kontakten 146 an der oberen Oberfläche des Package-Substrats 102 über DTPS-Verbindungen 150-2 gekoppelt werden. Irgendeine geeignete Technik kann verwendet werden, um die DTD-Verbindungen 130-1 und 130-2 und die DTPS-Verbindungen 150-2 der Anordnung 314 zu bilden, wie beispielsweise Löttechniken oder Anisotropes-leitfähiges-Material-Techniken. Beispielsweise können die DTPS-Verbindungen 150-2 und die DTD-Verbindungen 130-1/130-2 Lötverbindungen sein. Das Formmaterial 127 kann irgendeine der hierin offenbarten Formen annehmen und kann eine mechanische Unterstützung für weitere Herstellungsoperationen bereitstellen.
  • 19F stellt eine Anordnung 321 nachfolgend auf ein Anbringen eines anderen Trägers 204 an der oberen Oberfläche der Anordnung 320 (19D) dar. Der Träger 204 kann die Form von irgendeinem der hierin offenbarten Ausführungsbeispiele des Trägers 202 annehmen.
  • 19F stellt eine Anordnung 322 nachfolgend auf ein Entfernen des Trägers 202 von der Anordnung 321 (19E) und Umdrehen des Ergebnisses, so dass der Package-Substrat-Abschnitt 113 und die leitfähigen Kontakte 122 des Dies 114-1 freigelegt sind, dar.
  • 19G stellt eine Anordnung 324 nachfolgend auf ein Bilden eines zusätzlichen Package-Substrat-Abschnitts 115 auf dem Package-Substrat-Abschnitt 113 der Anordnung 322 ( 19F) zum Bilden des Package-Substrats 102 dar. Irgendeine geeignete Technik kann zum Bilden des Package-Substrat-Abschnitts 113 verwendet werden, umfassend irgendeine der vorangehend Bezug nehmend auf 19A offenbarten Techniken, eine Höckerlose-Aufbauschicht-(bumpless build-up layer) Technik, eine trägerbasierte Kernloses-Package-Substrat-Herstellungstechnik auf Panel-Ebene oder eine eingebettete Panel-Ebenen-Verbindungstechnik. Bei einigen Ausführungsbeispielen kann das Bilden des Package-Substrat-Abschnitts 115 ein Plattieren der leitfähigen Kontakte 122 des Dies 114-1 mit einem Metall oder anderen leitfähigen Material als Teil eines Bildens der benachbarten leitfähigen Kontakte 146 des Package-Substrats 102 umfassen; folglich können die DTPS-Verbindungen 150-1 zwischen dem Die 114-1 und dem Package-Substrat 102 plattierte Verbindungen sein.
  • 19H stellt eine Anordnung 325 nachfolgend auf ein Entfernen des Trägers 204 von der Anordnung 324 (19G) und ein Umdrehen des Ergebnisses dar. Die Anordnung 325 kann die Form der mikroelektronischen Anordnung 100 von 5 annehmen. Weitere Operationen können, falls geeignet, durchgeführt werden (z.B. Bereitstellen eines TIM 129, Bereitstellen eines Wärmeverteilers 131, Anbringen von zusätzlichen Dies 114 an dem Package-Substrat 102 etc.).
  • Bei den mikroelektronischen Anordnungen 100, die oben unter Bezugnahme auf 1-11 erörtert wurden, ist der Die 114-1 direkt mit zumindest einem Die 114-2 ohne irgendeinen intervenierenden Abschnitt des Package-Substrats 102 gekoppelt. Bei anderen Ausführungsbeispielen der mikroelektronischen Anordnungen 100, die hierin offenbart sind, kann ein Abschnitt des Package-Substrats 102 zwischen einem eingebetteten Die 114-1 und einem Die 114-2 angeordnet sein. 20-22 sind Seiten-Querschnittsansichten von mikroelektronischen Beispiel-Anordnungen 100, die ein solches Merkmal umfassen, gemäß verschiedenen Ausführungsbeispielen. Insbesondere stellen 20-22 Anordnungen von Dies 114-1, 114-2, 114-3 und 114-4 dar, die ähnlich zu der Anordnung sind, die in 1 dargestellt ist, die jedoch ferner einen Package-Substrat-Abschnitt 148 zwischen der oberen Oberfläche des Dies 114-1 und der oberen Oberfläche des Package-Substrats 102 umfassen. Die Dies 114-2, 114-3 und 114-4 können alle mit diesen Package-Substrat-Abschnitt 148 gekoppelt sein. Beispielsweise kann der Die 114-1 leitfähige Kontakte 122 an seiner unteren Oberfläche umfassen, die mit leitfähigen Kontakten 146 des Package-Substrats 102 über DTPS-Verbindungen 150-1 gekoppelt sind, und der Die 114-1 kann leitfähige Kontakte 122 an seiner oberen Oberfläche umfassen, die mit leitfähigen Kontakten 146 des Package-Substrats 102 (in dem Package-Substrat-Abschnitt 148) über DTPS-Verbindungen 150-4 gekoppelt sind.
  • Bei einigen Ausführungsbeispielen kann der Package-Substrat-Abschnitt 148 einen oder mehrere Bereiche 149 mit einer höheren Leitfähiger-Pfad-Dichte umfassen (z.B. die Bereiche, in denen die Grundfläche des Dies 114-2 die Grundfläche des Dies 114-1 überlappt, und der Package-Substrat-Abschnitt 148 leitfähige Pfade zwischen dem Die 114-2 und dem Die 114-1 umfasst, oder die Bereiche, in denen die Grundfläche des Dies 114-3 die Grundfläche des Dies 114-1 überlappt, und der Package-Substrat-Abschnitt 148 leitfähige Pfade zwischen dem Die 114-3 und dem Die 114-1 umfasst). Somit kann der Die 114-2 ein Gemischter-Abstand-Die sein, umfassend leitfähige Kontakte mit größerem Abstand 122A und leitfähige Kontakte mit kleinerem Abstand 122B; die leitfähigen Kontakte mit größerem Abstand 122A können mit leitfähigen Kontakten 146 auf der oberen Oberfläche des Package-Substrats 102 (die selbst mit leitfähigen Pfaden durch den Bulk des Package-Substrats 102 gekoppelt sind) gekoppelt sein (durch einige der DTPS-Verbindungen 150-2) und die leitfähigen Kontakte mit kleinerem Abstand 122B können mit leitfähigen Kontakten 146 auf der oberen Oberfläche des Package-Substrats 102 (die selbst mit leitfähigen Pfaden durch den Package-Substrat-Abschnitt 148 und mit dem Die 114-1 gekoppelt sind) gekoppelt sein (durch einige der DTPS-Verbindungen 150-2). Ähnlich kann der Abstand der leitfähigen Kontakte 122 an der unteren Oberfläche des Dies 114-3 (möglicherweise über die DTPS-Verbindungen 150-5 mit dichten leitfähigen Pfaden durch den Package-Substrat-Abschnitt 148 mit dem Die 114-1 gekoppelt) kleiner als der Abstand der leitfähigen Kontakte 122 an der unteren Oberfläche des Dies 114-4 (möglicherweise über die DTPS-Verbindungen 150-3 mit weniger dichten leitfähigen Pfaden durch das Package-Substrat 102 gekoppelt) sein. Das Package-Substrat 102 kann auch einen Abschnitt 151 benachbart zu dem Die 114-1 und einen Abschnitt 153 unter dem Die 114-1 umfassen.
  • Mikroelektronische Anordnungen 100, umfassend eingebettete Dies 114, können irgendeine geeignete Anordnung von Dies 114 umfassen. Beispielsweise kann irgendeine der in den 12-16 dargestellten Anordnungen mit dem Die 114A implementiert sein, der in ein Package-Substrat eingebettet ist, wobei die Dies 114A und 114B in ein Package-Substrat 102 eingebettet sind oder mit den Dies 114A, 114B und 114C, die in das Package-Substrat 102 eingebettet sind. Beispielsweise kann irgendeine der in den 1-11 dargestellten Anordnungen mit dem Die 114-1 (und optional mehreren der Dies 114) implementiert sein, der in ein Package-Substrat 102 eingebettet ist, gemäß irgendeinem der Ausführungsbeispiele von 20-22.
  • Irgendwelche geeigneten Techniken können verwendet werden, um mikroelektronische Anordnungen 100 mit einem eingebetteten Die 114-1 (z.B. mit einem Package-Substrat-Abschnitt 148 zwischen dem Die 114-1 und dem Die 114-2) herzustellen. Zum Beispiel stellen 21A-21B stellen Seiten-Querschnittsansichten verschiedener Stufen in einem Beispielprozess zur Herstellung der mikroelektronischen Anordnung 100 von 20 gemäß verschiedenen Ausführungsbeispielen dar. Bei einigen Ausführungsbeispielen können mikroelektronische Anordnungen 100, die gemäß dem Prozess der 21A-21B hergestellt werden, DTPS-Verbindungen 150-1, die Lötverbindungen sind, und DTPS-Verbindungen 150-4, die Nichtlötverbindungen sind (z.B. plattierte Verbindungen), aufweisen.
  • 21A stellt eine Anordnung 326 nachfolgend auf ein Bilden des Package-Substrat-Abschnitts 148 auf der Anordnung 312 (18A) dar. Der Package-Substrat-Abschnitt 148 kann unter Verwendung von irgendwelchen geeigneten Techniken gebildet werden, wie beispielsweise irgendeiner der Techniken, die vorangehend Bezug nehmend auf das Bilden des Package-Substrat-Abschnitts 115 von 19G beschrieben sind. Bei einigen Ausführungsbeispielen kann das Bilden des Package-Substrat-Abschnitts 148 ein Plattieren der leitfähigen Kontakte 122 des Dies 114-1 mit einem Metall oder anderen leitfähigen Material als Teil eines Bildens der benachbarten leitfähigen Kontakte 146 des Package-Substrats 102 umfassen; folglich können die DTPS-Verbindungen 150-4 zwischen dem Die 114-1 und dem Package-Substrat-Abschnitt 148 plattierte Verbindungen sein.
  • 21B stellt eine Anordnung 328 nach einem Anbringen der Dies 114-2, 114-3 und 114-4 an der Anordnung 326 (21A) dar. Irgendwelche geeigneten Techniken können verwendet werden, um die DTPS-Verbindungen 150 zwischen den Dies 114-2, 114-3 und 114-4 und dem Package-Substrat 102 zu bilden, wie beispielsweise Löttechniken oder Anisotropes-leitfähiges-Material-Techniken.
  • Wie oben erörtert, können also mikroelektronische Anordnungen 100 Package-Substrate 102 mit einer oder mehreren Aussparungen 108 in denselben umfassen (z.B., wie oben erörtert mit Bezug auf 1, 3 und 4-8). Zusätzlich können einige der hier offenbarten mikroelektronischen Anordnungen 100 ein Package-Substrat 102 umfassen, in dem als Teil des Herstellungsprozesses eine Aussparung gebildet ist (z.B. wie oben erörtert in Bezug auf die Herstellung der mikroelektronischen Anordnung 100 von 20 gemäß der Technik, dargestellt in 21). Wie oben weiter erwähnt, kann bei einigen Ausführungsbeispielen eine Aussparung 108 eine Tiefe 175 gleich zu oder kleiner als eine Dicke eines Lötmittelresistmaterials (nicht gezeigt) auf der oberen Oberfläche des Package-Substrats 102 aufweisen.
  • Allgemeiner ausgedrückt, kann irgendeine der hier offenbarten mikroelektronischen Anordnungen 100 ein fotodefinierbares Material oder Lötresistmaterial auf der oberen Oberfläche des Packaging-Substrats 102 umfassen, in dem eine oder mehrere Aussparungen 108 definiert sein können (z.B. irgendwelche der mikroelektronischen Anordnungen 100, die oben erörtert wurden mit Bezug auf 1, 3 und 4-8). Weiterhin kann irgendeine der mikroelektronischen Anordnungen 100, deren Herstellung die Bildung einer Aussparung in einem Package-Substrat 102 umfasst (z.B. wie oben erörtert mit Bezug auf 21A) die Bildung einer Aussparung in einem fotodefinierbaren Material oder Lötresistmaterial umfassen, wie hier offenbart.
  • 22-25 sind Seiten-Querschnittsansichten von Package-Substraten 102, die in einer mikroelektronischen Anordnung 100 gemäß verschiedenen Ausführungsbeispielen umfasst sein können. Insbesondere umfassen die Package-Substrate 102, dargestellt in 22-25, ein oder mehrere photodefinierbare Materialien 138. Wie hier verwendet, bezieht sich ein „photodefinierbares Material“ auf ein Material, das photokatalytische Komponenten umfasst, die das Material vernetzen oder löslich machen, wenn es einer geeigneten Beleuchtung ausgesetzt wird. Einige photodefinierbare Materialien 138 können einen negativen Ton haben (d.h. bei Belichtung härtet das Material so aus, dass es während der Entwicklung ätzresistent ist) und andere photodefinierbare Materialien 138 können einen positiven Ton haben (d.h. bei Belichtung härtet das Material so aus, dass es während der Entwicklung das Ätzen verbessert). Einige photodefinierbare Materialien 138 können Lötresistmaterialien sein, solche Materialien können während eines Reflow-Prozesses mit Lötmittel in Kontakt kommen (z.B. bei der Bildung von DTPS-Verbindungen 150). Bei einigen Ausführungsbeispielen kann ein photodefinierbares Material 138, das ein Lötresist ist, Barium und Schwefel (z.B. in Form von Bariumsulfat) umfassen. Bei einigen Ausführungsbeispielen kann ein photodefinierbares Material 138, das ein Lötresist ist, einen Siliziumdioxid-Füllstoff in einer Menge von 70 bis 90 Gewichtsprozent umfassen. Einige photodefinierbare Materialien können photoabbildbare dielektrische Materialien sein, wie z.B. Epoxide, die photokatalytische Komponenten umfassen. Bei einigen Ausführungsbeispielen kann ein photodefinierbares Material 138, das ein photoabbildbares Dielektrikum ist, einen Siliziumdioxid-Füllstoff in einer Menge von 20 bis 30 Gewichtsprozent umfassen. Einige fotodefinierbare Materialien können Aufbaumaterialien sein, wie z.B. ein Aufbaufilm. Bei einigen Ausführungsbeispielen kann ein photodefinierbares Material 138, das ein Aufbaumaterial ist, einen Siliziumdioxid-Füllstoff in einer Menge von 70 bis 80 Gewichtsprozent umfassen. Andere fotodefinierbare Materialien 138 können gegebenenfalls verwendet werden.
  • Das Package-Substrat 102 von 22 umfasst ein dielektrisches Material 139, ein erstes photodefinierbares Material 138-1, das auf dem dielektrischen Material 139 angeordnet ist, und ein zweites photodefinierbares Material 138-2, das auf dem ersten photodefinierbaren Material 138-1 angeordnet ist. Die Aussparung 108 wird durch Seitenwände 142 definiert, die durch das zweite photodefinierbare Material 138-2 bereitgestellt werden. Leitfähige Kontakte 146-1 am Boden der Aussparung 108 sind an der oberen Oberfläche des dielektrischen Materials 139 angeordnet, und DTPS-Verbindungen 150-1 (dargestellt als Lötmittel in 22) sind durch Öffnungen in dem ersten photodefinierbaren Material 138-1 in Kontakt mit den leitfähigen Kontakten 146-1. Leitfähige Kontakte 146-2 außerhalb der Aussparung 108 sind auf der oberen Oberfläche des dielektrischen Materials 139 angeordnet, und DTPS-Verbindungen 150-2 (dargestellt als Lötmittel in 22) sind mit den leitfähigen Kontakten 146 -2 durch Öffnungen in dem ersten photodefinierbaren Material 138-1 und dem zweiten photodefinierbaren Material 138-2 in Kontakt. Bei einigen Ausführungsbeispielen kann das dielektrische Material 139 ein Aufbaufilm (z.B. eine Epoxidmatrix mit Siliziumdioxid-Füllstoff) oder irgendeines der oben mit Bezug auf das isolierende Material eines Package-Substrats 102 besprochenen Materialien sein.
  • Das erste photodefinierbare Material 138-1 und das zweite photodefinierbare Material 138-2 können unterschiedliche Materialzusammensetzungen aufweisen. Bei einigen Ausführungsbeispielen können das erste photodefinierbare Material 138-1 und das zweite photodefinierbare Material 138-2 unterschiedliche Dosisempfindlichkeiten aufweisen (d.h. es werden unterschiedliche Beleuchtungsenergien benötigt, um die Materialien zu strukturieren). Bei einigen Ausführungsbeispielen können das erste photodefinierbare Material 138-1 und das zweite photodefinierbare Material 138-2 empfindlich (z.B. aushärtbar oder löslich) für elektromagnetische Energien unterschiedlicher Wellenlängen sein. Zum Beispiel kann das erste photodefinierbare Material 138-1 (das zweite photodefinierbare Material 138-2) empfindlich für I-Linien-Licht (z.B. mit einer Wellenlänge von 365 Nanometern) und das zweite photodefinierbare Material 138-2 (das erste photodefinierbare Material 138-1) empfindlich für H-Linien-Licht (z.B. mit einer Wellenlänge von 405 Nanometern) sein. Bei einigen Ausführungsbeispielen kann das zweite photodefinierbare Material 138-2 empfindlich für Beleuchtung mit einer niedrigeren Photonenenergie sein als das erste photodefinierbare Material 138-1.
  • Bei einigen Ausführungsbeispielen kann das erste fotodefinierbare Material 138-1 (zweite fotodefinierbare Material 138-2) einen negativen Ton aufweisen, während das zweite fotodefinierbare Material 138-2 (erste fotodefinierbare Material 138-1) einen positiven Ton aufweisen kann. Bei einigen Ausführungsbeispielen können das erste photodefinierbare Material 138-1 und das zweite photodefinierbare Material 138-2 den gleichen Ton aufweisen (z.B. beide positiv oder beide negativ). Bei einigen Ausführungsbeispielen können das erste photodefinierbare Material 138-1 und das zweite photodefinierbare Material 138-2 Lötresistmaterialien sein. Bei einigen Ausführungsbeispielen können das erste photodefinierbare Material 138-1 und das zweite photodefinierbare Material 138-2 photoabbildbare Dielektrika sein. Bei einigen Ausführungsbeispielen kann das erste photodefinierbare Material 138-1 ein Lötresistmaterial sein und das zweite photodefinierbare Material 138-2 kann ein photoabbildbares Dielektrikum sein. Bei einigen Ausführungsbeispielen kann das zweite photodefinierbare Material 138-2 einen Füllstoff (z.B. Siliziumdioxid) mit einem Füllstoffbruchteil umfassen, der größer ist als ein Füllstoffbruchteil des ersten photodefinierbaren Materials 138-1; dies kann die Auflösung der im ersten photodefinierbaren Material 138-1 gebildeten Öffnungen im Vergleich zu Ausführungsbeispielen verbessern, bei denen der Füllstoffbruchteil des zweiten photodefinierbaren Materials 138-2 hoch ist (und das zweite photodefinierbare Material 138-2 dick ist).
  • Die Abmessungen des Package-Substrats 102 können irgendwelche geeigneten Werte annehmen. Bei einigen Ausführungsbeispielen kann die Dicke 141-2 des zweiten photodefinierbaren Materials 138-2 größer sein als die Dicke 141-1 des ersten photodefinierbaren Materials 138-1. Bei einigen Ausführungsbeispielen kann die Dicke 141-1 zwischen 10 Mikrometern und 75 Mikrometern (z. B. zwischen 10 Mikrometern und 50 Mikrometern). Bei einigen Ausführungsbeispielen kann die Dicke 141-2 des zweiten photodefinierbaren Materials 138-2 (und damit die Tiefe 175 der Aussparung 108) zwischen 20 Mikrometern und 200 Mikrometern sein. Wie oben erörtert, kann bei einigen Ausführungen der Abstand der leitfähigen Kontakte 146-1 am Boden der Aussparung 108 größer sein als der Abstand der leitfähigen Kontakte 146-2 außerhalb der Aussparung 108. Beispielsweise kann bei einigen Ausführungsbeispielen der Abstand der leitfähigen Kontakte 146-1 zwischen 20 Mikrometern und 80 Mikrometern und der Abstand der leitfähigen Kontakte 146-2 kann zwischen 80 Mikrometern und 200 Mikrometern sein. Andere Abmessungen der leitfähigen Kontakte 146-1 können sich von analogen Abmessungen der leitfähigen Kontakte 146-2 unterscheiden (z.B. Durchmesser der leitfähigen Kontakte 146, usw.).
  • Bei dem Ausführungsbeispiel der 22 sind die Seitenwände 142 der Aussparung 108 als senkrecht zu der oberen Oberfläche des darunter liegenden dielektrischen Materials 139 dargestellt. Bei anderen Ausführungsbeispielen kann der Winkel der Seitenwände 142 von der Rechtwinkligkeit abweichen. Zum Beispiel stellt 23 ein Ausführungsbeispiel eines Package-Substrats 102 dar, bei dem die Seitenwände 142 unterschnitten sind, wodurch eine Verjüngung im Hinblick auf die Aussparung 108 bereitgestellt wird, die am breitesten am nächsten zu dem dielektrischen Material 139 ist und sich in Richtung der Oberseite der Aussparung 108 verschmälert. Unterschnittene Seitenwände 142 sind möglicherweise mit herkömmlichen Laserbohr- oder Frästechniken nicht erreichbar. Bei einem anderen Beispiel zeigt 24 ein Ausführungsbeispiel eines Package-Substrats 102, bei dem die Seitenwände 142 überschnitten sind, wodurch eine Verjüngung im Hinblick auf die Aussparung 108 bereitgestellt wird, die am schmälsten am nächsten zu dem dielektrischen Material 139 ist und sich in Richtung der Oberseite der Aussparung 108 verbreitert.
  • Bei den Ausführungsbeispielen von 22-24 sind die Öffnungen in den photodefinierbaren Materialien 138-1 und 138-2 so dargestellt, dass sie vollständig mit Lötmittel gefüllt sind (was DTPS-Verbindungen 150 bereitstellt). Dies muss nicht der Fall sein. Zum Beispiel stellt 25 ein Ausführungsbeispiel eines Package-Substrats 102 dar, bei dem das Material der leitfähigen Kontakte 146 (oder ein anderes leitfähiges Material, wie z.B. ein Metall) sich durch die Öffnungen in den photodefinierbaren Materialien 138-1 und 138-2 erstreckt und eine Kugel oder ein Höcker aus Lötmittel auf diesen „erweiterten“ leitfähigen Kontakten 146 positioniert ist, um die DTPS-Verbindungen 150 bereitzustellen.
  • Obwohl zwei verschiedene photodefinierbare Materialien 138 in den Ausführungsbeispielen von 22-25 gezeigt sind, können mehr als 2 verschiedene photodefinierbare Materialien 138 auf einem dielektrischen Material 139 „gestapelt“ sein und mehrere Ebenen von Aussparungen 108 können in denselben gebildet sein. Beispielsweise können die „verschachtelten“ Aussparungen 108, dargestellt in 7 und 8 in einem Stapel aus photodefinierbarem Material gebildet sein, der vier verschiedene Schichten aus photodefinierbarem Material umfasst, die selektiv strukturiert werden können (z.B. gemäß den Techniken, erörtert nachfolgend mit Bezug auf 27).
  • Aussparungen 108, die in photodefinierbaren Materialien 138 gebildet werden, können engere Ecken erreichen als Aussparungen, die durch andere Verfahren (z.B. Fräsen oder Laserbohren) gebildet werden. Zum Beispiel ist 26 eine Draufsicht einer Aussparung in einem photodefinierbaren Material 138-2 in einem Package-Substrat gemäß verschiedenen Ausführungsbeispielen. Obwohl die Aussparung 108, gezeigt in 26, eine im Wesentlichen quadratische Grundfläche hat, ist dies einfach illustrativ, und eine Aussparung 108 kann jede gewünschte Grundfläche haben (z.B. dreieckig, rechteckig, polygonal usw.). Bei einigen Ausführungsbeispielen kann die Draufsicht auf die Aussparung 108 Ecken 143 mit einem Rundungsradius von weniger als 10 Mikrometern aufweisen.
  • 27A-27E sind Seiten-Querschnittsansichten verschiedener Stufen in einem Beispielprozess zur Herstellung des Package-Substrats 102 von 22 gemäß verschiedenen Ausführungsbeispielen. Wie unten besprochen, kann die Verjüngung der Aussparung 108 so gewählt werden, dass sie senkrecht (z.B. wie gezeigt in 22), unterschnitten (z.B. wie gezeigt in 23), oder überschnitten (z.B. wie gezeigt in 24) ist, durch Anpassung der Herstellungsbedingungen. Der Prozess, dargestellt in 27 kann wiederholt, erweitert oder angepasst werden, um irgendwelche geeigneten Formen des hier offenbarten Package-Substrats 102 zu bilden.
  • 27A veranschaulicht eine Anordnung 330, die ein dielektrisches Material 139 mit leitfähigen Kontakten 146-1 und 146-2 , die an seiner oberen Oberfläche angeordnet sind (und leitfähigen Kontakten 140, die an seiner unteren Oberfläche angeordnet sind, wie oben erörtert), eine Schicht aus dem ersten photodefinierbaren Material 138-1 auf dem dielektrischen Material 139 und leitfähigen Kontakten 146 und eine Schicht aus dem zweiten photodefinierbaren Material 138-2 auf dem ersten photodefinierbaren Material 138-1 umfasst. Bei einigen Ausführungsbeispielen kann das erste photodefinierbare Material 138-1 und/oder das zweite photodefinierbare Material 138-2 der Anordnung 330 auf der oberen Oberfläche des dielektrischen Materials 139/leitenden Kontakten 146 durch Laminieren, Schleuderbeschichtung oder eine andere geeignete Technik abgeschieden werden.
  • 27B stellt eine Anordnung 332 nachfolgend darauf dar, dass die Anordnung 330 ( 27A) einer Quelle für gemusterte Beleuchtung ausgesetzt wird, um eine selektive Vernetzung der photodefinierbaren Materialien 138 gemäß dem Muster der Beleuchtung zu bewirken, und dann Bereitstellung einer Entwicklerlösung, um selektiv Teile der photodefinierbaren Materialien 138 zu entfernen, wobei Öffnungen 165 verbleiben, die die leitfähigen Kontakte 146 freilegen. Insbesondere zeigt 27B ein Beleuchtungsmuster 159, in dem die weißen Abschnitte eine hohe Beleuchtungsdosis darstellen, die schwarzen Teile eine niedrige oder null Beleuchtungsdosis und die grauen Teile eine mittlere Beleuchtungsdosis. Bei dem Beleuchtungsmuster 159 von 27B ist eine „Grauskalamaske“ mit hohem, niedrigem und mittlerem Beleuchtungspegel; solche Masken können komplexer sein als Masken mit nur hohem und niedrigem Beleuchtungspegel, können aber die Notwendigkeit mehrerer Masken eliminieren oder reduzieren und dadurch die Ausrichtung der Merkmale verbessern. Die photodefinierbaren Materialien 138 in der Anordnung 332 sind Negativton; die Belichtung durch Beleuchtung bewirkt, dass die belichteten Abschnitte der photodefinierbaren Materialien 138 „aushärten“, so dass die unbelichteten Teile der photodefinierbaren Materialien 138 durch die Entwicklerlösung weggeätzt werden können. Bei anderen Ausführungsbeispielen können fotodefinierbare Materialien mit positivem Ton 138 verwendet werden; bei solchen Ausführungsbeispielen kann das Beleuchtungsmuster 159 invertiert sein. Bei dem Ausführungsbeispiel der 27B kann das zweite photodefinierbare Material 138-2 (z.B. ein Lötresist) durch eine hohe Beleuchtungsdosis gehärtet werden, während das erste photodefinierbare Material 138-1 durch eine niedrige Beleuchtungsdosis gehärtet werden kann. Bei verschiedenen Ausführungsbeispielen kann dieselbe Entwicklerlösung (oder verschiedene Entwicklerlösungen) verwendet werden, um das erste fotodefinierbare Material 138-1 und das zweite fotodefinierbare Material 138-2 zu entwickeln.
  • 27C stellt eine Anordnung 333 nachfolgend auf ein Bereitstellen von Lötmittel in den Öffnungen 165 der Anordnung 332 bereit (27B), um die DTPS-Verbindungen 150 zu bilden. Zur Bildung der DTPS-Verbindungen 150 kann irgendeine geeignete Technik verwendet werden (z.B. irgendeine geeignete Löthöcker-, Lötmikrokugel- oder Lötplattierungstechnik). Bei einigen Ausführungsbeispielen können die DTPS-Verbindungen 150-1 und die DTPS-Verbindungen 150-2 im Wesentlichen gleichzeitig gebildet werden, während bei anderen Ausführungsbeispielen die DTPS-Verbindungen 150-1 und die DTPS-Verbindungen 150-2 in verschiedenen Operationen gebildet werden können.
  • 27B zeigt ein Ausführungsbeispiel, bei dem das erste photodefinierbare Material 138-1 und das zweite photodefinierbare Material 138-2 gleichzeitig beleuchtet und entwickelt werden. Bei anderen Ausführungsbeispielen kann das zweite photodefinierbare Material 138-2 zuerst beleuchtet und entwickelt werden und das zweite photodefinierbare Material 1381 kann nachfolgend beleuchtet und entwickelt werden. Zum Beispiel zeigt 27D eine Anordnung 334 nach dem Beleuchten und Entwickeln des zweiten photodefinierbaren Materials 138-2 der Anordnung 330 dar (27A) ohne Musterung des ersten photodefinierbaren Materials 138-1. Bei dem Ausführungsbeispiel der 27D kann das zweite photodefinierbare Material 138-2 ein Negativtonmaterial sein, wie reflektiert durch das begleitende Beleuchtungsmuster 159. 27D veranschaulicht auch das Potential für eine „Fehlausrichtung“ des Beleuchtungsmusters 159 mit dem darunter liegenden Muster der leitfähigen Kontakte 146.
  • 27E stellt eine Anordnung 335 nachfolgend auf ein Beleuchten und Entwickeln des ersten photodefinierbaren Materials 138-1 der Anordnung 334 dar (27D). Bei dem Ausführungsbeispiel der 27E kann das erste photodefinierbare Material 138-1 ein Positivtonmaterial sein, wie reflektiert durch das begleitende Beleuchtungsmuster 159. Die Bemusterung des ersten photodefinierbaren Materials 138-1 und des zweiten photodefinierbaren Materials 138-2 bei verschiedenen Operationen kann das Risiko einer Fehlausrichtung zwischen dem bemusterten ersten photodefinierbaren Material 138-1, dem bemusterten zweiten photodefinierbaren Material 138-2 und dem darunter liegenden Muster von leitfähigen Kontakten 146 erhöhen. Da die Bemusterung des zweiten photodefinierbaren Materials 138-2 beispielsweise bei einigen Ausführungsbeispielen die Seitenwände 142 der Aussparung 108 definieren kann, kann eine Fehlausrichtung der Bemusterung des zweiten photodefinierbaren Materials 138-2 dazu führen, dass die leitfähigen Kontakte 146-1 nicht in der Aussparung 108 zentriert sind, oder zu einem anderweitig detektierbaren Versatz 167 zwischen dem bemusterten ersten photodefinierbaren Material 138-1, dem bemusterten (patterned) zweiten photodefinierbaren Material 138-2 und/oder dem darunter liegenden Muster der leitfähigen Kontakte 146. Bei einigen Ausführungsbeispielen kann der Versatz 167 zwischen 1 Mikrometer und 10 Mikrometern liegen (z. B. zwischen 5 Mikrometern und 9 Mikrometern). Bei einigen Ausführungsbeispielen der Anordnung 335 können die unterschiedlichen photodefinierbaren Materialien 138 das empfindlich (z.B. aushärtbar oder löslich) für elektromagnetische Energien unterschiedlicher Wellenlängen sein. Zum Beispiel kann das erste photodefinierbare Material 138-2 empfindlich für I-Linien-Licht (z.B. mit einer Wellenlänge von 365 Nanometern) und das zweite photodefinierbare Material 138-1 kann empfindlich für H-Linien-Licht (z.B. mit einer Wellenlänge von 405 Nanometern) sein.
  • Bei einigen Ausführungsbeispielen kann ein Gehäusesubstrat 102 eine Aussparung 108 umfassen, die in einem dielektrischen Material 169 an der oberen Oberfläche des dielektrischen Materials 139 gebildet ist, und die Seitenwände 142 der Aussparung 108 können gewellt sein. Zum Beispiel sind 28A-28B Seiten-Querschnittsansichten von einem Package-Substrate 102, das in einer mikroelektronischen Anordnung gemäß verschiedenen Ausführungsbeispielen umfasst sein kann. Insbesondere ist 28B ist eine Detailansicht des Bereichs innerhalb des gepunkteten Kreises der 28A. Bei dem Ausführungsbeispiel von 28 kann ein einzelnes dielektrisches Material 169 auf dem dielektrischen Material 139/leitfähigen Kontakten 146 angeordnet sein, und das dielektrische Material 169 kann mit Öffnungen strukturiert sein, um die leitfähigen Kontakte 146 freizulegen; Lötmittel oder anderes leitfähiges Material kann in den Öffnungen angeordnet sein, um die DTPS-Verbindungen 150 bereitzustellen, wie oben erörtert mit Bezug auf 25 und 27C. Wie dargestellt in 28B, können die Seitenwände 142 der Aussparung 108 eingebuchtete Wellen 157 umfassen. Die Abmessungen der Wellen 157 können zwischen 100 Nanometer und 10 Mikrometer liegen (z.B. zwischen 100 Nanometer und 10 Mikrometer); wie unten erörtert, können diese Abmessungen von der Größe der Füllstoffpartikel (z.B. Siliziumdioxidpartikel) im dielektrischen Material 169 abhängen. Das dielektrische Material 169 kann ein photodefinierbares Material sein (z.B. irgendeines der oben erörterten photodefinierbaren Materialien 138) oder ist möglicherweise auch kein photodefinierbares Material. Bei einigen Ausführungsbeispielen kann das dielektrische Material 169 irgendeines der hierin offenbarten Isoliermaterialien für Package-Substrate 102 sein. Zum Beispiel kann bei einigen Ausführungsbeispielen das dielektrische Material 169 ein Aufbaufilm sein. Obwohl die Seitenwände 142 der 28 als im Wesentlichen senkrecht dargestellt sind, können bei einigen Ausführungsbeispielen die Seitenwände 142 überschnitten sein (z.B. wie oben erörtert mit Bezug auf 24).
  • 29A-29D sind Seiten-Querschnittsansichten verschiedener Stufen in einem Beispielprozess zur Herstellung des Package-Substrats 102 von 28 gemäß verschiedenen Ausführungsbeispielen.
  • 29A veranschaulicht eine Anordnung 336, umfassend ein dielektrisches Material 139 mit leitfähigen Kontakten 146-1 und 146-2, die an dessen oberer Oberfläche angeordnet sind (und leitfähigen Kontakten 140, die an dessen unterer Oberfläche angeordnet sind, wie oben erörtert), eine Schicht aus dem dielektrischen Material 169 auf dem dielektrischen Material 139/ leitfähigen Kontakten146 und eine Schicht aus einem ersten Resistmaterial 145-1 auf dem dielektrischen Material 169 und eine Schicht aus einem zweiten Resistmaterial 145-2 auf dem ersten Resistmaterial 145-1. Die Resistmaterialien 145 können irgendwelche geeigneten entfernbaren Resistmaterialien umfassen, wie z.B. Trockenfilmresist oder flüssige Photoresistmaterialien.
  • 29B stellt eine Anordnung 338 nachfolgend darauf dar, dass die Anordnung 336 ( 29A) einer Quelle für gemusterte Beleuchtung ausgesetzt wird, um eine selektive Vernetzung der Resistmaterialien 145 gemäß dem Muster der Beleuchtung zu bewirken, und dann Bereitstellen einer Entwicklerlösung, um selektiv Abschnitte der Resistmaterialien 145 zu entfernen. Die Resistmaterialien 145 in der Anordnung 338 sind Negativton; bei anderen Ausführungsbeispielen können Positivton-Resistmaterialien 145 verwendet werden (und das Beleuchtungsmuster 159 kann invertiert werden).
  • 29C stellt eine Anordnung 340 dar, nachfolgend auf ein direktionales Ätzen des dielektrischen Materials 169 der Anordnung 338 (29B) in Übereinstimmung mit der Struktur der strukturierten Resistmaterialien 145, dann Entfernen irgendwelcher verbleibenden Resistmaterialien 145. Das gerichtete Ätzen des dielektrischen Materials 169 kann die Struktur der strukturierten Resistmaterialien 145 in das dielektrische Material 169 „übertragen“, wodurch Öffnungen 165 im dielektrischen Material 169 erzeugt werden, die die leitfähigen Kontakte 146 freilegen. Bei einigen Ausführungsbeispielen kann das gerichtete Ätzen des dielektrischen Materials 169 ein Trockenätzprozess sein. Bei einigen Ausführungsbeispielen kann das gerichtete Ätzen des dielektrischen Materials 169 durch reaktives Ionenätzen erfolgen (z.B. reaktives Ionenätzen von induktiv gekoppeltem Plasma).
  • 29D ist eine Detailansicht des Bereichs innerhalb des gepunkteten Kreises der 29C. Bei einigen Ausführungsbeispielen kann das Ätzen des dielektrischen Materials 169 zur Bildung von Wellen 157 in den Seitenwänden 142 der Aussparung 108 führen. Die Wellen 157 können durch die Entfernung von Füllstoffpartikeln (z.B. Siliziumdioxidpartikeln) des dielektrischen Materials 169 entstehen, das an den Seitenwänden 142 durch die Ätzung freigelegt wurde. Die Abmessungen der Wellen 157 können daher eine Funktion der Größe der Füllstoffpartikel sein; bei einigen Ausführungsbeispielen kann die Tiefe zumindest einiger der Wellen 157 kleiner oder gleich dem Durchmesser der Füllstoffpartikel in dem dielektrischen Material 169 sein. Die Anordnung 340 kann weiterverarbeitet werden, indem Lötmittel in den Öffnungen 165 bereitgestellt wird (z.B., wie oben erörtert mit Bezug auf 27C).
  • Die Package-Substrate 102, die hierin offenbart sind, können in irgendeiner geeigneten mikroelektronischen Anordnungen 100 umfasst sein. 30 ist eine Querschnittsseitenansicht einer beispielhaften mikroelektronischen Anordnung 100 umfassend das Package-Substrat 102 von 22 gemäß verschiedenen Ausführungsbeispielen. In 30 umfasst das Package-Substrat 102 mehrere Aussparungen 108 (die gemäß irgendeiner der hierin offenbarten Techniken gebildet werden); es ist gezeigt, dass zwei Dies 114-1 in einer der Aussparungen 108 angeordnet sind, ein Die 114-1 in einer anderen der Aussparungen 108 angeordnet ist und die Dies 114-1 mit leitfähigen Kontakten 146-1 des Package-Substrats 102 durch DTPS-Verbindungen 150-1 gekoppelt sind. Die mikroelektronische Anordnung 100 von 30 umfasst ferner einen Die 114-2, der als „Superposer“ (Überlagerer) fungiert, sich über alle Dies 114-1 erstreckt und über DTD-Verbindungen 130 elektrisch mit denselben gekoppelt ist, sowie durch DTPS-Verbindungen 150-2 mit den leitfähigen Kontakten 146-2 des Package-Substrats 102 gekoppelt ist.
  • Obwohl verschiedene der beiliegenden Zeichnungen ähnliche Verbindungsstrukturen der ersten Ebene innerhalb der Aussparung 108 und außerhalb der Aussparung 108 zeigen (z.B. umfassend die leitfähigen Kontakte 146-1 und 146-2), können die Verbindungsstrukturen der ersten Ebene innerhalb und außerhalb einer Aussparung 108 bei einigen Ausführungsbeispielen unterschiedlich sein. Zum Beispiel können bei einigen Ausführungsbeispielen die leitfähigen Kontakte 146-2 (z.B. ein Grob-Abstand-Höcker-Feld) Lötanschlussflächen mit Lötmittel darin sein, die mit Kupferpfeilern (z.B. mit zusätzlichen Lötkugeln) auf einem Die 114 verbunden werden können, und die leitfähigen Kontakte 146-2 (z.B. ein Fein-Abstand-Feld) können Metallanschlussflächen sein, die mit Kupferpfeilern (z.B. mit zusätzlichen Lötkugeln) eines Dies 114 verbunden werden (oder umgekehrt). Die Lötanschlussflächen können Kupfer umfassen, und bei einigen Ausführungsbeispielen kann das Kupfer mit einer Oberflächenbeschichtung bedeckt sein (z.B. ein Metallstapel umfassend Nickel und Gold oder ein Metallstapel umfassend Palladium, Nickel und Gold).
  • Die mikroelektronischen Anordnungen 100, die hierin offenbart sind, können für irgendeine geeignete Anwendung verwendet werden. Beispielsweise kann bei einigen Ausführungsbeispielen eine mikroelektronische Anordnung 100 verwendet werden, um eine Ultrahohe-Dichte- und Hohe-Bandbreite-Verbindung für Feld-programmierbares-Gate-Array- (FPGA; field programmable gate array) Sendeempfänger und III-V Verstärker bereitzustellen. Beispielsweise kann der Die 114-1 eine FPGA-Sendeempfängerschaltungsanordnung oder III-V Verstärker umfassen und der Die 114-2 kann FPGA-Logik umfassen. Kommunikationen zwischen dem Die 114-1 und dem Die 114-2 können weniger Verzögerung erfahren, wenn solche Kommunikationen durch eine Zwischenvorrichtung (z.B. eine separate Siliziumbrücke) geroutet würden. Bei einigen Ausführungsbeispielen kann der Abstand der DTD-Verbindungen 130-1 zwischen dem Die 114-1 und dem Die 114-2 weniger als 100 Mikron (z.B. zwischen 25 Mikron und 55 Mikron) sein und der Abstand der DTPS-Verbindungen 150-2 zwischen dem Die 114-2 und dem Package-Substrat 102 kann größer als 80 Mikron (z.B. zwischen 100 und 150 Mikron) sein. Solche Anwendungen können insbesondere für Militärelektronik, drahtlose 5G-Kommunikationen, WiGig-Kommunikationen und/oder Millimeterwellenkommunikationen geeignet sein.
  • Allgemeiner können es die hierin offenbarten mikroelektronischen Anordnungen 100 erlauben, dass „Blöcke“ von unterschiedlichen Arten von funktionellen Schaltungen in unterschiedliche der Dies 114 verteilt werden, anstatt dass alle von den Schaltungen in einem einzelnen großen Die umfasst sind, wie bei einigen konventionellen Ansätzen. Bei einigen konventionellen Ansätzen würde ein einzelner großer Die alle dieser unterschiedlichen Schaltungen umfassen, um eine verlustarme Kommunikation hoher Bandbreite zwischen Schaltungen zu erreichen, und einige oder alle dieser Schaltungen können selektiv deaktiviert werden, um die Fähigkeiten des großen Dies anzupassen. Da jedoch die DTD-Verbindungen 130 der mikroelektronischen Anordnungen 100 eine verlustarme Kommunikation hoher Bandbreite zwischen unterschiedlichen der Dies 114 erlauben können, können unterschiedliche Schaltungen in unterschiedliche Dies 114 verteilt werden, was die Gesamtkosten der Herstellung reduziert, die Ausbeute verbessert und die Entwurfsflexibilität erhöht, indem ermöglicht wird, dass unterschiedliche Dies 114 (z.B. Dies 114, die unter Verwendung unterschiedlicher Herstellungstechniken gebildet werden) ohne weiteres getauscht werden, um eine unterschiedliche Funktionalität zu erreichen. Zusätzlich kann ein Die 114, der oben auf einem anderen Die 114 gestapelt ist, näher an dem Wärmeverteiler 131 sein, als wenn die Schaltungsanordnung der beiden Dies in einen einzelnen Die weiter von dem Wärmeverteiler 131 kombiniert werden würde, die thermische Performance verbessernd.
  • Bei einem anderen Beispiel kann ein Die 114-1, der eine aktive Schaltungsanordnung in einer mikroelektronischen Anordnung 100 umfasst, verwendet werden, um eine „aktive“ Brücke zwischen anderen Dies 114 (z.B. zwischen den Dies 114-2 und 114-3 oder zwischen mehreren unterschiedlichen Dies 114-2 bei verschiedenen Ausführungsbeispielen) bereitzustellen. Bei einigen solchen Ausführungsbeispielen kann eine Leistungslieferung an die „Böden“ des Dies 114-1 und der anderen Dies 114 durch das Package-Substrat 102 ohne einen Bedarf nach zusätzlichen Schichten des Package-Substrats 102 über dem Die 114-1, durch den Leistung zu routen ist, bereitgestellt werden.
  • Bei einem anderen Beispiel kann der Die 114-1 in einer mikroelektronischen Anordnung 100 eine Verarbeitungsvorrichtung (z.B. eine zentrale Verarbeitungseinheit, eine Grafikverarbeitungseinheit, ein FPGA, ein Modem, ein Anwendungsprozessor etc.) sein und der Die 114-2 kann einen Hohe-Bandbreite-Speicher, eine Sendeempfängerschaltungsanordnung und/oder eine Eingangs-/Ausgangs-Schaltungsanordnung (z.B. Doppelte-Datenrate-Übertragungsschaltungsanordnung, Peripheral Component Interconnect Express-Schnittstelle etc.) sein. Bei einigen Ausführungsbeispielen kann der Die 114-1 einen Satz von leitfähigen Kontakten 124 für eine Schnittstelle mit einem Hohe-Bandbreite-Speicher-Die 114-2, einen unterschiedlichen Satz von leitfähigen Kontakten 124 für eine Schnittstelle mit einem Eingangs-/Ausgangs-Schaltungsanordnungs-Die 114-2 etc. umfassen. Der bestimmte Hohe-Bandbreite-Speicher-Die 114-2, Eingangs-/Ausgangs-Schaltungsanordnungs-Die 114-2 etc. können für die vorhandene Anwendung ausgewählt werden.
  • Bei einem anderen Beispiel kann der Die 114-1 in einer mikroelektronischen Anordnung 100 ein Cache-Speicher (z.B. ein Dritte-Ebene-Cache-Speicher) sein und ein oder mehrere Dies 114-2 können Verarbeitungsvorrichtungen (z.B. eine zentrale Verarbeitungseinheit, eine Grafikverarbeitungseinheit, ein FPGA, ein Modem, ein Anwendungsprozessor etc.) sein, die den Cache-Speicher des Dies 114-1 gemeinsam verwenden.
  • Die mikroelektronischen Anordnungen 100, die hierin offenbart sind, können in irgendeiner geeigneten elektronischen Komponente umfasst sein. 31-34 stellen verschiedene Beispiele von Vorrichtungen dar, die in irgendeiner der hierin offenbarten mikroelektronischen Anordnungen 100 umfasst sein können oder eine solche umfassen können.
  • 31 ist eine Draufsicht eines Wafers 1500 und von Dies 1502, die in irgendeiner der hierin offenbarten mikroelektronischen Anordnungen 100 umfasst sein können (z.B. als irgendwelche geeigneten der Dies 114). Der Wafer 1500 kann aus einem Halbleitermaterial zusammengesetzt sein und kann einen oder mehrere Dies 1502, die IC-Strukturen aufweisen, die auf einer Oberfläche des Wafers 1500 gebildet sind, umfassen. Jeder der Dies 1502 kann eine sich wiederholende Einheit eines Halbleiterprodukts sein, das jegliche geeignete IC umfasst. Nachdem die Herstellung des Halbleiter-Produkts abgeschlossen ist, kann der Wafer 1500 einem Vereinzelungsprozess unterzogen werden, bei dem die Dies 1502 voneinander getrennt werden, um einzelne diskrete „Chips“ des Halbleiterprodukts bereitzustellen. Der Die 1502 kann irgendeiner der hierin offenbarten Dies 114 sein. Der Die 1502 kann einen oder mehrere Transistoren (z.B. einige der Transistoren 1640 aus 32, wie nachfolgend erörtert), eine unterstützende Schaltungsanordnung, um elektrische Signale zu den Transistoren zu routen, passive Komponenten (z.B. Signal-Leiterbahnen, Widerstände, Kondensatoren oder Induktivitäten) und/oder irgendwelche anderen IC-Komponenten umfassen. Bei einigen Ausführungsbeispielen kann der Wafer 1500 oder der Die 1502 eine Speichervorrichtung (z.B. eine Direktzugriffsspeicher- (RAM; Random Access Memory) Vorrichtung, wie eine statische RAM- (SRAM; static RAM) Vorrichtung, eine magnetische RAM- (MRAM; magnetic RAM) Vorrichtung, eine resistive RAM- (RRAM; resistive RAM) Vorrichtung, eine Leitfähige-Brücken-RAM- (CBRAM; conductive-bridging RAM) Vorrichtung usw.), ein logisches Bauelement (z.B. ein AND-, OR-, NAND- oder NOR-Gatter) oder irgendein anderes geeignetes Schaltungselement umfassen. Mehrere dieser Bauelemente können auf einem einzelnen Die 1502 kombiniert sein. Zum Beispiel kann ein Speicherarray, das durch mehrere Speicherbauelemente gebildet ist, auf einem selben Die 1502 wie eine Verarbeitungsvorrichtung (z. B. die Verarbeitungsvorrichtung 1802 von 34) oder eine andere Logik, die ausgebildet ist, um Information in den Speicherbauelementen zu speichern oder Anweisungen auszuführen, die in dem Speicherarray gespeichert sind, gebildet sein. Verschiedene der hierin offenbarten mikroelektronischen Anordnungen 100 können unter Verwendung einer Die-to-Wafer-Anordnungstechnik hergestellt werden, bei der einige Dies 114 an einen Wafer 1500 angebracht werden, andere der Dies 114 umfassend, und der Wafer 1500 anschließend vereinzelt wird.
  • 32 ist eine Seiten-Querschnittsansicht eines IC-Bauelements 1600, das in irgendeiner der hierin offenbarten mikroelektronischen Anordnungen 100 umfasst sein kann (z.B. in irgendeinem der Dies 114). Eines oder mehrere der IC-Bauelemente 1600 können in einem oder mehreren Dies 1502 umfasst sein (31). Das IC-Bauelement 1600 kann auf einem Die-Substrat 1602 (z. B. dem Wafer 1500 von 31) gebildet sein und kann in einem Die umfasst sein (z. B. dem Die 1502 von 31). Das Die-Substrat 1602 kann ein Halbleitersubstrat sein, zusammengesetzt aus Halbleitermaterialsystemen, umfassend zum Beispiel N-Typ- oder P-Typ-Materialsysteme (oder eine Kombination aus beiden). Das Die-Substrat 1602 kann zum Beispiel ein kristallines Substrat umfassen, gebildet unter Verwendung von Bulk-Silizium oder einer Silizium-auf-Isolator- (SOI-; silicon-on-insulator) Teilstruktur. Bei einigen Ausführungsbeispielen kann das Halbleitersubstrat 1602 unter Verwendung alternativer Materialien gebildet sein, die mit Silizium kombiniert sein können oder nicht, die umfassen, aber nicht beschränkt sind auf, Germanium, Indiumantimonid, Bleitellurid, Indiumarsenid, Indiumphosphid, Galliumarsenid oder Galliumantimonid. Weitere Materialien, klassifiziert als Gruppe II-VI, III-V oder IV können auch verwendet werden, um das Die-Substrat 1602 zu bilden. Obwohl einige Beispiele von Materialien, aus denen das Die-Substrat 1602 gebildet sein kann, hier beschrieben sind, kann irgendein Material, das als eine Grundlage für ein IC-Bauelement 1600 dienen kann, verwendet werden. Das Die- Substrat 1602 kann Teil eines vereinzelten Dies (z. B. der Dies 1502 von 31) oder eines Wafers sein (z. B. des Wafers 1500 von 31).
  • Das IC-Bauelement 1600 kann eine oder mehrere Bauelementschichten 1604 umfassen, die auf dem Die-Substrat 1602 angeordnet sind. Die Bauelementschicht 1604 kann Merkmale von einem oder mehreren Transistoren 1640 (z.B. Metalloxidhalbleiter-Feldeffekttransistoren (MOSFET = Metal-Oxide-Semiconductor Field Effect Transistor)) umfassen, die auf dem Die-Substrat 1602 gebildet sind. Die Bauelementschicht 1604 kann zum Beispiel eine oder mehrere Source- und/oder Drain- (S/D) Regionen 1620, ein Gate 1622 zum Steuern des Stromflusses in den Transistoren 1640 zwischen den S/D-Regionen 1620 und einen oder mehrere S/D-Kontakte 1624 zum Routen elektrischer Signale zu/von den S/D-Regionen 1620 umfassen. Die Transistoren 1640 können zusätzliche Merkmale umfassen, die der Klarheit halber nicht gezeigt sind, wie beispielsweise Bauelement-Isolationsregionen, Gate-Kontakte und ähnliches. Die Transistoren 1640 sind nicht auf den Typ und die Konfiguration begrenzt, die in 32 abgebildet sind, und sie können eine große Vielzahl von anderen Typen und Konfigurationen aufweisen, wie etwa planare Transistoren, nicht planare Transistoren oder eine Kombination aus beidem. Nicht planare Transistoren können FinFET-Transistoren aufweisen, wie etwa Doppel-Gate-Transistoren oder Tri-Gate-Transistoren sowie Umhüllungs- (Wrap-Around-) oder Rundum- (All-Round-) Gate-Transistoren, wie etwa Nanoband- und Nanodraht-Transistoren.
  • Jeder Transistor 1640 kann ein Gate 1622 umfassen, gebildet aus zumindest zwei Schichten, einem Gate-Dielektrikum und einer Gate-Elektrode. Das Gate-Dielektrikum kann eine Schicht oder einen Stapel aus Schichten umfassen. Die eine oder mehreren Schichten können Siliziumoxid, Siliziumdioxid, Siliziumcarbid und/oder ein High-k-Dielektrikumsmaterial umfassen. Das High-k-Dielektrikum kann Elemente umfassen, wie beispielsweise Hafnium, Silizium, Sauerstoff, Titan, Tantal, Lanthan, Aluminium, Zirkonium, Barium, Strontium, Yttrium, Blei, Scandium, Niobium und Zink. Beispiele von High-k-Materialien, die in der Gate-Dielektrikum-Schicht verwendet werden können umfassen, sind aber nicht beschränkt auf Hafniumoxid, Hafniumsiliziumoxid, Lanthanoxid, Lanthanaluminiumoxid, Zirkoniumoxid, Zirkoniumsiliziumoxid, Tantaloxid, Titanoxid, Barium-Strontium-Titanoxid, Bariumtitanoxid, Strontiumtitanoxid, Yttriumoxid, Aluminiumoxid, Blei-Scandium-Tantal-Oxid und Blei-Zink-Niobat. Bei einigen Ausführungsbeispielen kann ein Ausheilungsprozess an dem Gate-Dielektrikum ausgeführt werden, um dessen Qualität zu verbessern, wenn ein High-k-Material verwendet wird.
  • Die Gate-Elektrode kann auf dem Gate-Dielektrikum gebildet sein und kann zumindest ein P-Typ-Arbeitsfunktions-Metall oder N-Typ-Arbeitsfunktions-Metall umfassen, abhängig davon, ob der Transistor 1640 ein P-Typ-Metalloxid-Halbleiter-(PMOS-; p-type metal oxide semiconductor) oder N-Typ-Metalloxid-Halbleiter-(NMOS-; n-type metal oxide semiconductor) Transistor sein soll. Bei einigen Implementierungen kann die Gate-Elektrode aus einem Stapel aus zwei oder mehr Metallschichten bestehen, wobei eine oder mehrere Metallschichten Arbeitsfunktions-Metallschichten sind und zumindest eine Metallschicht eine Füll-Metallschicht ist. Weitere Metallschichten können zu anderen Zwecken umfasst sein, wie beispielsweise eine Barriereschicht. Für einen PMOS-Transistor umfassen Metalle, die für die Gate-Elektrode verwendet werden können, Ruthenium, Palladium, Platin, Kobalt, Nickel und leitfähige MetallOxide (z.B. Rutheniumoxid), und irgendwelche der nachfolgend Bezug nehmend auf einen NMOS-Transistor erörterten Metalle (z.B. zur Arbeitsfunktions-Abstimmung), sind aber nicht darauf beschränkt. Für einen NMOS-Transistor umfassen Metalle, die für die Gate-Elektrode verwendet werden können, Hafnium, Zirkonium, Titan, Tantal, Aluminium, Legierungen dieser Materialien, Carbide dieser Metalle (z. B. Hafniumcarbid, Zirkoniumcarbid, Titancarbid, Tantalcarbid und Aluminiumcarbid), sind aber nicht darauf beschränkt, und irgendwelche der vorangehend Bezug nehmend auf einen PMOS-Transistor erörterten Metalle (z.B. zur Arbeitsfunktions-Abstimmung), sind aber nicht darauf beschränkt.
  • Bei einigen Ausführungsbeispielen, wenn sie als Querschnitt des Transistors 1640 entlang der Source-Kanal-Drain-Richtung betrachtet werden, kann die Gate-Elektrode aus einer U-förmigen Struktur bestehen, die einen unteren Abschnitt im Wesentlichen parallel zu der Oberfläche des Die-Substrats 1602 und zwei Seitenwandabschnitte umfasst, die im Wesentlichen senkrecht zu der oberen Oberfläche des Die-Substrats 1602 sind. Bei anderen Ausführungsbeispielen kann zumindest eine der Metallschichten, die die Gate-Elektrode bilden, einfach eine planare Schicht sein, die im Wesentlichen parallel zu der oberen Oberfläche des Die-Substrats 1602 ist und keine Seitenwandabschnitte im Wesentlichen senkrecht zu der oberen Oberfläche des Die-Substrats 1602 umfasst. Bei anderen Ausführungsbeispielen kann die Gate-Elektrode aus einer Kombination aus U-förmigen Strukturen und planaren, nicht U-förmigen Strukturen bestehen. Zum Beispiel kann die Gate-Elektrode aus einer oder mehreren U-förmigen Metallschichten bestehen, die auf einer oder mehreren, planaren, nicht U-förmigen Schichten gebildet sind.
  • Bei einigen Ausführungsbeispielen kann ein Paar aus Seitenwand-Abstandhaltern auf gegenüberliegenden Seiten des Gatestapels gebildet sein, um den Gatestapel zu umklammern. Die Seitenwand-Abstandhalter können aus Materialien wie beispielsweise Siliziumnitrid, Siliziumoxid, Siliziumcarbid, Siliziumnitrid dotiert mit Kohlenstoff und Silizium-Oxinitrid gebildet sein. Prozesse zum Bilden von Seitenwand-Abstandhaltern sind im Stand der Technik wohlbekannt und umfassen im Allgemeinen Abscheidungs- und Ätz-Prozessschritte. Bei einigen Ausführungsbeispielen kann eine Mehrzahl von Abstandhalterpaaren verwendet werden; zum Beispiel können zwei Paare, drei Paare oder vier Paare aus Seitenwand-Abstandhaltern auf gegenüberliegenden Seiten des Gatestapels gebildet sein.
  • Die S/D-Regionen 1620 können innerhalb des Die-Substrats 1602 benachbart zu dem Gate 1622 jedes Transistors 1640 gebildet sein. Die S/D-Regionen 1620 können unter Verwendung von einem Implantations-/Diffusions-Prozesses oder eines Ätz-/Abscheidungs-Prozesses gebildet werden, zum Beispiel. Bei dem ersteren Prozess können Dotierstoffe wie beispielsweise Bor, Aluminium, Antimon, Phosphor oder Arsen in das Substrat 1602 Ionen-implantiert werden, um die S/D-Regionen 1620 zu bilden. Ein Ausheilungsprozess, der die Dotierstoffe aktiviert und sie zum Diffundieren weiter in das Die-Substrat 1602 veranlasst, kann dem Ionen-Implantationsprozess folgen. Bei dem späteren Prozess kann das Die-Substrat 1602 zuerst geätzt werden, um Aussparungen an den Orten der S/D-Regionen 1620 zu bilden. Ein epitaxialer Abscheidungsprozess kann dann ausgeführt werden, um die Aussparungen mit Material zu füllen, das verwendet wird, um die S/D-Regionen 1620 herzustellen. Bei einigen Implementierungen können die S/D-Regionen 1620 unter Verwendung einer Siliziumlegierung hergestellt werden, wie beispielsweise Silizium-Germanium oder Siliziumcarbid. Bei einigen Ausführungsbeispielen kann die epitaxial abgeschiedene Siliziumlegierung in situ mit Dotierstoffen dotiert werden, wie beispielsweise Bor, Arsen oder Phosphor. Bei einigen Ausführungsbeispielen können die S/D-Regionen 1620 unter Verwendung von einem oder mehreren alternativen Halbleitermaterialien gebildet werden, wie beispielsweise Germanium oder einem Material oder Legierung der Gruppe III-V. Bei weiteren Ausführungsbeispielen können eine oder mehrere Schichten aus Metall und/oder Metall-Legierungen verwendet werden, um die S/D-Regionen 1620 zu bilden.
  • Elektrische Signale, wie etwa Leistungs- und/oder Eingang/Ausgang- (I/O-) Signale, können zu und/oder von den Bauelementen (z.B. Transistoren 1640) der Bauelementschicht 1604 durch eine oder mehrere Verbindungsschichten, die auf der Bauelementschicht 1604 angeordnet sind, geroutet werden (wie in 32 als Verbindungsschichten 1606-1610 dargestellt ist). Zum Beispiel können elektrisch leitfähige Merkmale der Bauelementschicht 1604 (z.B. das Gate 1622 und die S/D-Kontakte 1624) elektrisch mit den Verbindungsstrukturen 1628 der Verbindungsschichten 1606-1610 gekoppelt sein. Die eine oder mehreren Verbindungsschichten 1606-1610 können einen Metallisierungsstapel (auch bezeichnet als „ILD-Stapel“) 1619 des IC-Bauelements 1600 bilden.
  • Die Verbindungstrukturen 1628 können innerhalb der Verbindungsschichten 1606-1610 angeordnet sein, um elektrische Signale gemäß einer breiten Vielzahl von Entwürfen zu routen; genauer gesagt ist die Anordnung nicht auf die bestimmte Konfiguration von Verbindungstrukturen 1628 beschränkt, gezeigt in 32. Obwohl eine bestimmte Anzahl von Verbindungsschichten 1606-1610 in 32 abgebildet ist, weisen Ausführungsbeispiele der vorliegenden Offenbarung IC-Bauelemente mit mehr oder weniger Verbindungsschichten als abgebildet sind auf.
  • Bei einigen Ausführungsbeispielen können die Verbindungstrukturen 1628 Leitungen 1628a und/oder Vias 1628b umfassen, die mit einem elektrisch leitfähigen Material gefüllt sind, wie beispielsweise einem Metall. Die Leitungen 1628a können angeordnet sein, um elektrische Signale in einer Richtung einer Ebene zu routen, die im Wesentlichen parallel zu einer Oberfläche des Die-Substrats 1602 ist, auf dem die Bauelementschicht 1604 gebildet ist. Zum Beispiel können die Leitungen 1628a elektrische Signale in einer Richtung in die und aus der Seite aus der Perspektive von 32. Die Vias 1628b können angeordnet sein, um elektrische Signale in einer Richtung einer Ebene zu routen, die im Wesentlichen senkrecht zu der Oberfläche des Die-Substrats 1602 ist, auf dem die Bauelementschicht 1604 gebildet ist. Bei einigen Ausführungsbeispielen können die Vias 1628b die Leitungen 1628a von unterschiedlichen Verbindungsschichten 1606-1610 elektrisch koppeln.
  • Die Verbindungsschichten 1606-1610 können ein dielektrisches Material 1626 umfassen, das zwischen den Verbindungstrukturen 1628 angeordnet ist, wie gezeigt in 32. Bei einigen Ausführungsbeispielen kann das dielektrische Material 1626, das zwischen den Verbindungsstrukturen 1628 in Unterschiedlichen der Verbindungsschichten 1606-1610 angeordnet ist, unterschiedliche Zusammensetzungen aufweisen; bei anderen Ausführungsbeispielen kann die Zusammensetzung des dielektrischen Materials 1626 zwischen unterschiedlichen Verbindungsschichten 1606-1610 die Gleiche sein.
  • Eine erste Verbindungsschicht 1606 (bezeichnet als Metall 1 oder „M1“) kann direkt auf der Bauelementschicht 1604 gebildet sein. Bei einigen Ausführungsbeispielen kann die erste Verbindungsschicht 1606 Leitungen 1628a und/oder Vias 1628b umfassen, wie gezeigt ist. Die Leitungen 1628a der ersten Verbindungsschicht 1606 können mit Kontakten (z.B. den S/D-Kontakten 1624) der Bauelementschicht 1604 gekoppelt sein.
  • Eine zweite Verbindungsschicht 1608 (bezeichnet als Metall 2 oder „M2“) kann direkt auf der ersten Verbindungsschicht 1606 gebildet sein. Bei einigen Ausführungsbeispielen kann die zweite Verbindungsschicht 1608 Via-Strukturen 1628b umfassen, um die Leitungen 1628a der zweiten Verbindungsschicht 1608 mit den Leitungen 1628a der ersten Verbindungsschicht 1606 zu koppeln. Obwohl die Leitungen 1628a und die Vias 1628b strukturell mit einer Leitung innerhalb jeder Verbindungsschicht (z.B. innerhalb der zweiten Verbindungsschicht 1608) der Klarheit halber abgegrenzt sind, können die Leitungen 1628a und die Vias 1628b strukturell und/oder materiell angrenzend sein (z.B. während eines Dual-Damascene-Prozesses gleichzeitig gefüllt werden), bei einigen Ausführungsbeispielen.
  • Eine dritte Verbindungsschicht 1610 (bezeichnet als Metall 3 oder „M3“) (und zusätzliche Verbindungsschichten, nach Wunsch) kann in Folge auf der zweiten Verbindungsschicht 1608 gemäß ähnlichen Techniken und Konfigurationen gebildet werden, die in Verbindung mit der zweiten Verbindungsschicht 1608 oder der ersten Verbindungsschicht 1606 beschrieben sind. Bei einigen Ausführungsbeispielen können die Verbindungsschichten, die im Metallisierungsstapel 1619 in dem IC-Bauelement 1600 „höher oben“ sind (d.h. weiter entfernt von der Bauelementschicht 1604), dicker sein.
  • Das IC-Bauelement 1600 kann ein Lötresistmaterial 1634 (z.B. Polyimid oder ein ähnliches Material) und einen oder mehrere leitfähige Kontakte 1636 umfassen, die auf den Verbindungsschichten 1606-1610 gebildet sind. In 32 werden die leitfähigen Kontakte 1636 in Form von Bondanschlussflächen dargestellt. Die leitfähigen Kontakte 1636 können elektrisch mit den Verbindungsstrukturen 1628 gekoppelt sein und ausgebildet sein, um die elektrischen Signale des oder der Transistoren 1640 zu anderen externen Bauelementen zu routen. Zum Beispiel können Lötmittel-Bonds auf dem einen oder den mehreren leitfähigen Kontakten 1636 gebildet sein, um einen Chip, umfassend das IC-Bauelement 1600, mechanisch und/oder elektrisch mit einer anderen Komponente (z.B. einer Schaltungsplatine) zu koppeln. Das IC-Bauelement 1600 kann zusätzliche oder alternative Strukturen umfassen, um die elektrischen Signale von den Verbindungsschichten 1606-1610 zu routen; die leitfähigen Kontakte 1636 können zum Beispiel andere analoge Merkmale (z.B. Pfosten) umfassen, die die elektrischen Signale zu externen Komponenten routen. Die leitfähigen Kontakte 1636 können als die leitfähigen Kontakte 122 oder 124 dienen, je nach Eignung.
  • Bei einigen Ausführungsbeispielen, bei denen das IC-Bauelement 1600 ein doppelseitiger Die (z.B. wie der Die 114-1) ist, kann das IC-Bauelement 1600 einen anderen Metallisierungsstapel (nicht gezeigt) auf der gegenüberliegenden Seite der Bauelementschicht(en) 1604 umfassen. Dieser Metallisierungsstapel kann mehrere Verbindungsschicht, wie vorstehend Bezug nehmend auf die Verbindungsschichten 1606-1610 erörtert, umfassen, um leitfähige Pfade (z.B. umfassend leitfähige Leitungen und Vias) zwischen der/den Bauelementschicht(en) 1604 und zusätzliche leitfähige Kontakte (nicht gezeigt) auf der gegenüberliegenden Seite des IC-Bauelements 1600 von den leitfähigen Kontakten 1636 bereitzustellen. Diese zusätzlichen leitfähigen Kontakte können als die leitfähigen Kontakte 122 oder 124 dienen, je nach Eignung.
  • Bei anderen Ausführungsbeispielen, bei denen das IC-Bauelement 1600 ein doppelseitiger Die (z.B. wie der Die 114-1) ist, kann das IC-Bauelement 1600 eine oder mehrere TSVs durch das Die-Substrat 1602 umfassen; diese TSVs können einen Kontakt mit der einen oder den mehreren Bauelementschichten 1604 herstellen und können leitfähige Pfade zwischen der einen oder den mehreren Bauelementschichten 1604 und zusätzlichen leitfähigen Kontakten (nicht gezeigt) auf der gegenüberliegenden Seite des IC-Bauelements 1600 von den leitfähigen Kontakten 1636 bereitstellen. Diese zusätzlichen leitfähigen Kontakte können als die leitfähigen Kontakte 122 oder 124 dienen, je nach Eignung.
  • 33 ist eine Seiten-Querschnittsansicht einer IC-Bauelementanordnung 1700, die irgendeine der hierin offenbarten mikroelektronischen Anordnungen 100 umfassen kann. Bei einigen Ausführungsbeispielen kann die IC-Bauelementanordnung 1700 eine mikroelektronischen Anordnung 100 sein. Die IC-Bauelementanordnung 1700 weist eine Anzahl von Komponenten auf, die auf einer Schaltungsplatine 1702 (die z.B. eine Hauptplatine sein kann) angeordnet sind. Die IC-Bauelementanordnung 1700 umfasst Komponenten, die auf einer ersten Oberfläche 1740 der Schaltungsplatine 1702 und einer gegenüberliegenden zweiten Oberfläche 1742 der Schaltungsplatine 1702 angeordnet sind; im Allgemeinen können Komponenten auf einer oder beiden Flächen 1740 und 1742 angeordnet sein. Irgendwelche der nachfolgend Bezug nehmend auf die IC-Bauelementanordnung 1700 erörterten IC-Packages können die Form irgendwelcher geeigneter der hierin offenbarten Ausführungsbeispiele der mikroelektronischen Anordnungen 100 annehmen.
  • Bei einigen Ausführungsbeispielen kann die Schaltungsplatine 1702 eine PCB sein, umfassend mehrere Metallschichten, die voneinander durch Schichten aus dielektrischem Material getrennt und durch elektrisch leitfähige Vias verbunden sind. Irgendeine oder mehrere der Metallschichten können in einer gewünschten Schaltungsstruktur gebildet sein, um elektrische Signale (optional in Verbindung mit anderen Metallschichten) zwischen den Komponenten zu leiten, die mit der Schaltungsplatine 1702 gekoppelt sind. Bei anderen Ausführungsbeispielen kann die Schaltungsplatine 1702 ein Nicht-PCB-Substrat sein. Bei einigen Ausführungsbeispielen kann die Schaltungsplatine 1702 z.B. die Schaltungsplatine 133 sein.
  • Die IC-Bauelementanordnung 1700, die in 33 dargestellt ist, weist eine Package-auf-Interposer-Struktur 1736 auf, die mit der ersten Oberfläche 1740 der Schaltungsplatine 1702 durch Kopplungskomponenten 1716 gekoppelt ist. Die Kopplungskomponenten 1716 können die Package-auf-Interposer-Struktur 1736 elektrisch und mechanisch mit der Schaltungsplatine 1702 koppeln und können Lötkugeln (wie in 33 gezeigt ist), Stecker und Buchse, ein Klebemittel, ein Unterfüllmaterial und/oder irgendeine andere geeignete elektrische und/oder mechanische Kopplungsstruktur umfassen.
  • Die Package-auf-Interposer-Struktur 1736 kann ein IC-Package 1720 umfassen, das mit einem Interposer 1704 durch Kopplungskomponenten 1718 gekoppelt ist. Die Kopplungskomponenten 1718 können irgendeine geeignete Form für die Anwendung annehmen, wie z.B. die Formen, die vorangehend Bezug nehmend auf die Kopplungskomponenten 1716 erörtert wurden. Obwohl ein einzelnes IC-Package 1720 in 33 gezeigt ist, können mehrere IC-Packages mit dem Interposer 1704 gekoppelt sein; tatsächlich können zusätzliche Interposer mit dem Interposer 1704 gekoppelt sein. Der Interposer 1704 kann ein dazwischenliegendes Substrat bereitstellen, das verwendet wird, um die Schaltungsplatine 1702 und das IC-Package 1720 zu überbrücken. Das IC-Package 1720 kann zum Beispiel ein Die (der Die 1502 von 31), ein IC-Bauelement (z. B. das IC-Bauelement 1600 von 32) oder irgendeine andere geeignete Komponente sein oder umfassen. Im Allgemeinen kann der Interposer 1704 eine Verbindung zu einem weiteren Abstand ausbreiten oder eine Verbindung zu einer unterschiedlichen Verbindung umleiten. Zum Beispiel kann der Interposer 1704 das IC-Package 1720 (z.B. ein Die) mit einem Satz leitfähiger Kontakte eines Kugelgitterarrays (BGA; ball grid array) der Kopplungskomponenten 1716 zum Koppeln mit der Schaltungsplatine 1702 koppeln. Bei dem in 33 dargestellten Ausführungsbeispiel sind das IC-Package 1720 und die Schaltungsplatine 1702 an gegenüberliegende Seiten des Interposers 1704 angebracht; bei anderen Ausführungsbeispielen können das IC-Package 1720 und die Schaltungsplatine 1702 an dieselbe Seite des Interposers 1704 angebracht sein. Bei einigen Ausführungsbeispielen können drei oder mehr Komponenten mithilfe des Interposers 1704 verbunden sein.
  • Bei einigen Ausführungsbeispielen kann der Interposer 1704 als eine PCB gebildet sein, umfassend mehrere Metallschichten, die voneinander durch Schichten aus dielektrischem Material getrennt und durch elektrisch leitfähige Vias verbunden sind. Bei einigen Ausführungsbeispielen kann der Interposer 1704 aus einem Epoxidharz, einem glasfaserverstärkten Epoxidharz, einem Epoxidharz mit anorganischen Füllstoffen, einem Keramikmaterial oder einem Polymermaterial, wie beispielsweise Polyimid, gebildet sein. Bei einigen Implementierungen kann der Interposer 1704 aus wechselnden starren oder flexiblen Materialien gebildet sein, die dieselben Materialien umfassen können, die vorangehend zur Verwendung in einem Halbleitersubstrat beschrieben wurden, wie beispielsweise Silizium, Germanium und andere Gruppe III-V und Gruppe IV Materialien. Der Interposer 1704 kann Metall-Verbindungen 1708 und Vias 1710 umfassen, umfassend, aber nicht beschränkt auf TSVs 1706. Der Interposer 1704 kann ferner eingebettete Bauelemente 1714 umfassen, umfassend sowohl passive als auch aktive Bauelemente. Solche Bauelemente können umfassen, sind aber nicht beschränkt auf Kondensatoren, Entkopplungs-Kondensatoren, Widerstände, Induktoren, Sicherungen, Dioden, Transformatoren, Sensoren, ESD-Bauelemente (ESD = elektrostatische Entladung; electrostatic discharge) und Speicherbauelemente. Komplexere Bauelemente, wie beispielsweise Radiofrequenz-Bauelemente, Leistungsverstärker, Leistungsmanagement-Bauelemente, Antennen, Arrays, Sensoren und Mikroelektromechanisches-System- (MEMS-; microelectromechanical systems) Bauelemente können ebenfalls auf dem Interposer 1704 gebildet sein. Die Package-auf-Interposer-Struktur 1736 kann die Form irgendeiner der Package-auf-Interposer-Strukturen annehmen, die im Stand der Technik bekannt sind.
  • Die IC-Bauelementanordnung 1700 kann ein IC-Package 1724 umfassen, das mit der ersten Oberfläche 1740 der Schaltungsplatine 1702 durch Kopplungskomponenten 1722 gekoppelt ist. Die Kopplungskomponenten 1722 können die Form von irgendwelchen der Ausführungsbeispiele annehmen, die vorangehend Bezug nehmend auf die Kopplungskomponenten 1716 erörtert wurden, und das IC-Package 1724 kann die Form von irgendwelchen der Ausführungsbeispiele annehmen, die vorangehend Bezug nehmend auf das IC-Package 1720 erörtert wurden.
  • Die IC-Bauelementanordnung 1700, die in 33 dargestellt ist, weist eine Package-auf-Package-Struktur 1734 auf, die mit der zweiten Oberfläche 1742 der Schaltungsplatine 1702 durch Kopplungskomponenten 1728 gekoppelt ist. Die Package-auf-Package-Struktur 1734 kann ein IC-Package 1726 und ein IC-Package 1732 umfassen, die miteinander durch Kopplungskomponenten 1730 derart gekoppelt sind, dass das IC-Package 1726 zwischen der Schaltungsplatine 1702 und dem IC-Package 1732 angeordnet ist. Die Kopplungskomponenten 1728 und 1730 können die Form von irgendwelchen der Ausführungsbeispiele der Kopplungskomponenten 1716 annehmen, die vorangehend erörtert wurden, und die IC-Packages 1726 und 1732 können die Form von irgendwelchen der Ausführungsbeispiele des vorangehend erörterten IC-Packages 1720 annehmen. Die Package-auf-Package-Struktur 1734 kann gemäß irgendeiner der im Stand der Technik bekannten Package-auf-Package-Strukturen ausgebildet sein.
  • 34 ist ein Blockdiagramm einer beispielhaften elektrischen Vorrichtung 1800, das eines oder mehrere der hierin offenbarten mikroelektronischen Anordnungen 100 umfassen kann. Beispielsweise können irgendwelche geeigneten der Komponenten der elektrischen Vorrichtung 1800 eine oder mehrere der hierin offenbarten IC-Bauelementanordnungen 1700, IC-Bauelemente 1600 oder Dies 1502 umfassen und in irgendeiner der hierin offenbarten mikroelektronischen Anordnungen 100 angeordnet sein. Eine Anzahl von Komponenten ist in 34 derart dargestellt, dass sie in der elektrischen Vorrichtung 1800 umfasst ist, aber irgendeine oder mehrere dieser Komponenten können weggelassen oder dupliziert werden, wie es für die Anwendung geeignet ist. Bei einigen Ausführungsbeispielen können einige oder alle der Komponenten, die in der elektrischen Vorrichtung 1800 umfasst sind, an eine oder mehrere Hauptplatinen angebracht sein. Bei einigen Ausführungsbeispielen sind einige oder alle dieser Komponenten auf einem einzelnen System-auf-einem-Chip- Die (SoC-Die; SoC = system-on-a-chip) gefertigt.
  • Zusätzlich weist die elektrische Vorrichtung 1800 bei verschiedenen Ausführungsbeispielen eine oder mehrere der Komponenten möglicherweise nicht auf, die in 34 dargestellt sind, aber die elektrische Vorrichtung 1800 kann eine Schnittstellenschaltungsanordnung zum Koppeln mit der einen oder den mehreren Komponenten aufweisen. Zum Beispiel umfasst die Rechenvorrichtung 1800 möglicherweise keine Anzeigevorrichtung 1806, sondern kann eine Anzeigevorrichtungs-Schnittstellenschaltungsanordnung (z.B. einen Verbinder und Treiber-Schaltungsanordnung) umfassen, mit der eine Anzeigevorrichtung 1806 gekoppelt sein kann. Bei einem anderen Satz von Beispielen umfasst die Rechenvorrichtung 1800 möglicherweise keine Audio-Eingabevorrichtung 1824 oder Audio-Ausgabevorrichtung 1808 sondern kann eine Audio-Eingabe- oder -Ausgabevorrichtungs-Schnittstellenschaltungsanordnung (z.B. Verbinder und unterstützende Schaltungsanordnung) umfassen, mit der eine Audio-Eingabevorrichtung 1824 oder Audio-Ausgabevorrichtung 1808 gekoppelt sein kann.
  • Die elektrische Vorrichtung 1800 kann eine Verarbeitungsvorrichtung 1802 (z.B. ein oder mehrere Verarbeitungsvorrichtung) umfassen. Nach hiesigem Gebrauch kann sich der Ausdruck „Verarbeitungsbauelement“ oder „Prozessor“ auf irgendein Bauelement oder irgendeinen Abschnitt eines Bauelements beziehen, der elektronische Daten aus Registern und/oder Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten zu transformieren, die in Registern und/oder Speicher gespeichert werden können. Die Verarbeitungsvorrichtung 1802 kann einen oder mehrere digitale Signalprozessoren (DSPs; digital signal processors), anwendungsspezifische integrierte Schaltungen (ASIC; Application Specific Integrated Circuit), zentrale Verarbeitungseinheiten (CPU; central processing unit), Graphikverarbeitungseinheiten (GPU; graphics processing unit), Kryptoprozessoren (spezialisierte Prozessoren, die kryptographische Algorithmen innerhalb von Hardware ausführen), Serverprozessoren oder jegliche andere Verarbeitungsvorrichtungen umfassen. Die Rechenvorrichtung 1800 kann einen Speicher 1804 umfassen, der selbst ein oder mehrere Speicherbauelemente umfassen kann, wie beispielsweise flüchtigen Speicher (z.B. dynamischen Direktzugriffsspeicher (DRAM; Direct Random Access Memory), nichtflüchtigen Speicher (z.B. Nurlesespeicher (ROM; Read-Only Memory)), Flash-Speicher, Solid-State-Speicher und/oder eine Festplatte. Bei einigen Ausführungsbeispielen kann der Speicher 1804 einen Speicher umfassen, der einen Die gemeinschaftlich mit der Verarbeitungsvorrichtung 1802 verwendet. Dieser Speicher kann als Cache-Speicher verwendet werden und kann einen eingebetteten dynamischen Direktzugriffsspeicher (eDRAM; embedded dynamic random access memory) oder einen Spin-Transfer-Torque-MRAM (STT-MRAM; spin transfer torque magnetic random access memory) umfassen.
  • Bei einigen Ausführungsbeispielen kann die elektrische Vorrichtung 1800 einen Kommunikationschip 1812 (z.B. einen oder mehrere Kommunikationschips) umfassen. Zum Beispiel kann der Kommunikationschip 1812 für ein Verwalten drahtloser Kommunikationen für die Übertragung von Daten zu und von der elektrischen Vorrichtung 1800 ausgebildet sein. Der Ausdruck „drahtlos“ und seine Ableitungen können verwendet werden, um Schaltungen, Bauelemente, Systeme, Verfahren, Techniken, Kommunikationskanäle etc. zu beschreiben, die Daten durch die Verwendung modulierter, elektromagnetischer Strahlung durch ein nicht festes Medium kommunizieren können. Der Ausdruck impliziert nicht, dass die zugeordneten Bauelemente nicht irgendwelche Drähte umfassen, obwohl sie dies bei einigen Ausführungsbeispielen möglicherweise nicht tun.
  • Der Kommunikationschip 1812 kann jegliche Anzahl von drahtlosen Standards oder Protokollen implementieren, umfassend aber nicht beschränkt auf Standards des Institute for Electrical and Electronic Engineers (IEEE), umfassend Wi-Fi (IEEE 802.11 family), IEEE 802.16 Standards (z.B., IEEE 802.16-2005 Amendment), das Long-Term Evolution (LTE) Projekt zusammen mit jeglichen Ergänzungen, Aktualisierungen und/oder Revisionen (z.B., Advanced LTE Projekt, Ultra Mobile Broadband (UMB) Projekt (auch als „3GPP2“ bezeichnet), etc.). Mit IEEE 802.16 kompatible drahtlose Breitbandzugriffs (BWA; Broadband Wireless Access) - Netzwerke werden allgemein bezeichnet als WiMAX-Netzwerke, ein Akronym, das für Worldwide Interoperability for Microwave Access steht, was ein Gütezeichen ist für Produkte, die Konformitäts- und Kompatibilitäts-Tests für die IEEE 802.16 Standards bestehen. Der Kommunikationschip 1812 kann gemäß einem Global System for Mobile Communication (GSM), General Packet Radio Service (GPRS), Universal Mobile Telecommunications System (UMTS), High Speed Packet Access (HSPA), Evolved HSPA (E-HSPA), oder LTE -Netzwerk arbeiten. Der Kommunikationschip 1812 kann arbeiten gemäß Enhanced Data for GSM Evolution (EDGE), GSM EDGE Radio Access Network (GERAN), Universal Terrestrial Radio Access Network (UTRAN), oder Evolved UTRAN (E-UTRAN). Der Kommunikationschip 1812 kann arbeiten gemäß Codemultiplexzugriff (CDMA; Code Division Multiple Access), Zeitmultiplexzugriff (TDMA; Time Division Multiple Access), Digital Enhanced Cordless Telecommunications (DECT), Evolution-Data Optimized (EV-DO), und Ableitungen davon, sowie jeglichen anderen drahtlosen Protokollen, die bezeichnet werden als 3G, 4G, 5G, und darüber hinaus. Der Kommunikationschip 1812 kann bei anderen Ausführungsbeispielen gemäß anderen drahtlosen Protokollen arbeiten. Die elektrische Vorrichtung 1800 kann eine Antenne 1822 zum Ermöglichen drahtloser Kommunikationen und/oder zum Empfangen anderer drahtloser Kommunikationen (wie beispielsweise AM- oder FM-Funkübertragungen) umfassen.
  • Bei einigen Ausführungsbeispielen kann der Kommunikationschip 1812 verdrahtete Kommunikationen managen, wie beispielsweise elektrische, optische oder irgendwelche anderen geeigneten Kommunikationsprotokolle (z.B. das Ethernet). Wie vorangehend erwähnt wurde kann der Kommunikationschip 1812 mehrere Kommunikationschips umfassen. Zum Beispiel kann ein erster Kommunikationschip 1812 zweckgebunden sein für drahtlose Kommunikationen mit kürzerem Bereich, wie beispielsweise Wi-Fi oder Bluetooth, und ein zweiter Kommunikationschip 1812 kann zweckgebunden sein für drahtlose Kommunikationen mit längerem Bereich, wie beispielsweise GPS (global positioning system), EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO, oder andere. Bei einigen Ausführungsbeispielen kann ein erster Kommunikationschip 1812 zweckgebunden sein für drahtlose Kommunikationen, und ein zweiter Kommunikationschip 1812 kann zweckgebunden sein für verdrahtete Kommunikationen.
  • Die elektrische Vorrichtung 1800 kann eine Batterie/Leistungsschaltungsanordnung 1814 umfassen. Die Batterie/Leistungsschaltungsanordnung 1814 kann eine oder mehrere Energiespeichervorrichtungen (z.B. Batterien oder Kondensatoren) und/oder Schaltungsanordnungen für Kopplungskomponenten der elektrischen Vorrichtung 1800 umfassen, zu einer Energiequelle, getrennt von der elektrischen Vorrichtung 1800 (z.B. Wechselstrom-Leitungs-Leistung).
  • Die elektrische Vorrichtung 1800 kann eine Anzeigevorrichtung 1806 (oder entsprechende Schnittstellenschaltungsanordnung, wie vorangehend erörtert wurde) umfassen. Die Anzeigevorrichtung 1806 kann irgendwelche visuellen Indikatoren umfassen, wie beispielsweise ein Head-up-Display (HUD; heads-up display), einen Computermonitor, einen Projektor, eine Touchscreen-Anzeige, eine Flüssigkristallanzeige (LCD; liquid crystal display) eine lichtemittierende Dioden-Anzeige oder eine Flachbildschirmanzeige.
  • Die elektrische Vorrichtung 1800 kann eine Audio-Ausgabevorrichtung 1808 (oder entsprechende Schnittstellenschaltungsanordnung, wie vorangehend erörtert wurde) umfassen. Die Audio-Ausgabevorrichtung 1808 kann irgendeine Vorrichtung umfassen, die einen hörbaren Indikator erzeugt, wie beispielsweise Lautsprecher, Headsets oder Ohrhörer.
  • Die elektrische Vorrichtung 1800 kann eine Audio-Eingabevorrichtung 1824 (oder entsprechende Schnittstellenschaltungsanordnung, wie vorangehend erörtert wurde) umfassen. Die Audio-Eingabevorrichtung 1824 kann irgendeine Vorrichtung umfassen, die ein Signal erzeugt, das einen Klang repräsentiert, wie beispielsweise Mikrofone, Mikrofon-Arrays oder digitale Instrumente (z.B. Instrumente mit einem MIDI (musical instrument digital interface; digitale Musikinstrumenten-Schnittstelle) -Ausgang).
  • Die elektrische Vorrichtung 1800 kann ein GPS-Bauelement 1818 (oder entsprechende Schnittstellenschaltungsanordnung, wie vorangehend erörtert wurde) umfassen. Das GPS-Bauelement 1818 kann in Kommunikation mit einem Satelliten-basierten System sein und kann einen Ort der elektrischen Vorrichtung 1800 empfangen, wie es im Stand der Technik bekannt ist.
  • Die elektrische Vorrichtung 1800 kann eine andere Ausgabevorrichtung 1810 (oder entsprechende Schnittstellenschaltungsanordnung, wie vorangehend erörtert wurde) umfassen. Beispiele der anderen Ausgabe-Vorrichtung 1810 können einen Audio-Codec, einen Video-Codec, einen Drucker, einen verdrahteten oder drahtlosen Sender zum Bereitstellen von Informationen an andere Bauelemente oder ein zusätzliches Speicherbauelement umfassen.
  • Die elektrische Vorrichtung 1800 kann eine andere Eingabevorrichtung 1820 (oder entsprechende Schnittstellenschaltungsanordnung, wie vorangehend erörtert wurde) umfassen. Beispiele der anderen Eingabevorrichtung 1820 können einen Beschleunigungsmesser, ein Gyroskop, einen Kompass, eine Bilderfassungsvorrichtung, eine Tastatur, eine Cursor-Steuerungsvorrichtung, wie beispielsweise eine Maus, einen Stift, ein Touchpad, einen Strichcodeleser, einen Codeleser für Quick Response (QR), irgendeinen Sensor oder einen Leser für Radiofrequenz-Identifikation (RFID; radio frequency identification) umfassen.
  • Die elektrische Vorrichtung 1800 kann irgendeinen gewünschten Formfaktor aufweisen, wie beispielsweise ein handgehaltenes oder mobiles elektrisches Bauelement (z.B. ein Mobiltelefon, ein Smartphone, eine mobile Internetvorrichtung, ein Musikspieler, ein Tablet-Computer, ein Laptop-Computer, ein Netbook-Computer, ein Ultrabook-Computer, ein persönlicher digitaler Assistent (PDA), ein ultramobiler Personal-Computer, etc.), ein elektrisches Desktop-Bauelement, einen Server oder eine andere vernetzte Rechenkomponente, einen Drucker, einen Scanner, einen Monitor, eine Set-Top-Box, eine Unterhaltungs-Steuereinheit, eine Fahrzeug-Steuerungseinheit, eine digitale Kamera, einen digitalen Videorecorder oder ein tragbares elektrisches Bauelement. Bei einigen Ausführungsbeispielen kann die elektrische Vorrichtung 1800 irgendein anderes elektronisches Bauelement sein, das Daten verarbeitet.
  • Die nachfolgenden Absätze stellen verschiedene Beispiele der hierin offenbarten Ausführungsbeispiele dar.
  • Beispiel 1 ist eine mikroelektronische Anordnung, umfassend: ein Package-Substrat umfassen, umfassend ein dielektrisches Material, das eine erste Oberfläche und eine gegenüberliegende zweite Oberfläche aufweist, ein erstes photodefinierbares Material auf zumindest einem Abschnitt der zweiten Oberfläche und ein zweites photodefinierbares Material auf zumindest einem Abschnitt des ersten photodefinierbaren Materials umfassen, wobei das zweite photodefinierbare Material eine unterschiedliche Materialzusammensetzung als das erste photodefinierbare Material aufweist.
  • Beispiel 2 kann den Gegenstand von Beispiel 1 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material oder das zweite photodefinierbare Material ein Lötresist umfasst.
  • Beispiel 3 kann den Gegenstand von Beispiel 1 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material oder das zweite photodefinierbare Material ein photoabbildbares Dielektrikum umfasst.
  • Beispiel 4 kann den Gegenstand von irgendeinem der Beispiele 1-3 umfassen und kann ferner spezifizieren, dass eines von dem ersten photodefinierbaren Material oder dem zweiten photodefinierbaren Material einen negativen Ton aufweist und ein anderes von dem ersten photodefinierbaren Material oder dem zweiten photodefinierbaren Material einen positiven Ton aufweist.
  • Beispiel 5 kann den Gegenstand von Beispiel 1 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material oder das zweite photodefinierbare Material Barium und Schwefel umfasst.
  • Beispiel 6 kann den Gegenstand von Beispiel 1 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material oder das zweite photodefinierbare Material Siliziumdioxid umfasst.
  • Beispiel 7 kann den Gegenstand von Beispiel 1 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material oder das zweite photodefinierbare Material Siliziumdioxid umfasst, in einer Menge von 20 bis 30 Gewichtsprozent.
  • Beispiel 8 kann den Gegenstand von Beispiel 1 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material oder das zweite photodefinierbare Material Siliziumdioxid umfasst, in einer Menge von 70 bis 90 Gewichtsprozent.
  • Beispiel 9 den Gegenstand von Beispiel 1 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material Siliziumdioxid in einer Menge von 70 bis 90 Gewichtsprozent umfasst und das zweite photodefinierbare Material Siliziumdioxid in einer Menge von 20 bis 30 Gewichtsprozent umfasst.
  • Beispiel 10 kann den Gegenstand von Beispiel 1 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material ein Löt-Resist ist und das zweite photodefinierbare Material ein photoabbildbares Dielektrikum ist.
  • Beispiel 11 kann den Gegenstand von einem der Beispiele 1-10 umfassen und kann ferner spezifizieren, dass eine Dicke des ersten photodefinierbaren Materials zwischen 10 Mikrometern und 75 Mikrometern ist.
  • Beispiel 12 kann den Gegenstand von einem der Beispiele 1-11 umfassen und kann ferner spezifizieren, dass eine Dicke des zweiten photodefinierbaren Materials zwischen 20 Mikrometern und 200 Mikrometern ist.
  • Beispiel 13 kann den Gegenstand von einem der Beispiele 1-12 umfassen und kann ferner spezifizieren, dass das zweite photodefinierbare Material eine Seitenwand einer Aussparung bereitstellt.
  • Beispiel 14 kann den Gegenstand von Beispiel 13 umfassen und kann ferner spezifizieren, dass die Seitenwand unterschnitten ist.
  • Beispiel 15 kann den Gegenstand von Beispiel 13 umfassen und kann ferner spezifizieren, dass die Seitenwand überschnitten ist.
  • Beispiel 16 kann den Gegenstand von einem der Beispiele 13-15 umfassen und kann ferner spezifizieren, dass Ecken einer Draufsicht der Aussparung einen Abrundungsradius haben, der kleiner als 10 Mikrometer ist.
  • Beispiel 17 kann den Gegenstand von einem der Beispiele 1-16 umfassen und kann ferner spezifizieren, dass das Package-Substrat ferner erste leitfähige Kontakte und zweite leitfähige Kontakte umfasst, erste Öffnungen durch das erste photodefinierbare Material und das zweite photodefinierbare Material die ersten leitfähigen Kontakte freilegen und zweite Öffnungen durch das erste photodefinierbare Material die zweiten leitfähigen Kontakte freilegen.
  • Beispiel 18 kann den Gegenstand von Beispiel 17 umfassen und kann ferner spezifizieren, dass die ersten leitfähigen Kontakte einen Abstand aufweisen, der größer ist als ein Abstand der zweiten leitfähigen Kontakte.
  • Beispiel 19 kann den Gegenstand von einem der Beispiele 17-18 umfassen und kann ferner umfassen: Lötmaterial in den ersten Öffnungen und in den zweiten Öffnungen.
  • Beispiel 20 kann den Gegenstand von einem der Beispiele 17-19 umfassen und kann ferner spezifizieren, dass das zweite photodefinierbare Material eine Seitenwand einer Aussparung bereitstellt und die zweiten Öffnungen um einen Betrag ungleich Null davon versetzt sind, in der Aussparung zentiert zu sein.
  • Beispiel 21 kann den Gegenstand von einem der Beispiele 17-20 umfassen und kann ferner umfassen: einen ersten Die, der mit zumindest einigen der ersten leitfähigen Kontakte leitfähig gekoppelt ist; und einen zweiten Die, der mit den zweiten leitfähigen Kontakten leitfähig gekoppelt ist.
  • Beispiel 22 kann den Gegenstand von Beispiel 21 umfassen und kann ferner spezifizieren, dass der erste Die sich zumindest teilweise über den zweiten Die erstreckt.
  • Beispiel 23 kann den Gegenstand von einem der Beispiele 21-22 umfassen und kann ferner spezifizieren, dass der zweite Die eine erste Oberfläche und eine gegenüberliegende zweite Oberfläche aufweist, leitfähige Kontakte an der ersten Oberfläche des zweiten Dies leitfähige mit den zweiten leitfähigen Kontakten gekoppelt sind und leitfähige Kontakte an der zweiten Oberfläche des zweiten Dies leitfähig mit leitfähigen Kontakten des ersten Dies gekoppelt sind.
  • Beispiel 24 kann den Gegenstand von Beispiel 23 umfassen und kann ferner umfassen: einen dritten Die, der leitfähig mit zumindest einigen der ersten leitfähigen Kontakte gekoppelt ist, wobei leitfähige Kontakte an der zweiten Oberfläche des zweiten Dies leitfähig mit leitfähigen Kontakten des dritten Dies gekoppelt sind.
  • Beispiel 25 kann den Gegenstand von einem der Beispiele 17-24 umfassen und kann ferner spezifizieren, dass die ersten leitfähigen Kontakte einen Abstand zwischen 80 Mikrometern und 200 Mikrometern aufweisen.
  • Beispiel 26 kann den Gegenstand von einem der Beispiele 17-25 umfassen und kann ferner spezifizieren, dass die zweiten leitfähigen Kontakte einen Abstand zwischen 20 Mikrometern und 80 Mikrometern aufweisen.
  • Beispiel 27 kann den Gegenstand von einem der Beispiele 1-26 umfassen und kann ferner spezifizieren, dass das dielektrische Material einen Aufbaufilm umfasst.
  • Beispiel 28 kann den Gegenstand von einem der Beispiele 1-27 umfassen und kann ferner umfassen: eine Schaltungsplatine, die mit dem Package-Substrat gekoppelt ist; wobei die Schaltungsplatine in einer handgehaltenen Rechenvorrichtung oder einem Server umfasst ist.
  • Beispiel 29 ist eine mikroelektronische Anordnung, umfassend: ein Package-Substrat mit einer ersten Oberfläche und einer gegenüberliegenden zweiten Oberfläche, wobei das Package-Substrat ein dielektrisches Material an der zweiten Oberfläche umfasst, das dielektrische Material eine Aussparung umfasst und Seitenwände der Aussparung unterschnitten sind.
  • Beispiel 29 kann den Gegenstand von Beispiel 28 umfassen und kann ferner spezifizieren, dass das dielektrische Material ein erstes, dielektrisches Material ist, das Package-Substrat ein zweites dielektrisches Material umfasst, das zweite dielektrische Material eine erste Oberfläche und eine gegenüberliegende zweite Oberfläche aufweist, und das erste dielektrische Material ein erstes photodefinierbares Material auf zumindest einem Abschnitt der zweiten Oberfläche des zweiten dielektrischen Materials, und ein zweites photodefinierbares Material auf zumindest einem Abschnitt des ersten photodefinierbaren Materials, wobei das zweite photodefinierbare Material eine unterschiedliche Materialzusammensetzung als das erste photodefinierbare Material aufweist
  • Beispiel 31 kann den Gegenstand von Beispiel 30 umfassen und kann ferner spezifizieren, dass eines von dem ersten photodefinierbaren Material oder dem zweiten photodefinierbaren Material einen negativen Ton aufweist und ein anderes von dem ersten photodefinierbaren Material oder dem zweiten photodefinierbaren Material einen positiven Ton aufweist.
  • Beispiel 32 kann den Gegenstand von Beispiel 30 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material oder das zweite photodefinierbare Material Siliziumdioxid umfasst, in einer Menge von 20 bis 30 Gewichtsprozent.
  • Beispiel 33 kann den Gegenstand von Beispiel 30 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material oder das zweite photodefinierbare Material Siliziumdioxid umfasst, in einer Menge von 70 bis 90 Gewichtsprozent.
  • Beispiel 34 den Gegenstand von Beispiel 30 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material Siliziumdioxid in einer Menge von 70 bis 90 Gewichtsprozent umfasst und das zweite photodefinierbare Material Siliziumdioxid in einer Menge von 20 bis 30 Gewichtsprozent umfasst.
  • Beispiel 35 kann den Gegenstand von Beispiel 30 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material ein Löt-Resist ist und das zweite photodefinierbare Material ein photoabbildbares Dielektrikum ist.
  • Beispiel 36 kann den Gegenstand von einem der Beispiele 30-34 umfassen und kann ferner spezifizieren, dass eine Dicke des ersten photodefinierbaren Materials zwischen 10 Mikrometern und 75 Mikrometern ist.
  • Beispiel 37 kann den Gegenstand von einem der Beispiele 30-36 umfassen und kann ferner spezifizieren, dass eine Dicke des zweiten photodefinierbaren Materials zwischen 20 Mikrometern und 200 Mikrometern ist.
  • Beispiel 38 kann den Gegenstand von einem der Beispiele 29-37 umfassen und kann ferner spezifizieren, dass das dielektrische Material ein Löt-Resiste umfasst.
  • Beispiel 39 kann den Gegenstand von einem der Beispiele 29-37 umfassen und kann ferner spezifizieren, dass das dielektrische Material ein photoabbildbares Dielektrikum umfasst.
  • Beispiel 40 kann den Gegenstand von einem der Beispiele 29-37 umfassen und kann ferner spezifizieren, dass das dielektrische Material Barium und Schwefel umfasst.
  • Beispiel 41 kann den Gegenstand von einem der Beispiele 29-37 umfassen und kann ferner spezifizieren, dass das dielektrische Material Siliziumdioxid umfassen.
  • Beispiel 42 kann den Gegenstand von einem der Beispiele 29-41 umfassen und kann ferner spezifizieren, dass Ecken einer Draufsicht der Aussparung einen Abrundungsradius haben, der kleiner als 10 Mikrometer ist.
  • Beispiel 43 kann den Gegenstand von einem der Beispiele 29-42 umfassen und kann ferner spezifizieren, dass das Package-Substrat ferner erste leitfähige Kontakte und zweite leitfähige Kontakte aufweist, erste Öffnungen durch das dielektrische Material die ersten leitfähigen Kontakte freilegen und zweite Öffnungen durch das dielektrische Material die zweiten leitfähigen Kontakte an einem Boden der Aussparung freilegen.
  • Beispiel 44 kann den Gegenstand von Beispiel 43 umfassen und kann ferner spezifizieren, dass die ersten leitfähigen Kontakte einen Abstand aufweisen, der größer ist als ein Abstand der zweiten leitfähigen Kontakte.
  • Beispiel 45 kann den Gegenstand von einem der Beispiele 43-44 umfassen und kann ferner umfassen: Lötmaterial in den ersten Öffnungen und in den zweiten Öffnungen.
  • Beispiel 46 kann den Gegenstand von einem der Beispiele 43-45 umfassen und kann ferner spezifizieren, dass die zweiten Öffnungen um einen Betrag ungleich Null davon versetzt sind, in der Aussparung zentriert zu sein.
  • Beispiel 47 kann den Gegenstand von einem der Beispiele 43-46 umfassen und kann ferner umfassen: einen ersten Die, der mit zumindest einigen der ersten leitfähigen Kontakte leitfähig gekoppelt ist; und einen zweiten Die, der mit den zweiten leitfähigen Kontakten leitfähig gekoppelt ist.
  • Beispiel 48 kann den Gegenstand von Beispiel 47 umfassen und kann ferner spezifizieren, dass der erste Die sich zumindest teilweise über den zweiten Die erstreckt.
  • Beispiel 49 kann den Gegenstand von einem der Beispiele 47-48 umfassen und kann ferner spezifizieren, dass der zweite Die eine erste Oberfläche und eine gegenüberliegende zweite Oberfläche aufweist, leitfähige Kontakte an der ersten Oberfläche des zweiten Dies leitfähige mit den zweiten leitfähigen Kontakten gekoppelt sind und leitfähige Kontakte an der zweiten Oberfläche des zweiten Dies leitfähig mit leitfähigen Kontakten des ersten Dies gekoppelt sind.
  • Beispiel 50 kann den Gegenstand von Beispiel 49 umfassen und kann ferner umfassen: einen dritten Die, der leitfähig mit zumindest einigen der ersten leitfähigen Kontakte gekoppelt ist, wobei leitfähige Kontakte an der zweiten Oberfläche des zweiten Dies leitfähig mit leitfähigen Kontakten des dritten Dies gekoppelt sind.
  • Beispiel 51 kann den Gegenstand von einem der Beispiele 43-50 umfassen und kann ferner spezifizieren, dass die ersten leitfähigen Kontakte einen Abstand zwischen 80 Mikrometern und 200 Mikrometern aufweisen und die zweiten leitfähigen Kontakte einen Abstand zwischen 20 Mikrometern und 80 Mikrometern aufweisen.
  • Beispiel 52 kann den Gegenstand von einem der Beispiele 29-51 umfassen und kann ferner umfassen: eine Schaltungsplatine, die mit dem Package-Substrat gekoppelt ist.
  • Beispiel 53 kann den Gegenstand von einem der Beispiele 29-52 umfassen und kann ferner spezifizieren, dass das Package-Substrat in einer handgehaltenen Rechenvorrichtung oder einem Server umfasst ist.
  • Beispiel 54 ist eine mikroelektronische Anordnung, umfassend: ein Package-Substrat mit einer ersten Oberfläche und einer gegenüberliegenden zweiten Oberfläche, wobei das Package-Substrat ein dielektrisches Material an der zweiten Oberfläche umfasst, das dielektrische Material eine Aussparung umfasst und Ecken einer Draufsicht der Aussparung einen Rundungsradius von weniger als 10 Mikrometern aufweisen.
  • Beispiel 55 kann den Gegenstand von Beispiel 54 umfassen und kann ferner spezifizieren, dass das dielektrische Material ein erstes, dielektrisches Material ist, das Package-Substrat ein zweites dielektrisches Material umfasst, das zweite dielektrische Material eine erste Oberfläche und eine gegenüberliegende zweite Oberfläche aufweist, und das erste dielektrische Material ein erstes photodefinierbares Material auf zumindest einem Abschnitt der zweiten Oberfläche des zweiten dielektrischen Materials, und ein zweites photodefinierbares Material auf zumindest einem Abschnitt des ersten photodefinierbaren Materials, wobei das zweite photodefinierbare Material eine unterschiedliche Materialzusammensetzung als das erste photodefinierbare Material aufweist
  • Beispiel 56 kann den Gegenstand von Beispiel 55 umfassen und kann ferner spezifizieren, dass eines von dem ersten photodefinierbaren Material oder dem zweiten photodefinierbaren Material einen negativen Ton aufweist und ein anderes von dem ersten photodefinierbaren Material oder dem zweiten photodefinierbaren Material einen positiven Ton aufweist.
  • Beispiel 57 kann den Gegenstand von Beispiel 55 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material oder das zweite photodefinierbare Material Siliziumdioxid umfasst, in einer Menge von 20 bis 30 Gewichtsprozent.
  • Beispiel 58 kann den Gegenstand von Beispiel 55 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material oder das zweite photodefinierbare Material Siliziumdioxid umfasst, in einer Menge von 70 bis 90 Gewichtsprozent.
  • Beispiel 59 den Gegenstand von Beispiel 55 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material Siliziumdioxid in einer Menge von 70 bis 90 Gewichtsprozent umfasst und das zweite photodefinierbare Material Siliziumdioxid in einer Menge von 20 bis 30 Gewichtsprozent umfasst.
  • Beispiel 60 kann den Gegenstand von Beispiel 55 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material ein Löt-Resist ist und das zweite photodefinierbare Material ein photoabbildbares Dielektrikum ist.
  • Beispiel 61 kann den Gegenstand von einem der Beispiele 55-60 umfassen und kann ferner spezifizieren, dass eine Dicke des ersten photodefinierbaren Materials zwischen 10 Mikrometern und 75 Mikrometern ist.
  • Beispiel 62 kann den Gegenstand von einem der Beispiele 55-61 umfassen und kann ferner spezifizieren, dass eine Dicke des zweiten photodefinierbaren Materials zwischen 20 Mikrometern und 200 Mikrometern ist.
  • Beispiel 63 kann den Gegenstand von Beispiel 54 umfassen und kann ferner spezifizieren, dass das dielektrische Material ein Löt-Resist umfasst.
  • Beispiel 64 kann den Gegenstand von Beispiel 54 umfassen und kann ferner spezifizieren, dass das dielektrische Material ein photoabbildbares Dielektrikum umfasst.
  • Beispiel 65 kann den Gegenstand von Beispiele54 umfassen und kann ferner spezifizieren, dass das dielektrische Material Barium und Schwefel umfasst.
  • Beispiel 66 kann den Gegenstand von Beispiele54 umfassen und kann ferner spezifizieren, dass das dielektrische Material Siliziumdioxid umfasst.
  • Beispiel 67 kann den Gegenstand von einem der Beispiele 54-66 umfassen und kann ferner spezifizieren, dass Seitenwände der Aussparung unterschnitten sind.
  • Beispiel 68 kann den Gegenstand von einem der Beispiele 54-67 umfassen und kann ferner spezifizieren, dass das Package-Substrat ferner erste leitfähige Kontakte und zweite leitfähige Kontakte aufweist, erste Öffnungen durch das dielektrische Material die ersten leitfähigen Kontakte freilegen und zweite Öffnungen durch das dielektrische Material die zweiten leitfähigen Kontakte an einem Boden der Aussparung freilegen.
  • Beispiel 69 kann den Gegenstand von Beispiel 68 umfassen und kann ferner spezifizieren, dass die ersten leitfähigen Kontakte einen Abstand aufweisen, der größer ist als ein Abstand der zweiten leitfähigen Kontakte.
  • Beispiel 70 kann den Gegenstand von einem der Beispiele 68-69 umfassen und kann ferner umfassen: Lötmaterial in den ersten Öffnungen und in den zweiten Öffnungen.
  • Beispiel 71 kann den Gegenstand von einem der Beispiele 68-70 umfassen und kann ferner spezifizieren, dass die zweiten Öffnungen um einen Betrag ungleich Null davon versetzt sind, in der Aussparung zentriert zu sein.
  • Beispiel 72 kann den Gegenstand von einem der Beispiele 68-71 umfassen und kann ferner umfassen: einen ersten Die, der mit zumindest einigen der ersten leitfähigen Kontakte leitfähig gekoppelt ist; und einen zweiten Die, der mit den zweiten leitfähigen Kontakten leitfähig gekoppelt ist.
  • Beispiel 73 kann den Gegenstand von Beispiel 72 umfassen und kann ferner spezifizieren, dass der erste Die sich zumindest teilweise über den zweiten Die erstreckt.
  • Beispiel 74 kann den Gegenstand von einem der Beispiele 72-73 umfassen und kann ferner spezifizieren, dass der zweite Die eine erste Oberfläche und eine gegenüberliegende zweite Oberfläche aufweist, leitfähige Kontakte an der ersten Oberfläche des zweiten Dies leitfähige mit den zweiten leitfähigen Kontakten gekoppelt sind und leitfähige Kontakte an der zweiten Oberfläche des zweiten Dies leitfähig mit leitfähigen Kontakten des ersten Dies gekoppelt sind.
  • Beispiel 75 kann den Gegenstand von Beispiel 74 umfassen und kann ferner umfassen: einen dritten Die, der leitfähig mit zumindest einigen der ersten leitfähigen Kontakte gekoppelt ist, wobei leitfähige Kontakte an der zweiten Oberfläche des zweiten Dies leitfähig mit leitfähigen Kontakten des dritten Dies gekoppelt sind.
  • Beispiel 76 kann den Gegenstand von einem der Beispiele 68-75 umfassen und kann ferner spezifizieren, dass die ersten leitfähigen Kontakte einen Abstand zwischen 80 Mikrometern und 200 Mikrometern aufweisen, und die zweiten leitfähigen Kontakte einen Abstand zwischen 20 Mikrometern und 80 Mikrometern aufweisen.
  • Beispiel 77 kann den Gegenstand von einem der Beispiele 54-76 umfassen und kann ferner umfassen: eine Hauptplatine, die mit dem Package-Substrat gekoppelt ist.
  • Beispiel 78 kann den Gegenstand von einem der Beispiele 54-77 umfassen und kann ferner umfassen: eine drahtlose Kommunikationsschaltungsanordnung.
  • Beispiel 79 ist eine mikroelektronische Anordnung, umfassend: ein Package-Substrat mit einer ersten Oberfläche und einer gegenüberliegenden zweiten Oberfläche, wobei das Package-Substrat ein dielektrisches Material an der zweiten Oberfläche umfasst, das dielektrische Material eine Aussparung umfasst und Seitenwände der Aussparung gewellt sind.
  • Beispiel 80 kann den Gegenstand von Beispiel 79 umfassen und kann ferner spezifizieren, dass das dielektrische Material ein photodefinierbares Material ist.
  • Beispiel 81 kann den Gegenstand von Beispiel 80 umfassen und kann ferner spezifizieren, dass das photodefinierbare Material auf einer Aufbaufilm-Schicht des Package-Substrats ist.
  • Beispiel 82 kann den Gegenstand von Beispiel 80 umfassen und kann ferner spezifizieren, dass das photodefinierbare Material Siliziumdioxid in einer Menge von 20 bis 30 Gewichtsprozent umfasst.
  • Beispiel 83 kann den Gegenstand von Beispiel 80 umfassen und kann ferner spezifizieren, dass das photodefinierbare Material Siliziumdioxid in einer Menge von 70 bis 90 Gewichtsprozent umfasst.
  • Beispiel 84 kann den Gegenstand von Beispiel 80 umfassen und kann ferner spezifizieren, dass das photodefinierbare Material ein Löt-Resist ist.
  • Beispiel 85 kann den Gegenstand von Beispiel 80 umfassen und kann ferner spezifizieren, dass das photodefinierbare Material ein photoabbildbares Dielektrikum ist.
  • Beispiel 86 kann den Gegenstand von einem der Beispiele 80-85 umfassen und kann ferner spezifizieren, dass eine Dicke des photodefinierbaren Materials zwischen 20 Mikrometern und 300 Mikrometern ist.
  • Beispiel 87 kann den Gegenstand von einem der Beispiele 79-86 umfassen und kann ferner spezifizieren, dass eine Tiefe der Aussparung zwischen 20 Mikrometern und 200 Mikrometern ist.
  • Beispiel 88 kann den Gegenstand von Beispiel 79 umfassen und kann ferner spezifizieren, dass das dielektrische Material ein Löt-Resist umfasst.
  • Beispiel 89 kann den Gegenstand von Beispiel 79 umfassen und kann ferner spezifizieren, dass das dielektrische Material ein photoabbildbares Dielektrikum umfasst.
  • Beispiel 90 kann den Gegenstand von Beispiel 79 umfassen und kann ferner spezifizieren, dass das dielektrische Material Barium und Schwefel umfasst.
  • Beispiel 91 kann den Gegenstand von Beispiel 79 umfassen und kann ferner spezifizieren, dass das dielektrische Material Siliziumdioxid umfasst.
  • Beispiel 92 kann den Gegenstand von einem der Beispiele 79-91 umfassen und kann ferner spezifizieren, dass Seitenwände der Aussparung überschnitten sind.
  • Beispiel 93 kann den Gegenstand von einem der Beispiele 79-92 umfassen und kann ferner spezifizieren, dass das Package-Substrat ferner erste leitfähige Kontakte und zweite leitfähige Kontakte aufweist, erste Öffnungen durch das dielektrische Material die ersten leitfähigen Kontakte freilegen und zweite Öffnungen durch das dielektrische Material die zweiten leitfähigen Kontakte an einem Boden der Aussparung freilegen.
  • Beispiel 94 kann den Gegenstand von Beispiel 93 umfassen und kann ferner spezifizieren, dass die ersten leitfähigen Kontakte einen Abstand aufweisen, der größer ist als ein Abstand der zweiten leitfähigen Kontakte.
  • Beispiel 95 kann den Gegenstand von einem der Beispiele 93-94 umfassen und kann ferner umfassen: Lötmaterial in den ersten Öffnungen und in den zweiten Öffnungen.
  • Beispiel 96 kann den Gegenstand von einem der Beispiele 93-95 umfassen und kann ferner umfassen: einen ersten Die, der mit zumindest einigen der ersten leitfähigen Kontakte leitfähig gekoppelt ist; und einen zweiten Die, der mit den zweiten leitfähigen Kontakten leitfähig gekoppelt ist.
  • Beispiel 97 kann den Gegenstand von Beispiel 96 umfassen und kann ferner spezifizieren, dass der erste Die sich zumindest teilweise über den zweiten Die erstreckt.
  • Beispiel 98 kann den Gegenstand von einem der Beispiele 96-97 umfassen und kann ferner spezifizieren, dass der zweite Die eine erste Oberfläche und eine gegenüberliegende zweite Oberfläche aufweist, leitfähige Kontakte an der ersten Oberfläche des zweiten Dies leitfähige mit den zweiten leitfähigen Kontakten gekoppelt sind und leitfähige Kontakte an der zweiten Oberfläche des zweiten Dies leitfähig mit leitfähigen Kontakten des ersten Dies gekoppelt sind.
  • Beispiel 99 kann den Gegenstand von Beispiel 98 umfassen und kann ferner umfassen: einen dritten Die, der leitfähig mit zumindest einigen der ersten leitfähigen Kontakte gekoppelt ist, wobei leitfähige Kontakte an der zweiten Oberfläche des zweiten Dies leitfähig mit leitfähigen Kontakten des dritten Dies gekoppelt sind.
  • Beispiel 100 kann den Gegenstand von einem der Beispiele 93-99 umfassen und kann ferner spezifizieren, dass die ersten leitfähigen Kontakte einen Abstand zwischen 80 Mikrometern und 200 Mikrometern aufweisen.
  • Beispiel 101 kann den Gegenstand von einem der Beispiele 93-100 umfassen und kann ferner spezifizieren, dass die zweiten leitfähigen Kontakte einen Abstand zwischen 20 Mikrometern und 80 Mikrometern aufweisen.
  • Beispiel 102 kann den Gegenstand von einem der Beispiele 79-101 umfassen und kann ferner spezifizieren, dass das Package-Substrat ein Kugelgitterarray-Package-Substrat ist.
  • Beispiel 103 kann den Gegenstand von einem der Beispiele 79-102 umfassen und kann ferner spezifizieren, dass zumindest einige der Wellen eine Tiefe zwischen 100 Nanometern und 10 Mikrometern aufweisen.
  • Beispiel 104 kann den Gegenstand von einem der Beispiele 79-103 umfassen und kann ferner spezifizieren, dass zumindest einige der Wellen eine Tiefe zwischen zum Beispiel 0,1 Mikrometern und 10 Mikrometern aufweisen.
  • Beispiel 105 kann den Gegenstand von einem der Beispiele 79-104 umfassen und kann ferner umfassen: eine Schaltungsplatine, die mit dem Package-Substrat gekoppelt ist.
  • Beispiel 106 kann den Gegenstand von einem der Beispiele 79-105 umfassen und kann ferner spezifizieren, dass das Package-Substrat in einer handgehaltenen Rechenvorrichtung oder einem Server umfasst ist.
  • Beispiel 107 ist ein Verfahren zum Herstellen eines Package-Substrat, umfassend: Bilden einer Schicht eines ersten photodefinierbaren Materials auf einer Oberfläche einer Package-Substrat-Anordnung, wobei die Package-Substrat-Anordnung leitfähige Kontakte an der Oberfläche umfasst; Bilden einer Schicht eines zweiten photodefinierbaren Materials auf dem ersten photodefinierbaren Material, wobei das zweite photodefinierbare Material eine unterschiedliche Materialzusammensetzung zu dem ersten photodefinierbaren Material aufweist; und Belichten und Entwickeln des ersten photodefinierbaren Materials und des zweiten photodefinierbaren Materials, um Öffnungen zu erzeugen, um die leitfähigen Kontakte freizulegen.
  • Beispiel 108 kann den Gegenstand von Beispiel 107 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material und das zweite photodefinierbare Material einen entgegengesetzten Ton aufweisen.
  • Beispiel 109 kann den Gegenstand von Beispiel 107 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material und das zweite photodefinierbare Material den gleichen Ton aufweisen.
  • Beispiel 110 kann den Gegenstand von einem der Beispiele 107-108 umfassen und kann ferner spezifizieren, dass das Belichten und Entwickeln des ersten photodefinierbaren Materials und des zweiten photodefinierbaren Materials umfasst: Belichten und Entwickeln des zweiten photodefinierbaren Materials; und nach dem Belichten und Entwickeln des zweiten photodefinierbaren Materials, Belichten und Entwickeln des ersten photodefinierbaren Materials.
  • Beispiel 111 kann den Gegenstand von einem der Beispiele 107-110 umfassen und kann ferner spezifizieren, dass das Belichten und Entwickeln des ersten photodefinierbaren Materials und des zweiten photodefinierbaren Materials Grauskala-Maskieren umfasst.
  • Beispiel 112 kann den Gegenstand von einem der Beispiele 107-111 umfassen und kann ferner spezifizieren, dass leitfähige Kontakte erste leitfähige Kontakte und zweite leitfähige Kontakte umfassen, die Öffnungen, die die ersten leitfähigen Kontakte freilegen, sich durch das erste photodefinierbare Material und das zweite photodefinierbare Material erstrecken, und die Öffnungen, die die zweiten leitfähigen Kontakte freilegen, sich durch das erste photodefinierbare Material erstrecken.
  • Beispiel 113 kann den Gegenstand von Beispiel 112 umfassen und kann ferner spezifizieren, dass die zweiten leitfähigen Kontakte einen kleineren Abstand aufweisen als die ersten leitfähigen Kontakte.
  • Beispiel 114 kann den Gegenstand von Beispiel 107-113 umfassen und kann ferner spezifizieren, dass das erste photodefinierbare Material oder das zweite photodefinierbare Material ein Löt-Resist oder ein photoabbildbares Dielektrikum umfasst.
  • Beispiel 115 kann den Gegenstand von einem der Beispiele 107-114 umfassen und kann ferner umfassen: Bereitstellen von Lötmittel in den Öffnungen.
  • Beispiel 116 kann den Gegenstand von einem der Beispiele 107-115 umfassen und kann ferner umfassen: elektrisches Koppeln von einem oder mehreren Dies mit den leitfähigen Kontakten.
  • Beispiel 117 ist ein Verfahren zum Herstellen eines Package-Substrats, umfassend: Bilden einer Schicht eines dielektrischen Materials auf einer Oberfläche einer Package-Substrat-Anordnung, wobei die Package-Substrat-Anordnung leitfähige Kontakte an der Oberfläche umfasst; Bilden einer Schicht eines ersten Photoresists auf dem dielektrischen Material; Bilden einer Schicht eines zweiten Photoresists auf dem ersten Photoresist, wobei das zweite Photoresist eine unterschiedliche Materialzusammensetzung zu dem ersten Photoresist aufweist; und Belichten und Entwickeln des ersten Photoresists und des zweiten Photoresists, um ein strukturiertes Photoresist zu bilden; und Ätzen des dielektrischen Material gemäß einer Struktur des strukturierten Photoresists, um Öffnungen zu erzeugen, um die leitfähigen Kontakte freizulegen.
  • Beispiel 118 kann den Gegenstand von Beispiel 117 umfassen und kann ferner umfassen: nach dem Ätzen des dielektrischen Materials, Entfernen irgendeines restlichen ersten Photoresists oder zweiten Photoresists.
  • Beispiel 119 kann den Gegenstand von einem der Beispiele 117-118 umfassen und kann ferner spezifizieren, dass ein Ätzen des dielektrischen Materials ein Ausführen eines reaktiven Ionenätzens umfasst.
  • Beispiel 120 kann den Gegenstand von einem der Beispiele 117-119 umfassen und kann ferner spezifizieren, dass das Belichten und Entwickeln des ersten Photoresists und des zweiten Photoresists umfasst: Belichten und Entwickeln des zweiten Photoresist; und nach dem Belichten und Entwickeln des zweiten Photoresists, Belichten und Entwickeln des ersten Photoresists.
  • Beispiel 121 kann den Gegenstand von einem der Beispiele 117-120 umfassen und kann ferner spezifizieren, dass das Belichten und Entwickeln des ersten Photoresists und des zweiten Photoresists Grauskala-Maskieren umfasst.
  • Beispiel 122 kann den Gegenstand von einem der Beispiele 117-121 umfassen und kann ferner spezifizieren, dass die leitfähigen Kontakte erste leitfähige Kontakte und zweite leitfähige Kontakte umfassen, das geätzte dielektrische Material eine Aussparung umfasst und die zweiten leitfähigen Kontakte an einem Boden der Aussparung sind.
  • Beispiel 123 kann den Gegenstand von Beispiel 122 umfassen und kann ferner spezifizieren, dass die zweiten leitfähigen Kontakte einen kleineren Abstand aufweisen als die ersten leitfähigen Kontakte.
  • Beispiel 124 kann den Gegenstand von einem der Beispiele 117-123 umfassen und kann ferner spezifizieren, dass das dielektrische Material einen Aufbaufilm oder ein photoabbildbares Dielektrikum umfasst.
  • Beispiel 125 kann den Gegenstand von einem der Beispiele 117-124 umfassen und kann ferner umfassen: Bereitstellen von Lötmittel in den Öffnungen.
  • Beispiel 126 kann den Gegenstand von einem der Beispiele 117-125 umfassen und kann ferner umfassen: elektrisches Koppeln von einem oder mehreren Dies mit den leitfähigen Kontakten.

Claims (25)

  1. Eine mikroelektronische Anordnung, umfassend: ein Package-Substrat, umfassend: ein dielektrisches Material, das eine erste Oberfläche und eine gegenüberliegende zweite Oberfläche aufweist, ein erstes photodefinierbares Material auf zumindest einem Abschnitt der zweiten Oberfläche, und ein zweites photodefinierbares Material auf zumindest einem Abschnitt des ersten photodefinierbaren Materials, wobei das zweite photodefinierbare Material eine unterschiedliche Materialzusammensetzung als das erste photodefinierbare Material aufweist.
  2. Die mikroelektronische Anordnung gemäß Anspruch 1, wobei das Package-Substrat ferner erste leitfähige Kontakte und zweite leitfähige Kontakte umfasst, erste Öffnungen durch das erste photodefinierbare Material und das zweite photodefinierbare Material die ersten leitfähigen Kontakte freilegen und zweite Öffnungen durch das erste photodefinierbare Material die zweiten leitfähigen Kontakte freilegen.
  3. Die mikroelektronische Anordnung gemäß Anspruch 2, wobei die ersten leitfähigen Kontakte einen Abstand haben, der größer ist als ein Abstand der zweiten leitfähigen Kontakte.
  4. Die mikroelektronische Anordnung gemäß Anspruch 2, ferner umfassend: Lötmaterial in den ersten Öffnungen und in den zweiten Öffnungen.
  5. Die mikroelektronische Anordnung gemäß Anspruch 2, wobei das zweite photodefinierbare Material eine Seitenwand einer Aussparung bereitstellt und die zweiten Öffnungen um einen Betrag ungleich Null davon versetzt sind, in der Aussparung zentiert zu sein.
  6. Die mikroelektronische Anordnung gemäß Anspruch 2, ferner umfassend: einen ersten Die, der mit zumindest einigen der ersten leitfähigen Kontakte leitfähig gekoppelt ist, und einen zweiten Die, der mit den zweiten leitfähigen Kontakten leitfähig gekoppelt ist.
  7. Die mikroelektronische Anordnung gemäß Anspruch 6, wobei der erste Die sich zumindest teilweise über den zweiten Die erstreckt.
  8. Die mikroelektronische Anordnung gemäß Anspruch 6, wobei der zweite Die eine erste Oberfläche und eine gegenüberliegende zweite Oberfläche aufweist, leitfähige Kontakte an der ersten Oberfläche des zweiten Dies leitfähig mit den zweiten leitfähigen Kontakten gekoppelt sind und leitfähige Kontakte an der zweiten Oberfläche des zweiten Dies leitfähig mit leitfähigen Kontakten des ersten Dies gekoppelt sind.
  9. Die mikroelektronische Anordnung gemäß Anspruch 8, ferner umfassend: einen dritten Die, der leitfähig mit zumindest einigen der ersten leitfähigen Kontakte gekoppelt ist, wobei leitfähige Kontakte an der zweiten Oberfläche des zweiten Dies leitfähig mit leitfähigen Kontakten des dritten Dies gekoppelt sind.
  10. Die mikroelektronische Anordnung gemäß Anspruch 2, wobei die ersten leitfähigen Kontakte einen Abstand zwischen 80 Mikrometern und 200 Mikrometern aufweisen.
  11. Die mikroelektronische Anordnung gemäß Anspruch 2, wobei die zweiten leitfähigen Kontakte einen Abstand zwischen 20 Mikrometern und 80 Mikrometern aufweisen
  12. Eine mikroelektronische Anordnung, umfassend: ein Package-Substrat mit einer ersten Oberfläche und einer gegenüberliegenden zweiten Oberfläche, wobei das Package-Substrat ein dielektrisches Material an der zweiten Oberfläche umfasst, das dielektrische Material eine Aussparung umfasst und Seitenwände der Aussparung unterschnitten sind.
  13. Die mikroelektronische Anordnung gemäß Anspruch 12, wobei das Package-Substrat ferner erste leitfähige Kontakte und zweite leitfähige Kontakte aufweist, erste Öffnungen durch das dielektrische Material die ersten leitfähigen Kontakte freilegen und zweite Öffnungen durch das dielektrische Material die zweiten leitfähigen Kontakte an einem Boden der Aussparung freilegen.
  14. Die mikroelektronische Anordnung gemäß Anspruch 13, wobei die ersten leitfähigen Kontakte einen Abstand zwischen 80 Mikrometern und 200 Mikrometern aufweisen und die zweiten leitfähigen Kontakte einen Abstand zwischen 20 Mikrometern und 80 Mikrometern aufweisen.
  15. Die mikroelektronische Anordnung gemäß einem der Ansprüche 12-14, ferner umfassend: eine Schaltungsplatine, die mit dem Package-Substrat gekoppelt ist.
  16. Die mikroelektronische Anordnung gemäß einem der Ansprüche 12-14, wobei das Package-Substrat in einer handgehaltenen Rechenvorrichtung oder einem Server umfasst ist.
  17. Eine mikroelektronische Anordnung, umfassend: ein Package-Substrat mit einer ersten Oberfläche und einer gegenüberliegenden zweiten Oberfläche, wobei das Package-Substrat ein dielektrisches Material an der zweiten Oberfläche umfasst, das dielektrische Material eine Aussparung umfasst und Ecken einer Draufsicht der Aussparung einen Rundungsradius von weniger als 10 Mikrometern aufweisen.
  18. Die mikroelektronische Anordnung gemäß Anspruch 17, wobei das dielektrische Material ein erstes dielektrisches Material ist, das Package-Substrat ein zweites dielektrisches Material umfasst, das zweite dielektrische Material eine erste Oberfläche und eine gegenüberliegende zweite Oberfläche aufweist und das erste dielektrische Material folgendes umfasst: ein erstes photodefinierbares Material auf zumindest einem Abschnitt der zweiten Oberfläche des zweiten dielektrischen Materials, und ein zweites photodefinierbares Material auf zumindest einem Abschnitt des ersten photodefinierbaren Materials, wobei das zweite photodefinierbare Material eine unterschiedliche Materialzusammensetzung als das erste photodefinierbare Material aufweist.
  19. Die mikroelektronische Anordnung gemäß Anspruch 17, wobei eines von dem ersten photodefinierbaren Material oder dem zweiten photodefinierbaren Material einen negativen Ton aufweist und ein anderes von dem ersten photodefinierbaren Material oder dem zweiten photodefinierbaren Material einen positiven Ton aufweist.
  20. Die mikroelektronische Anordnung gemäß Anspruch 17, wobei das erste photodefinierbare Material oder das zweite photodefinierbare Material Siliziumdioxid in einer Menge von 20 bis 30 Gewichtsprozent umfasst.
  21. Die mikroelektronische Anordnung gemäß Anspruch 17, wobei das erste photodefinierbare Material oder das zweite photodefinierbare Material Siliziumdioxid in einer Menge von 70 bis 90 Gewichtsprozent umfasst.
  22. Die mikroelektronische Anordnung gemäß Anspruch 17, wobei das erste photodefinierbare Material Siliziumdioxid in einer Menge von 70 bis 90 Gewichtsprozent umfasst und das zweite photodefinierbare Material Siliziumdioxid in einer Menge von 20 bis 30 Gewichtsprozent umfasst.
  23. Eine mikroelektronische Anordnung, umfassend: ein Package-Substrat mit einer ersten Oberfläche und einer gegenüberliegenden zweiten Oberfläche, wobei das Package-Substrat ein dielektrisches Material an der zweiten Oberfläche umfasst, das dielektrische Material eine Aussparung umfasst und Seitenwände der Aussparung gewellt sind.
  24. Die mikroelektronische Anordnung gemäß Anspruch 23, wobei zumindest einige der Wellen eine Tiefe zwischen 100 Nanometern und 10 Mikrometern aufweisen
  25. Die mikroelektronische Anordnung gemäß einem der Ansprüche 23-24, wobei zumindest einige der Wellen eine Tiefe zwischen 0,1 Mikrometern und 10 Mikrometern aufweisen
DE112017008325.7T 2017-12-29 2017-12-29 Mikroelektronische anordnungen Pending DE112017008325T5 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2017/068912 WO2019132964A1 (en) 2017-12-29 2017-12-29 Microelectronic assemblies

Publications (1)

Publication Number Publication Date
DE112017008325T5 true DE112017008325T5 (de) 2020-09-03

Family

ID=67068018

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112017008325.7T Pending DE112017008325T5 (de) 2017-12-29 2017-12-29 Mikroelektronische anordnungen

Country Status (5)

Country Link
US (4) US11335641B2 (de)
EP (1) EP3732716A4 (de)
CN (2) CN111133574A (de)
DE (1) DE112017008325T5 (de)
WO (1) WO2019132964A1 (de)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3732716A4 (de) 2017-12-29 2021-12-01 Intel Corporation Mikroelektronische anordnungen
US10993325B2 (en) 2019-07-31 2021-04-27 Abb Power Electronics Inc. Interposer printed circuit boards for power modules
US11490517B2 (en) * 2019-07-31 2022-11-01 ABB Power Electronics, Inc. Interposer printed circuit boards for power modules
US11562959B2 (en) * 2019-09-27 2023-01-24 Intel Corporation Embedded dual-sided interconnect bridges for integrated-circuit packages
TWI768294B (zh) * 2019-12-31 2022-06-21 力成科技股份有限公司 封裝結構及其製造方法
JP2021141288A (ja) * 2020-03-09 2021-09-16 イビデン株式会社 配線基板及び部品内蔵配線基板
CN113872043A (zh) * 2020-06-11 2021-12-31 台达电子工业股份有限公司 用于光学雷达的光发射装置
US11521907B2 (en) 2020-10-14 2022-12-06 Infineon Technologies Ag Hybrid embedded package
US11616019B2 (en) * 2020-12-21 2023-03-28 Nvidia Corp. Semiconductor assembly
US11791326B2 (en) 2021-05-10 2023-10-17 International Business Machines Corporation Memory and logic chip stack with a translator chip
US11848273B2 (en) 2021-11-17 2023-12-19 International Business Machines Corporation Bridge chip with through via
US20230207439A1 (en) * 2021-12-23 2023-06-29 Adel A. Elsherbini Package architecture with in-glass blind and through cavities to accommodate dies

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6900534B2 (en) * 2000-03-16 2005-05-31 Texas Instruments Incorporated Direct attach chip scale package
JP4672301B2 (ja) 2004-07-28 2011-04-20 富士フイルム株式会社 固体撮像装置及び固体撮像装置の製造方法
US7456493B2 (en) * 2005-04-15 2008-11-25 Alps Electric Co., Ltd. Structure for mounting semiconductor part in which bump and land portion are hardly detached from each other and method of manufacturing mounting substrate used therein
US20070158804A1 (en) * 2006-01-10 2007-07-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method of semiconductor device, and RFID tag
US8318407B2 (en) 2006-11-01 2012-11-27 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for their use and manufacture
US8309856B2 (en) * 2007-11-06 2012-11-13 Ibiden Co., Ltd. Circuit board and manufacturing method thereof
KR101719636B1 (ko) * 2011-01-28 2017-04-05 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8487426B2 (en) * 2011-03-15 2013-07-16 Advanced Semiconductor Engineering, Inc. Semiconductor package with embedded die and manufacturing methods thereof
CN103545297A (zh) * 2013-10-25 2014-01-29 矽力杰半导体技术(杭州)有限公司 多芯片叠合封装结构及其制作方法
JP2015106615A (ja) * 2013-11-29 2015-06-08 イビデン株式会社 プリント配線板、プリント配線板の製造方法
US9355898B2 (en) * 2014-07-30 2016-05-31 Qualcomm Incorporated Package on package (PoP) integrated device comprising a plurality of solder resist layers
US9666559B2 (en) * 2014-09-05 2017-05-30 Invensas Corporation Multichip modules and methods of fabrication
EP3314649A4 (de) * 2015-06-25 2019-01-09 Intel Corporation Integrierte schaltungsstrukturen mit eingelassenen leitfähigen kontakten für verkapselung auf verkapselung
US20170027196A1 (en) 2015-07-30 2017-02-02 Graphic Packaging International, Inc. Sterilization of Food in Microwave Interactive Packages
WO2017052652A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Combination of semiconductor die with another die by hybrid bonding
KR20170064217A (ko) * 2015-12-01 2017-06-09 에스케이하이닉스 주식회사 반도체 패키지 및 그 제조방법
EP3732716A4 (de) 2017-12-29 2021-12-01 Intel Corporation Mikroelektronische anordnungen

Also Published As

Publication number Publication date
WO2019132964A1 (en) 2019-07-04
US20240030150A1 (en) 2024-01-25
US20200219816A1 (en) 2020-07-09
CN111133574A (zh) 2020-05-08
US20220216158A1 (en) 2022-07-07
US11335641B2 (en) 2022-05-17
US20230395518A1 (en) 2023-12-07
EP3732716A4 (de) 2021-12-01
CN117253879A (zh) 2023-12-19
US11769734B2 (en) 2023-09-26
EP3732716A1 (de) 2020-11-04

Similar Documents

Publication Publication Date Title
DE112017008325T5 (de) Mikroelektronische anordnungen
DE112017008336T5 (de) Mikroelektronische Anordnungen
DE112017008326T5 (de) Mikroelektronische Anordnungen
DE112017008327T5 (de) Mikroelektronische anordnungen
DE102020132231A1 (de) Mikroelektronisches bauteil, das geformte bereiche mit through-mold-vias aufweist
DE112017008313T5 (de) Mikroelektronische anordnungen
DE102020112887A1 (de) Substratlose, doppelseitige, eingebettete multi-die-verbindungsbrücke
DE112017008333T5 (de) Mikroelektronische anordnungen
DE112016007304T5 (de) Eingebetteter die in interposer-gehäusen
DE112019003199T5 (de) Mikroelektronische anordnungen umfassend interposer
DE102018120665A1 (de) Abschirmen in elektronischen baugruppen
DE112016006809T5 (de) Integrierte schaltungsstrukturen mit erweiterten leitungswegen
DE112017001160B4 (de) Elektronische Komponenten mit dreidimensionalen Kondensatoren in einem Metallisierungsstapel
DE102021132253A1 (de) Hybride Herstellung für integrierte Schaltkreisvorrichtungen und -baugruppen
DE112016007377T5 (de) Selbstausgerichtete durchkontaktierung
DE102020108439A1 (de) Packages für integrierte Schaltungen mit Löt-Thermoschnittstellenmaterial
DE112021005475T5 (de) Abschirmungsstrukturen in mikroelektronischen baugruppen mit direktbonden
DE112022001616T5 (de) Mikroelektronische baugruppen mit rückseitigen die-zu-gehäuse-zwischenverbindungen
DE102022105027A1 (de) Mikroelektronische baugruppen mit integrierten magnetkerninduktivitäten
DE102021121681A1 (de) Vereinzelung mikroelektronischer komponenten mit direktbondgrenzflächen
DE102020132539A1 (de) Magnetische strukturen in integrierter-schaltkreis-gehäusestützen
DE102022129664A1 (de) Packaging architecture with patterned through-dielectric vias and redistribution layers
DE102020108636A1 (de) Integrierter-schaltkreis-gehäuse mit lötwärmeschnittstellenmaterialien mit eingebetteten teilchen
DE102022101224A1 (de) Mikroelektronische baugruppen einschliesslich brücken
DE102022133839A1 (de) Mikroelektronische baugruppen mit siliciumnitridmehrfachschicht

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R130 Divisional application to

Ref document number: 112017008446

Country of ref document: DE