DE102020132231A1 - Mikroelektronisches bauteil, das geformte bereiche mit through-mold-vias aufweist - Google Patents

Mikroelektronisches bauteil, das geformte bereiche mit through-mold-vias aufweist Download PDF

Info

Publication number
DE102020132231A1
DE102020132231A1 DE102020132231.3A DE102020132231A DE102020132231A1 DE 102020132231 A1 DE102020132231 A1 DE 102020132231A1 DE 102020132231 A DE102020132231 A DE 102020132231A DE 102020132231 A1 DE102020132231 A1 DE 102020132231A1
Authority
DE
Germany
Prior art keywords
substrate
die
microelectronic
tsv
molding material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020132231.3A
Other languages
English (en)
Inventor
Sanka Ganesan
Ram Viswanath
Xavier Francois Brun
Tarek A. Ibrahim
Jason M. Gamba
Manish Dubey
Robert Alan May
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE102020132231A1 publication Critical patent/DE102020132231A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/293Organic, e.g. plastic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3672Foil-like cooling fins or heat sinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/11Printed elements for providing electric connections to or between printed circuits
    • H05K1/115Via connections; Lands around holes or via connections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1401Structure
    • H01L2224/1403Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06562Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking at least one device in the stack being rotated or offset
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/15Ceramic or glass substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Mikroelektronische Anordnungen und verwandte Vorrichtungen und Verfahren sind hierin offenbart. Bei einigen Ausführungsformen kann ein mikroelektronisches Bauteil zum Beispiel ein Substrat beinhalten, das eine erste Fläche und eine entgegengesetzte zweite Fläche aufweist, wobei das Substrat ein Through-Substrat-Via (TSV) beinhaltet; einen ersten Moldmaterialbereich an der ersten Fläche, wobei der erste Moldmaterialbereich ein erstes Through-Mold-Via (TMV), das leitend mit dem TSV gekoppelt ist, beinhaltet; und einen zweiten Moldmaterialbereich an der zweiten Fläche, wobei der zweite Moldmaterialbereich ein zweites TMV, das leitend mit dem TSV gekoppelt ist, beinhaltet.

Description

  • Hintergrund
  • Packages integrierter Schaltungen (IC) können eine eingebettete Multi-Die-Interconnect-Brücke (Embedded Multi-Die Interconnect Bridge - EMIB) zum Koppeln von zwei oder mehreren IC-Dies oder Bereitstellen spezifischer Funktionalität, wie Speicher- oder Leistungsmanagement, beinhalten. Diese ultradünnen EMIBs sind für Beschädigungen während des Einbettens in IC-Packages und während des Betriebs des IC-Packages für Verwerfen anfällig.
  • Figurenliste
  • Ausführungsformen werden dank der folgenden ausführlichen Beschreibung in Verbindung mit den begleitenden Zeichnungen ohne Weiteres verstanden. Zum Erleichtern dieser Beschreibung bezeichnen gleiche Bezugszeichen gleiche Strukturelemente. Die Ausführungsformen sind beispielhaft und nicht einschränkend in den Figuren der begleitenden Zeichnungen veranschaulicht.
    • 1 ist eine Seiten-, Querschnittansicht eines beispielhaften mikroelektronischen Bauteils gemäß diversen Ausführungsformen.
    • 2 ist eine Seiten-, Querschnittansicht einer beispielhaften mikroelektronischen Anordnung, die das mikroelektronische Bauteil der 1 beinhaltet, gemäß diversen Ausführungsformen.
    • 3 ist eine Seiten-, Querschnittansicht einer beispielhaften mikroelektronischen Anordnung, die das mikroelektronische Bauteil der 1 beinhaltet, gemäß diversen Ausführungsformen.
    • 4 ist eine Seiten-, Querschnittansicht einer anderen beispielhaften mikroelektronischen Anordnung, die das mikroelektronische Bauteil der 1 beinhaltet, gemäß diversen Ausführungsformen.
    • Die 5A bis 5I sind Seiten-, Querschnittansichten diverser Stufen in einem beispielhaften Prozess zum Herstellen des mikroelektronischen Bauteils der 1 gemäß diversen Ausführungsformen.
    • Die 6A bis 6I sind Seiten-, Querschnittansichten diverser Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Anordnung der 3 gemäß diversen Ausführungsformen.
    • 7 ist eine Seiten-, Querschnittansicht einer anderen beispielhaften mikroelektronischen Anordnung, die das mikroelektronische Bauteil der 1 beinhaltet, gemäß diversen Ausführungsformen.
    • 8 ist eine Draufsicht eines Wafers und von Dies, die in einer mikroelektronischen Anordnung enthalten sein können, gemäß einer der Ausführungsformen, die hierin offenbart sind.
    • 9 ist eine Querschnittseitenansicht eines IC-Bauelements, das in einer mikroelektronischen Anordnung enthalten sein kann, gemäß einer der Ausführungsformen, die hierin offenbart sind.
    • 10 ist eine Querschnittansicht eines IC-Bauelements, das eine mikroelektronische Anordnung beinhalten kann, gemäß einer der Ausführungsformen, die hierin offenbart sind.
    • 11 ist ein Blockschaltbild einer beispielhaften elektrischen Vorrichtung, die eine mikroelektronische Anordnung beinhalten kann, gemäß einer der Ausführungsformen, die hierin offenbart sind.
  • Ausführliche Beschreibung
  • Mikroelektronische Bauteile und verwandte Anordnungen, Vorrichtungen und Verfahren werden hierin offenbart. Bei einigen Ausführungsformen kann ein mikroelektronisches Bauteil zum Beispiel ein Substrat beinhalten, das eine erste Fläche und eine entgegengesetzte zweite Fläche aufweist, wobei das Substrat ein Through-Substrat-Via (TSV) beinhaltet; einen ersten Moldmaterialbereich an der ersten Fläche, wobei der erste Moldmaterialbereich ein erstes Through-Mold-Via (TMV), das leitend mit dem TSV gekoppelt ist, beinhaltet; und einen zweiten Moldmaterialbereich an der zweiten Fläche, wobei der zweite Moldmaterialbereich ein zweites TMV, das leitend mit dem TSV gekoppelt ist, beinhaltet. Bei einigen Ausführungsformen kann eine mikroelektronische Anordnung ein erstes Substrat beinhalten, das eine erste Oberfläche und eine entgegengesetzte zweite Oberfläche beinhaltet, wobei das erste Substrat ein erstes Through-Substrat-Via (TSV) beinhaltet; ein mikroelektronisches Bauteil, das in das erste Substrat eingebettet ist, wobei das mikroelektronische Bauteil beinhaltet: ein zweites Substrat, das eine erste Fläche und eine entgegengesetzte zweite Fläche aufweist, wobei das zweite Substrat ein zweites TSV, einen ersten Moldmaterialbereich an der ersten Fläche beinhaltet, wobei der erste Moldmaterialbereich ein erstes Through-Mold-Via (TMV), das leitend mit dem zweiten TSV gekoppelt ist, beinhaltet, und einen zweiten Moldmaterialbereich an der zweiten Fläche, wobei der zweite Moldmaterialbereich ein zweites TMV, das leitend mit dem zweiten TSV gekoppelt ist, beinhaltet, und wobei der erste Moldmaterialbereich an der zweiten Oberfläche des ersten Substrats und der zweite Moldmaterialbereich an der zweiten Oberfläche des ersten Substrats liegt; und ein Die elektrisch an der zweiten Oberfläche des ersten Substrats an das erste TSV und an das zweite TMV gekoppelt ist.
  • Das Streben nach Miniaturisierung von IC-Bauelementen hat ein ähnliches Streben zum Bereitstellen dichter Verbindungen zwischen Dies in einer Packageanordnung hervorgebracht. Mikroelektronische Bauteile, wie Interposer und Brücken, tauchen auf, um Interconnect-Routing mit hoher Dichte zwischen Dies oder anderen elektrischen Bauteilen bereitzustellen. Um die Funktionalität eines Packagesubstrats zu erhöhen, kann ein Interposer oder eine Brücke in das Packagesubstrat eingebettet werden, um Signale zwischen einem oder mehreren Dies, wie in EMIB-Architekturen, zu routen. Skalierbare Bauteile mit hohem Seitenverhältnis, die noch dichtere Verbindungen unter Verwenden herkömmlicher Herstellungsausstattung bereitstellen, können gewünscht werden. Die hierin offenbarten Prozesse können verwendet werden, um existierende Halbleiter-Verarbeitungstechniken zum Fertigen von Bauteilen mit hohem Seitenverhältnis und ihr Integrieren in ein IC-Package anzuwenden. Diese Verbesserung der Rechendichte kann neue Formfaktoren für anziehbare Rechenvorrichtungen und System-in-Package-Anwendungen, bei welchen Maße eingeschränkt sind, ermöglichen. Diverse der Ausführungsformen, die hierin offenbart sind, können IC-Packages-Performance mit größerer Konzeptionsanpassungsfähigkeit, zu niedrigeren Kosten und/oder mit einer reduzierten Größe gegenüber herkömmlichen Ansätzen verbessern, während die Einfachheit der Herstellung gegenüber herkömmlichen Ansätzen verbessert wird. Die mikroelektronischen Anordnungen, die hierin offenbart sind, können insbesondere für kleine Anwendungen und Anwendungen mit niedrigem Profil in Computern, Tablet-Computern, Industrierobotern und Verbraucherelektronik (zum Beispiel anziehbare Vorrichtungen) vorteilhaft sein.
  • In der folgenden ausführlichen Beschreibung wird auf die begleitenden Zeichnungen Bezug genommen, die einen Teil davon bilden, wobei gleiche Bezugszeichen durchgehend gleiche Teile bezeichnen, und in welchen veranschaulichend Ausführungsformen, die umgesetzt werden können, gezeigt sind. Man muss verstehen, dass andere Ausführungsformen eingesetzt werden können, und dass Struktur- oder logische Änderungen vorgenommen werden können, ohne den Schutzbereich der vorliegenden Offenbarung zu verlassen. Die folgende ausführliche Beschreibung ist folglich nicht in einem einschränkenden Sinn zu verstehen.
  • Diverse Vorgänge können wiederum als mehrere getrennte Aktionen oder Vorgänge auf eine Art beschrieben sein, die für das Verstehen des beanspruchten Gegenstands höchst hilfreich ist. Die Reihenfolge der Beschreibung sollte jedoch nicht dahingehend ausgelegt werden, dass sie bedeutet, dass diese Vorgänge notwendigerweise von der Reihenfolge abhängen. Insbesondere werden diese Vorgänge eventuell nicht in der Präsentationsreihenfolge ausgeführt. Beschriebene Vorgänge können in einer Reihenfolge, die von der beschriebenen Ausführungsform unterschiedlich ist, ausgeführt werden. Diverse zusätzliche Vorgänge können ausgeführt werden, und/oder beschriebene Vorgänge können bei zusätzlichen Ausführungsformen weggelassen werden.
  • Für den Zweck der vorliegenden Offenbarung bedeutet der Satz „A und/oder B“ (A), (B) oder (A und B). Für den Zweck der vorliegenden Offenbarung bedeutet der Satz „A, B und/oder C“ (A), (B), (C), (A und B), (A und C), (B und C) oder (A, B und C). Die Zeichnungen sind nicht unbedingt maßstabgetreu. Obwohl viele der Zeichnungen geradlinige Strukturen mit flachen Wänden und rechtwinkeligen Ecken veranschaulichen, dient das der Vereinfachung der Veranschaulichung, und eigentliche Vorrichtungen, die unter Verwenden dieser Techniken hergestellt werden, weisen gerundete Ecken, Oberflächenrauigkeit und andere Merkmale auf.
  • Die Beschreibung verwendet die Sätze „bei einer Ausführungsform“ oder „bei Ausführungsformen“, die sich jeweils auf eine oder mehrere derselben oder unterschiedliche Ausführungsformen beziehen. Ferner sind die Begriffe „umfassend“, „enthaltend“, „aufweisend“ und dergleichen, wie sie in Bezug auf die Ausführungsformen der vorliegenden Offenbarung verwendet werden, synonym. Wie hierin verwendet, sind ein „Package“ und ein „IC-Package“ synonym, wie auch ein „Die“ und ein „IC-Die“. Die Begriffe „oben“ und „unten“ können hierin verwendet werden, um diverse Merkmale der Zeichnungen zu erklären, aber diese Begriffe dienen einfach der Erleichterung der Besprechung und bedeuten keine gewünschte oder erforderliche Ausrichtung. Wie hierin verwendet, bedeutet der Begriff „Isolieren“ „elektrisch Isolieren“, außer wenn Anderes spezifiziert ist. In der Patentschrift und in den Ansprüchen bedeutet der Begriff „gekoppelt“ eine direkte oder indirekte Verbindung, wie eine direkte elektrische, mechanische oder magnetische Verbindung zwischen den Dingen, die verbunden werden, oder eine indirekte Verbindung, durch eine oder mehrere passive oder aktive Zwischenvorrichtungen. Die Bedeutung von „einer, eine, eines“ und „der, die, das“ beinhaltet mehrere Referenzen. Die Bedeutung von „in“ beinhaltet „in“ und „auf“.
  • Beim Verwenden zum Beschreiben einer Reihe von Dimensionen stellt der Satz „zwischen X und Y“ einen Bereich, der X und Y beinhaltet, dar. Der Einfachheit halber kann der Satz „5“ verwendet werden, um auf die Sammlung von Zeichnungen der 5A bis 5I zu verweisen, der Ausdruck „6“ kann verwendet werden, um auf die Sammlung von Zeichnungen der 6A bis 6I zu verweisen usw. Obwohl bestimmte Elemente hierin im Singular erwähnt sein können, können solche Elemente mehrere Unterelemente beinhalten. Zum Beispiel kann „ein Isoliermaterial“ ein oder mehrere Isoliermaterialien beinhalten. Wie hierin verwendet, kann „ein leitender Kontakt“ auf einen Abschnitt leitenden Materials (zum Beispiel Metall) verweisen, der als eine elektrische Schnittfläche zwischen unterschiedlichen Bauteilen dient; leitende Kontakte können vertieft in, bündig mit oder sich von einer Oberfläche eines Bauelements weg erstreckend sein, und können eine beliebige Form (zum Beispiel ein leitendes Pad oder eine leitende Buchse oder ein Abschnitt einer leitenden Leitung oder Via) annehmen. Wie hierin verwendet, sind der Begriff „niedrigere Dichte“ und „höhere Dichte“ relative Begriffe, die angeben, dass die Leitungsbahnen (zum Beispiel einschließlich leitender Verbindungen, leitender Leitungen und leitender Vias) in einem Medium mit niedrigerer Dichte größer sind und/oder einen größeren Pitch aufweisen als die Leitungsbahnen in einem Medium mit höherer Dichte. Wie hierin verwendet, ist der Begriff „TSV“ als „Through-Substrate-Via“ (Via durch Substrat) definiert und unterscheidet sich von dem herkömmlichen Begriff „Through-Silicon-Via“ (Via durch Silizium) dadurch, dass das Substrat Siliziummaterial beinhalten kann, Siliziummaterial aber nicht beinhalten muss, wie unten unter Bezugnahme auf 1 beschrieben.
  • 1 ist eine Seiten-, Querschnittansicht eines beispielhaften mikroelektronischen Bauteils 100 gemäß diversen Ausführungsformen. Das mikroelektronische Bauteil 100 kann ein Substrat 160 beinhalten, das eine erste Moldmaterialschicht 162 an einer ersten Oberfläche 170-1 sowie eine zweite Moldmaterialschicht 164 an einer entgegengesetzten zweiten Oberfläche 170-2 aufweist, wobei das Substrat eine Vielzahl von Through-Substrate-Vias (TSVs) 161 beinhaltet. Die erste Moldmaterialschicht 162 kann ein erstes Moldmaterial 166 und eine Vielzahl erster Through-Mold-Vias (TMVs) 163, die leitend mit der Vielzahl von TSVs 161 gekoppelt sind, beinhalten, und die zweite Moldmaterialschicht 164 kann ein zweites Moldmaterial 167 und eine Vielzahl zweiter TMVs 165, die leitend mit der Vielzahl von TSVs 161 gekoppelt sind, beinhalten. Bei einigen Ausführungsformen kann ein erstes TMV 163 leitend mit einem individuellen TSV 161 gekoppelt sein. Bei einigen Ausführungsformen kann ein individuelles erstes TMV 163 leitend mit zwei oder mehreren TSVs 161 gekoppelt sein. Bei einigen Ausführungsformen kann ein individuelles zweites TMV 165 leitend mit einem individuellen TSV 161 gekoppelt sein. Bei einigen Ausführungsformen kann ein individuelles zweites TMV 165 leitend mit zwei oder mehreren TSVs 161 gekoppelt sein. Wie hierin verwendet, können die Begriffe „elektrisch gekoppelt“ und „leitend gekoppelt“ gegenseitig austauschbar verwendet werden. Wie hierin verwendet, können „Moldmaterialschicht“, „Moldmaterialbereich“, „Moldschicht“ und „Moldbereich“ gegenseitig austauschbar verwendet werden.
  • Das Substrat 160 kann aus beliebigem zweckdienlichem Isoliermaterial gebildet sein (zum Beispiel aus einem dielektrischen Material, das aus mehreren Schichten, wie im Stand der Technik bekannt, gebildet wird). Das Isoliermaterial des Substrats 160 kann ein dielektrisches Material, wie Siliziumdioxid, Siliziumnitrid, Oxinitride, Polyimidmaterialien, glasverstärkte Epoxid-Matrixmaterialien oder ein Low-k- oder Ultra-Low-k-Dielektrikum (zum Beispiel carbondotierte Dielektrika, fluordotierte Dielektrika, porige Dielektrika, organische polymerische Dielektrika, fotoabbildbare Dielektrika und/oder Benzozyklobuten-basierte Polymere) beinhalten. Bei einigen Ausführungsformen kann das Isoliermaterial ein Halbleitermaterial, wie Silizium, Germanium oder ein III-V-Material (zum Beispiel Galliumnitrid) und ein oder mehrere zusätzliche Materialien beinhalten. Ein Isoliermaterial kann zum Beispiel Siliziumoxid oder Siliziumnitrid beinhalten. Bei einigen Ausführungsformen kann das Substrat 160 ein Die oder ein Wafer, wie ein aktiver Wafer oder ein passiver Wafer, sein. Bei einigen Ausführungsformen kann das Substrat zusätzliche leitende Bauteile beinhalten, wie Signalbahnen, Widerstände, Kondensatoren oder Induktoren. Die TSVs 161 können aus einem zweckdienlichen leitenden Material hergestellt werden, wie zum Beispiel Kupfer, Silber, Nickel, Gold, Aluminium oder aus anderen Metallen oder Legierungen. Bei einigen Ausführungsformen kann das Substrat 160 eine Stärke (das heißt z-Höhe) zwischen 30 Mikrometer und 55 Mikrometer aufweisen.
  • Das erste Moldmaterial 166 und das zweite Moldmaterial 167 können beliebiges geeignetes Isoliermaterial sein, das einen mechanischen Träger für das mikroelektronische Bauteil 100 bereitstellt. Das erste und das zweite Moldmaterial 166, 167 können die Wahrscheinlichkeit von Beschädigung der Vielzahl jeweils erster und zweiter TMVs 163, 165 verringem, was die Funktionalität und den Herstellungsertrag erhöhen kann (das heißt die Anzahl von Ausschusselementen verringern kann). Das erste Moldmaterial 166 kann bei einigen Ausführungsformen dieselbe Stärke (das heißt z-Höhe) aufweisen wie die ersten TMVs 163. Bei einigen Ausführungsformen kann das erste Moldmaterial 166 eine Stärke zwischen 15 Mikrometer und 40 Mikrometer aufweisen. Das zweite Moldmaterial 167 kann dieselbe Stärke (das heißt z-Höhe) aufweisen wie die zweiten TMVs 165. Bei einigen Ausführungsformen kann das zweite Moldmaterial 167 eine Stärke zwischen 15 Mikrometer und 40 Mikrometer aufweisen. Bei einigen Ausführungsformen kann das mikroelektronische Bauteil 100 eine Gesamtstärke 168 zwischen 60 Mikrometer und 135 Meter und ein hohes Seitenverhältnis (Breite zu Länge) zwischen 1:10 und 1:20 (zum Beispiel in etwa 1:15) aufweisen, und das Moldmaterial kann ausgewählt werden, um dem mikroelektronischen Bauteil 100 eine starre Struktur, die niedrige Verwerfung aufweist, zu verleihen.
  • Bei einigen Ausführungsformen ist das Moldmaterial ein organisches Polymer mit anorganischen Siliziumdioxidteilchen. Bei einigen Ausführungsformen ist das Moldmaterial ein organisches dielektrisches Material, ein feuerhemmendes Material Grade 4 (FR-4), Bismaleimidtriazin-Harz (BT-Harz), Polyimidmaterialien, glasverstärkte Epoxidmatrixmaterialien oder Low-k- und Ultra-Low-k-Dielektrikum (zum Beispiel carbondotierte Dielektrika, fluordotierte Dielektrika, porige Dielektrika und organische polymerische Dielektrika). Bei einigen Ausführungsformen sind das erste Moldmaterial 166 und das zweite Moldmaterial 167 das gleiche Moldmaterial. Bei einigen Ausführungsformen sind das erstem Moldmaterial 166 und das zweite Moldmaterial 167 ein unterschiedliches Moldmaterial.
  • Die TMVs 163, 165 können zum Beispiel aus einem zweckdienlichen leitenden Material, wie Kupfer, Silber, Nickel, Gold, Aluminium oder aus anderen Metallen oder Legierungen hergestellt werden. Die TMVs 163, 165 können unter Verwenden eines geeigneten Prozesses, einschließlich zum Beispiel des Prozesses, der unter Bezugnahme auf 5 beschrieben ist, gebildet werden. Die TMVs 163, 165 können eine passende Größe und Form aufweisen. Bei einigen Ausführungsformen können die TMVs 163, 165 einen kreisförmigen, rechteckigen oder anders geformten Querschnitt aufweisen. Bei einigen Ausführungsformen können die ersten TMVs 163 eine Stärke (zum Beispiel z-Höhe) zwischen 15 Mikrometer und 40 Mikrometer aufweisen, und ein individuelles erstes TMV 163 kann einen Querschnitt zwischen 30 und 70 Mikrometer aufweisen. Bei einigen Ausführungsformen können die ersten TMVs 163 eine Stärke zwischen 15 Mikrometer und 25 Mikrometer aufweisen. Bei einigen Ausführungsformen können die ersten TMVs 163 einen Pitch zwischen 90 Mikrometer und 300 Mikrometer aufweisen. Wie hierin verwendet, wird der Pitch von Mitte zu Mitte zwischen aneinandergrenzenden TMVs (zum Beispiel von einer Mitte eines ersten TMV zu einer Mitte eines angrenzenden ersten TMV) gemessen. Bei einigen Ausführungsformen können die zweiten TMVs 165 eine Stärke (zum Beispiel z-Höhe) zwischen 15 Mikrometer und 40 Mikrometer aufweisen, und ein individuelles zweites TMV 165 kann einen Querschnitt zwischen 5 Mikrometer und 40 Mikrometer aufweisen. Bei einigen Ausführungsformen können die zweiten TMVs 165 eine Stärke zwischen 20 Mikrometer und 30 Mikrometer aufweisen. Bei einigen Ausführungsformen können die zweiten TMVs 165 einen Pitch zwischen 20 Mikrometer und 100 Mikrometer aufweisen. Bei einigen Ausführungsformen kann ein Pitch der ersten TMVs gleich sein wie ein Pitch der zweiten TMVs. Bei einigen Ausführungsformen kann ein Pitch der ersten TMVs von einem Pitch der zweiten TMVs unterschiedlich sein.
  • Das mikroelektronische Bauteil 100 kann eine Gesamtstärke 168 (das heißt z-Höhe) zwischen 60 Mikrometer und 100 Mikrometer aufweisen. Bei einigen Ausführungsformen kann die erste Moldmaterialschicht 162 eine Stärke zwischen 10 Mikrometer und 40 Mikrometer aufweisen. Bei einigen Ausführungsformen kann die erste Moldmaterialschicht 162 eine Stärke zwischen 10 Mikrometer und 20 Mikrometer aufweisen. Bei einigen Ausführungsformen kann die zweite Moldmaterialschicht 164 eine Stärke zwischen 15 Mikrometer und 50 Mikrometer aufweisen. Bei einigen Ausführungsformen kann die zweite Moldmaterialschicht 164 eine Stärke zwischen 20 Mikrometer und 30 Mikrometer aufweisen.
  • Obwohl 1 eine besondere Anordnung eines mikroelektronischen Bauteils 100 zeigt, das eine besondere Anzahl von TSVs in dem Substrat 160, eine besondere Anzahl erster TMVs 163, eine besondere Anzahl zweiter TMVs 165 und eine besondere Anordnung der TMVs 163, 165, die elektrisch mit den TSVs 161 gekoppelt sind, aufweist, kann ein mikroelektronisches Bauteil 100 eine beliebige Anzahl und Anordnung von TSVs 161 und TMVs 163, 165 aufweisen.
  • 2 ist eine Seiten-, Querschnittansicht einer Mehrschichten-Die-Unteranordnung 200 gemäß diversen Ausführungsformen. Wie hierin verwendet, können die Begriffe eine „Mehrschichten-Die-Unteranordnung“ und ein „Verbundwerkstoff-Die“ gegenseitig austauschbar verwendet werden. Die Mehrschichten-Die-Unteranordnung 200 kann eine erste Schicht 204-1 aufweisen, die ein Substrat 210 mit einer Vielzahl von TSVs 211 aufweist, und ein eingebettetes mikroelektronisches Bauteil 100 sowie eine zweite Schicht 204-2, die ein erstes Die 114-1 und ein zweites Die 114-2, die elektrisch mit der Vielzahl von TSVs 211 und dem mikroelektronischen Bauteil 100 gekoppelt sind, beinhalten. Wie hierin verwendet, kann der Begriff eine „Mehrschichten-Die-Unteranordnung“ 200 auf einen Verbundwerkstoff-Die, der zwei Schichten beinhaltet; eine erste Schicht 204-1, die ein Substrat mit einer Vielzahl von TSVs und einem eingebetteten mikroelektronischen Bauteil 100 aufweist, und eine zweite Schicht 204-2, die einen oder mehrere Dies 114, die elektrisch mit der Vielzahl von TSVs 211 und mit der Vielzahl zweiter TMVs 165 des eingebetteten mikroelektronischen Bauteils 100 gekoppelt sind, verweisen. Wie unter Bezugnahme auf 1 beschrieben, können die ersten und zweiten TMVs 163, 165 unterschiedliche Pitches derart aufweisen, dass ein Die 114 der Mehrschichten-Die-Unteranordnung 200 auch Kontakte mit unterschiedlichen Pitches (zum Beispiel „gröberen“ leitenden Kontakten zum Koppeln der TSVs 211 und „feineren“ leitenden Kontakte zum Koppeln der zweiten TMVs 165) aufweisen. Der Die 114 der Mehrschichten-Die-Unteranordnung 200 kann ein einseitiger Die sein (in dem Sinn, dass der Die 114 leitende Kontakte lediglich auf einer einzigen Oberfläche aufweist), und kann ein Die mit gemischtem Pitch (in dem Sinn, dass der Die 114 einen Satz leitender Kontakte mit unterschiedlichem Pitch aufweist) sein.
  • Das Substrat 210 kann aus beliebigem zweckdienlichem Isoliermaterial gebildet sein (zum Beispiel aus einem dielektrischen Material, das aus mehreren Schichten, wie im Stand der Technik bekannt, gebildet wird). Das Isoliermaterial des Substrats 210 kann ein dielektrisches Material, wie Siliziumdioxid, Siliziumnitrid, Oxinitrid, Polyimidmaterialien, glasverstärkte Epoxid-Matrixmaterialien oder ein Low-k- oder Ultra-Low-k-Dielektrikum (zum Beispiel carbondotierte Dielektrika, fluordotierte Dielektrika, porige Dielektrika, organische polymerische Dielektrika, fotoabbildbare Dielektrika und/oder Benzozyklobuten-basierte Polymere) beinhalten. Bei einigen Ausführungsformen kann das Isoliermaterial eines Die 114 ein Halbleitermaterial, wie Silizium, Germanium oder ein III-V-Material (zum Beispiel Galliumnitrid) und ein oder mehrere zusätzliche Materialien beinhalten. Ein Isoliermaterial kann zum Beispiel Siliziumoxid oder Siliziumnitrid beinhalten. Die Vielzahl von TSVs 211 kann aus einem zweckdienlichen leitenden Material hergestellt werden, wie zum Beispiel Kupfer, Silber, Nickel, Gold, Aluminium, oder aus anderen Metallen oder Legierungen. Die Vielzahl von TSVs 211 kann von dem umgebenden Isoliermaterial durch ein Sperroxid isoliert werden. Leistung, Masse und/oder Signale können zu und von den Dies 114-1, 114-2 über die TSVs 211 und über andere leitende Leitungsbahnen übertragen werden.
  • Der Die 114, der hierin offenbart ist, kann ein Isoliermaterial (zum Beispiel ein dielektrisches Material, das in mehreren Schichten, wie im Stand der Technik bekannt, gebildet wird) und mehrere leitende Leitungsbahnen, die durch das Isoliermaterial hindurch gebildet werden, beinhalten. Bei einigen Ausführungsformen kann das Isoliermaterial eines Die 114 ein dielektrisches Material, wie Siliziumdioxid, Siliziumnitrid, Oxinitrid, Polyimidmaterialien, glasverstärkte Epoxid-Matrixmaterialien oder ein Low-k- oder Ultra-Low-k-Dielektrikum (zum Beispiel carbondotierte Dielektrika, fluordotierte Dielektrika, porige Dielektrika, organische polymerische Dielektrika, fotoabbildbare Dielektrika und/oder Benzozyklobuten-basierte Polymere) beinhalten. Bei einigen Ausführungsformen kann das Isoliermaterial eines Die 114 ein Halbleitermaterial, wie Silizium, Germanium oder ein III-V-Material (zum Beispiel Galliumnitrid) und ein oder mehrere zusätzliche Materialien beinhalten. Ein Isoliermaterial kann zum Beispiel Siliziumoxid oder Siliziumnitrid beinhalten. Die leitenden Leitungsbahnen in einem Die 114 können leitende Bahnen und/oder leitende Vias beinhalten, und können beliebige der leitenden Kontakte in dem Die 114 auf eine passende Art verbinden (zum Beispiel mehrere leitende Kontakte auf derselben Oberfläche oder auf unterschiedlichen Oberflächen des Die 114 verbinden). Beispielhafte Strukturen, die in den Dies 114, die hierin offenbart sind, enthalten sein können, sind unten unter Bezugnahme auf 9 besprochen. Die leitenden Leitungsbahnen in den Dies 114 können durch Linermaterialien, wie Klebeliner und/oder Barrierenliner, je nach Eignung, begrenzt sein. Bei einigen Ausführungsformen ist der Die 114 ein Wafer. Bei einigen Ausführungsformen ist der Die 114 ein monolithischer Silizium-, ein Fan-Out- oder Fan-In-Package-Die oder ein Die-Stapel (zum Beispiel Wafer-gestapelt, Die-gestapelt oder Multischichten-Die-gestapelt).
  • Die Dies 114-1, 114-2 können an das mikroelektronische Bauteil 100 und an die TSVs 211 in dem Substrat 210 über Verbindungen erster Ebene (First Level Interconnects - FLIs) 250, wie in 2 als jeweils FLIs 250-1 und 250-2 abgebildet, verbunden sein. Die FLIs 250, die hierin offenbart sind, können eine beliebige passende Form annehmen. Bei einigen Ausführungsformen können die FLIs 250 Lot (zum Beispiel Lotbumps oder Lotkugeln, die einem thermischen Reflow zum Bilden der Verbindungen unterliegen) beinhalten. Bei einigen Ausführungsformen können die FLIs 250 ein anisotropes leitendes Material, wie eine anisotrope leitende Folie oder eine anisotrope leitende Paste, beinhalten. Ein anisotropes leitendes Material kann leitende Materialien, die in einem Nicht-leitenden Material dispergiert sind, beinhalten. Bei einigen Ausführungsformen weisen die FLIs 250-1 (das heißt die FLIs zwischen den Dies 114 und dem mikroelektronischen Bauteil 100) einen Pitch zwischen 15 Mikrometer und 100 Mikrometer (zum Beispiel zwischen 20 Mikrometer und 30 Mikrometer) auf. Bei einigen Ausführungsformen weisen die FLIs 250-2 (das heißt die FLIs zwischen den Dies 114 und den TSVs 211 in dem Substrat 210) einen Pitch zwischen 80 Mikrometer und 500 Mikrometer (zum Beispiel zwischen 80 Mikrometer und 120 Mikrometer) auf.
  • Die Mehrschichten-Die-Unteranordnung 200 der 2 kann auch ein Underfill-Material 217 beinhalten. Bei einigen Ausführungsformen kann sich das Underfill-Material 217 zwischen den Dies 114-1, 114-2 und dem Substrat 210 um die dazugehörenden FLIs 250 erstrecken. Das Underfill-Material 217 kann ein Isoliermaterial, wie ein zweckdienliches Epoxidmaterial, sein. Bei einigen Ausführungsformen kann das Underfill-Material 217 ein kapillares Underfill-Material, nichtleitende Folie (Non-Conductive Film - NCF) oder geformtes Underfill-Material beinhalten. Bei einigen Ausführungsformen kann das Underfill-Material 217 ein Epoxid-Flussmittel beinhalten, das beim Löten der Dies 114-1, 114-2 an das Substrat 210 beim Bilden der FLIs 250 hilft und dann die FLIs 250 polymerisiert und einkapselt. Das Underfill-Material 217 kann ausgewählt werden, um einen Wärmedehnungskoeffizienten (Coefficient of Thermal Expansion - CTE) aufzuweisen, der die Spannung zwischen den Dies 114-1, 114-2 und dem Substrat 210, die aus ungleichmäßiger Wärmedehnung in der Mehrschichten-Die-Unteranordnung 200 hervorgehen, mindern oder minimieren. Bei einigen Ausführungsformen kann die CTE des Underfill-Materials 217 einen Wert aufweisen, der zwischen der CTE des Substrats 210 (zum Beispiel der CTE des dielektrischen Materials des Substrats 210) und einer CTE der Dies 114-1, 114-2 liegt.
  • Die Mehrschichten-Die-Unteranordnung 200 der 2 kann auch ein Overmold-Material 219 beinhalten. Bei einigen Ausführungsformen kann das Overmold-Material 219 um die Dies 114-1, 114-2 und in Berührung mit der Oberfläche 271 des Substrats 210 angeordnet werden. Das Overmold-Material 219 kann ein Isoliermaterial, wie ein zweckdienliches Epoxidmaterial, sein.
  • 3 ist eine Seiten-, Querschnittansicht eines mikroelektronischen Bauteils 300 gemäß diversen Ausführungsformen. Die mikroelektronische Anordnung 300 der 3 kann die Mehrschichten-Die-Unteranordnung 200, ein Packagesubstrat 306 und einen Interposer 302 beinhalten. Die Mehrschichten-Die-Unteranordnung 200 kann mit dem Packagesubstrat 306 über Mittenebenenverbindungen (Mid-Level Interconnects - MLIs) 352 gekoppelt werden, und der Interposer 302 kann mit dem Packagesubstrat 306 über Zweitebenenverbindungen (Second Level Interconnects - SLIs) 354 verbunden werden. Die MLIs 352 und SLls 354, die hierin offenbart sind, können eine beliebige passende Form annehmen. Bei einigen Ausführungsformen können die MLIs 352 und SLls 354 Lot (zum Beispiel Lotbumps oder Lotkugeln, die einem thermischen Reflow zum Bilden der Verbindungen unterliegen) beinhalten. Bei einigen Ausführungsformen können die MLIs 352 und SLls 354 Lotkugeln für eine Kugelmatrix-Array-Anordnung, Pins in einer Pin-Gitteranordnung oder Kontaktflecken in einer Land-Gitteranordnung beinhalten. Bei einigen Ausführungsformen kann der Interposer 302 eine Leiterplatte sein. Die Leiterplatte kann zum Beispiel ein Motherboard sein, und kann andere Bauelemente daran angebracht aufweisen. Die Leiterplatte kann leitende Leitungsbahnen und andere leitende Kontakte zum Routing von Leistung, Masse und Signalen durch die Leiterplatte, wie im Stand der Technik bekannt, beinhalten. Bei einigen Ausführungsformen können die SLIs 354 das Packagesubstrat 306 mit einem anderen IC-Package oder anderem geeigneten Bauelement koppeln. Bei einigen Ausführungsformen ist die Mehrschichten-Die-Unteranordnung 200 eventuell nicht mit einem Packagesubstrat 306 gekoppelt, sondern kann stattdessen mit einer Leiterplatte, wie einer PCB, gekoppelt sein.
  • Das Packagesubstrat 306 kann ein Isoliermaterial (zum Beispiel ein dielektrisches Material, das aus mehreren Schichten gebildet ist, wie im Stand der Technik bekannt) und eine oder mehrere leitende Leiterbahnen zum Routen von Leistung, Masse und Signalen durch das dielektrische Material beinhalten (zum Beispiel Leiterbahnen und/oder leitende Vias, wie gezeigt, beinhalten). Bei einigen Ausführungsformen kann das Isoliermaterial des Packagesubstrats 306 ein dielektrisches Material sein, wie ein organisches dielektrisches Material, ein feuerhemmendes Material Grade 4 (FR-4), BT-Harz, Polyimidmaterialien, glasverstärkte Epoxidmatrixmaterialien, organische Dielektrika mit anorganischen Füllstoffen oder Low-k- und Ultra-Low-k-Dielektrikum (zum Beispiel carbondotierte Dielektrika, fluordotierte Dielektrika, porige Dielektrika und organische polymerische Dielektrika). Insbesondere wenn das Packagesubstrat 306 unter Verwenden gedruckter Standard-Leiterplatten-Prozesse (PCB-Prozesse) gebildet wird, kann das Packagesubstrat 306 FR-4 beinhalten, und die leitenden Leitungsbahnen in dem Packagesubstrat 306 können durch strukturierte Kupferblätter, die durch Build-Up-Schichten des FR-4 gebildet sind, gebildet werden. Die leitenden Leitungsbahnen in dem Packagesubstrat 306 können durch Linermaterialien, wie Haftliner und/oder Barrierenliner, je nach Eignung, begrenzt sein.
  • Bei einigen Ausführungsformen kann das Packagesubstrat 306 unter Verwenden eines lithografisch definierten Via-Packagingprozess gebildet werden. Bei einigen Ausführungsformen kann das Packagesubstrat 306 unter Verwenden organischer Standard-Packageherstellungsprozesse hergestellt werden, und das Packagesubstrat 306 kann daher die Form eines organischen Packages annehmen. Bei einigen Ausführungsformen kann das Packagesubstrat 306 ein Satz von Redistribution-Schichten sein, die auf einem Plattenträger durch Laminieren oder Spinning auf einem dielektrischen Material gebildet werden, und das Schaffen leitender Vias und Leitungen durch Laserbohren und Beschichten sein. Bei einigen Ausführungsformen kann das Packagesubstrat 306 auf einem abnehmbaren Träger unter Verwenden einer geeigneten Technik, wie einer Redistribution-Layer-Technik gebildet werden. Ein beliebiges Verfahren, das im Stand der Technik zur Fertigung des Packagesubstrats 306 bekannt ist, kann verwendet werden, und der Kürze halber werden solche Verfahren hierin nicht ausführlicher besprochen.
  • Die mikroelektronische Anordnung 300 der 3 kann auch ein Underfill-Material 327 beinhalten. Bei einigen Ausführungsformen kann sich das Underfill-Material 327 zwischen der Mehrschichten-Die-Unteranordnung 200 und dem Packagesubstrat 306 um die dazugehörenden MLIs 352 erstrecken. Das Underfill-Material 327 kann ein Isoliermaterial, wie ein zweckdienliches Epoxidmaterial, sein. Bei einigen Ausführungsformen kann das Underfill-Material 327 ein kapillares Underfill-Material, nicht-leitende Folie (Non-Conductive Film - NCF) oder geformtes Underfill-Material beinhalten. Bei einigen Ausführungsformen kann das Underfill-Material 327 ein Epoxid-Flussmittel beinhalten, das beim Löten der Mehrschichten-Die-Unteranordnung 200 an das Packagesubstrat 306 beim Bilden der MLIs 352 hilft und dann die MLIs 352 polymerisiert und einkapselt. Das Underfill-Material 327 kann ausgewählt werden, um einen Wärmedehnungskoeffizienten (CTE) aufzuweisen, der die Spannung zwischen der Mehrschichten-Die-Unteranordnung 200 und dem Packagesubstrat 306, die aus ungleichmäßiger Wärmedehnung hervorgeht, mindern oder minimieren.
  • Die mikroelektronische Anordnung 300 der 3 kann auch ein Overmold-Material 329 beinhalten. Bei einigen Ausführungsformen kann das Overmold-Material 329 um Mehrschichten-Die-Unteranordnung 200 und in Berührung mit der Oberfläche 371 des Packagesubstrats 306 angeordnet werden. Das Overmold-Material kann ein Isoliermaterial, wie ein zweckdienliches Epoxidmaterial, sein.
  • Die mikroelektronische Anordnung 300 der 3 kann auch einen Wärmespreizer 333 beinhalten. Der Wärmespreizer 333 kann dazu verwendet werden, Wärme von den Dies 114-1, 114-2 wegzubewegen (zum Beispiel derart, dass die Wärme leichter von einer Wärmesenke oder einer anderen Wärmemanagementvorrichtung abgeleitet werden kann). Der Wärmespreizer 333 kann ein beliebiges wärmeleitendes Material (zum Beispiel Metall, zweckdienliche Keramiken usw.) beinhalten, und kann geeignete Merkmale (zum Beispiel Finnen) beinhalten. Bei einigen Ausführungsformen kann der Wärmespreizer 333 ein integrierter Wärmespreizer sein.
  • Die mikroelektronische Anordnung 300 der 3 kann auch ein stark wärmeleitendes Moldmaterial oder ein thermisches Schnittstellenmaterial (Thermal Interface Material - TIM) 331 beinhalten. Das TIM 331 kann ein wärmeleitendes Material (zum Beispiel Metallpartikel) in einem Polymer oder anderen Bindemittel beinhalten. Das TIM 331 kann eine Paste aus thermischem Schnittstellenmaterial oder ein wärmeleitendes Epoxid sein (das ein Fluid sein kann, wenn es aufgebracht wird, und sich bei Aushärten, wie im Stand der Technik bekannt, verfestigen kann). Das TIM 331 kann einen Weg bereitstellen, damit Wärme, die von den Dies 114-1, 114-2 erzeugt wird, zu dem Wärmespreizer 333 fließt, wo sie verbreitet und/oder abgeleitet werden kann.
  • 4 ist eine Seiten-, Querschnittansicht eines mikroelektronischen Bauteils 400 gemäß diversen Ausführungsformen. Die mikroelektronische Anordnung 400 der 4 ist der mikroelektronischen Anordnung 300 der 3 ähnlich und unterscheidet sich nur dadurch, dass die Mehrschichten-Die-Unteranordnung 200 ein einziges Die 114 und nicht mehrere Dies beinhaltet, das elektrisch mit der Vielzahl von TSVs 211 und dem mikroelektronischen Bauteil 100 gekoppelt ist.
  • 5A veranschaulicht eine Anordnung 500A, die einen Wafer 514 beinhaltet, der ein Substrat 513 und eine Vielzahl von TSVs 516 aufweist, wobei die obere Oberfläche der TSVs 516 freigelegt ist. Bei einigen Ausführungsformen ist der Wafer 514 ein aktiver Wafer, der eine aktive Schicht (nicht gezeigt) und eine Rückseitenschicht mit TSVs aufweist, wo nichtelektrisches Material von der Rückseitenschicht des Wafers entfernt wird, um die obere Oberfläche der TSVs freizulegen. Das nicht-elektrische Material, das ein inaktiver Abschnitt des Wafers ist, kann unter anderen Materialien Silizium, Keramik oder Quarz beinhalten. Das nicht-elektrische Material kann unter Verwenden einer geeigneten Technik entfernt werden, einschließlich zum Beispiel durch Schleifen, Ätzen, wie reaktives lonenätzen (Reactive Ion Etching - RIE) oder chemisches Ätzen. Bei einigen Ausführungsformen ist der Wafer 514 ein passiver Wafer. Bei einigen Ausführungsformen wird der Wafer 514 auf einem Träger (nicht gezeigt) während Herstellungsvorgängen angeordnet. Der Träger kann ein geeignetes Material zum Bereitstellen mechanischer Stabilität während Herstellungsvorgängen beinhalten. Beim Verwenden eines Trägers kann der Wafer 514 an dem Träger unter Verwenden einer geeigneten Technik, einschließlich einer zeitweiligen Klebstoffschicht oder einer Die-Attach-Folie (DAF) angebracht werden.
  • 5B veranschaulicht eine Anordnung 500B im Anschluss an das Bilden leitender Säulen 592 auf der oberen Oberfläche der TSVs 516. Die leitenden Säulen 592 können die Form einer der hierin offenbarten Ausführungsformen annehmen und können unter Verwenden einer geeigneten Technik, zum Beispiel eines lithografischen Prozesses oder eines additiven Prozesses, wie Kaltgasspritzen oder 3-dimensionales Drucken, gebildet werden. Die leitenden Säulen 592 können zum Beispiel durch Abscheiden, Belichten und Entwickeln einer Fotolackschicht auf der oberen Oberfläche der TSVs 516 gebildet werden. Die Fotolackschicht kann strukturiert werden, um Hohlräume in der Form der leitenden Säulen zu bilden. Leitendes Material, wie Kupfer, kann in den Öffnungen in der strukturierten Fotolackschicht abgeschieden werden, um die leitenden Säulen 592 zu bilden. Das leitende Material kann unter Verwenden eines geeigneten Prozesses, wie galvanisches Abscheiden, Sputtern oder stromloses Abscheiden abgeschieden werden. Der Fotolack kann entfernt werden, um die leitenden Säulen 592 freizulegen. Bei einem anderen Beispiel kann ein fotoabbildbares Dielektrikum verwendet werden, um die leitenden Säulen 592 zu bilden. Bei einigen Ausführungsformen kann eine Keimschicht (nicht gezeigt) auf der oberen Oberfläche der TSVs vor dem Abscheiden des Fotolackmaterials und des leitenden Materials gebildet werden. Die Keimschicht kann ein geeignetes leitendes Material, einschließlich Kupfer, sein. Die Keimschicht kann entfernt werden, nachdem die Fotolackschicht entfernt wurde, indem ein geeignetes Verfahren, unter anderen einschließlich chemischen Ätzens, verwendet wird. Bei einigen Ausführungsformen kann die Keimschicht weggelassen werden.
  • 5C veranschaulicht eine Anordnung 500C im Anschluss an das Bereitstellen eines Moldmaterials 594 um die leitenden Säulen 592. Das Moldmaterial 594 kann unter Verwenden einer geeigneten Technik, wie Formpressen oder Laminieren, abgeschieden werden. Bei einigen Ausführungsformen wird das Moldmaterial im Anschluss an die Abscheidung ausgehärtet. Bei einigen Ausführungsformen kann das Moldmaterial 594 anfänglich auf und über den Oberseiten der leitenden Säulen 592 abgeschieden, zurückpoliert und planarisiert werden, um die oberen Oberflächen der leitenden Säulen 592 freizulegen. Die zum Abscheiden des Moldmaterials verwendete Technik kann von dem Typ des verwendeten Moldmaterials abhängen. Das Moldmaterial kann unter Verwenden einer geeigneten Technik entfernt werden, einschließlich zum Beispiel Schleifen, Ätzen, wie reaktives lonenätzen (Reactive Ion Etching - RIE) oder chemisches Ätzen. Bei einigen Ausführungsformen kann das verwendete Moldmaterial von den gewünschten Merkmalen eines mikroelektronischen Bauteils (zum Beispiel des mikroelektronischen Bauteils 100) abhängen. Das Moldmaterial 594 kann ein geeignetes Moldmaterial, wie oben unter Bezugnahme auf 1 beschrieben, sein. Die leitenden Säulen 592 sind den zweiten TMVs 165 der 1 ähnlich.
  • 5D veranschaulicht eine Anordnung 500D im Anschluss an das Abscheiden eines Trägers 512 auf einer oberen Oberfläche 570-2 der Anordnung 500C. Der Träger kann ein geeignetes Material zum Bereitstellen mechanischer Stabilität während Herstellungsvorgängen beinhalten. Die Anordnung 500C kann an dem Träger 512 unter Verwenden einer geeigneten Technik, einschließlich einer zeitweiligen Klebstoffschicht oder einer Die-Attach-Folie (DAF), angebracht werden.
  • 5E veranschaulicht eine Anordnung 500E im Anschluss an das Entfernen nichtelektrischen Materials von der unteren Oberfläche 570-1 der Anordnung 500D und Planarisieren zum Freilegen der unteren Oberfläche der TSVs 516. Das nicht-elektrische Material, das ein inaktiver Abschnitt des Wafers ist, kann Silizium, Keramik oder Quarz unter anderen Materialien beinhalten. Das nicht-elektrische Material kann unter Verwenden einer geeigneten Technik entfernt werden, einschließlich zum Beispiel Schleifen, Ätzen, wie reaktives lonenätzen (Reactive Ion Etching - RIE) oder chemisches Ätzen.
  • 5F veranschaulicht eine Anordnung 500F im Anschluss an das Bilden leitender Säulen 598 auf der freigelegten unteren Oberfläche 570-1 der TSVs 516. Die leitenden Säulen 598 können hierin auch als leitende Bumps oder packageseitige Bumps bezeichnet werden. Die leitenden Säulen 598 können die Form einer der hierin offenbarten Ausführungsformen annehmen und können unter Verwenden einer geeigneten Technik, zum Beispiel eines lithografischen Prozesses oder eines additiven Prozesses, wie Kaltgasspritzen oder 3-dimensionales Drucken, gebildet werden. Bei einigen Ausführungsformen können die leitenden Säulen 598 durch Abscheiden einer Siliziumnitrid-Passivierungsschicht, Öffnen der Siliziumnitrid-Passivierungsschicht zum Freilegen der Oberflächen der TSVs 516 (zum Beispiel an der unteren Oberfläche 570-1), Abscheiden einer leitenden Keimschicht auf der freigelegten Oberfläche der TSVs, Spin-On einer Fotolackschicht, Entwickeln der Fotolackschicht, um Öffnungen zum Bilden der leitenden Säulen 598 zu schaffen, galvanisches Abscheiden eines leitenden Materials in der Öffnung, um die leitenden Säulen 598 zu bilden, Entfernen der Fotolackschicht und optional Ätzen der Keimschicht, falls zweckdienlich, gebildet werden. Die leitenden Säulen 598 können aus einem geeigneten leitenden Material hergestellt werden und können eine geeignete Größe und Form, wie oben unter Bezugnahme auf 1 beschrieben, aufweisen. Die leitenden Säulen 598 sind den ersten TMVs 163 der 1 ähnlich.
  • 5G veranschaulicht eine Anordnung 500G im Anschluss an das Bereitstellen eines Moldmaterials 595 um die leitenden Säulen 598. Das Moldmaterial 595 kann unter Verwenden einer geeigneten Technik, wie Formpressen oder Laminieren, abgeschieden werden. Bei einigen Ausführungsformen wird das Moldmaterial im Anschluss an die Abscheidung ausgehärtet. Bei einigen Ausführungsformen kann das Moldmaterial 595 anfänglich auf und über den leitenden Säulen 598 abgeschieden, dann zurückpoliert und planarisiert werden, um die unteren Oberflächen (das heißt bei 570-1) der leitenden Säulen 598 freizulegen. Die zum Abscheiden des Moldmaterials verwendete Technik kann von dem Typ des verwendeten Moldmaterials abhängen. Das Moldmaterial kann unter Verwenden einer geeigneten Technik entfernt werden, einschließlich zum Beispiel Schleifen, Ätzen, wie reaktives lonenätzen (Reactive Ion Etching - RIE) oder chemisches Ätzen. Bei einigen Ausführungsformen kann das verwendete Moldmaterial von den gewünschten Merkmalen eines mikroelektronischen Bauteils (zum Beispiel des mikroelektronischen Bauteils 100) abhängen. Das Moldmaterial 595 kann ein geeignetes Moldmaterial, wie oben unter Bezugnahme auf 1 beschrieben, sein.
  • 5H veranschaulicht eine Anordnung 500H im Anschluss an das Entfernen des Trägers 512 und nach dem Anbringen einer Bondingschicht 517 auf der unteren Oberfläche 570-1. Die Bondingschicht 517 kann eine geeignete Bondingschicht sein, wie eine Klebstoffschicht oder eine Die-Attach-Folie (DAF) und kann unter Verwenden einer geeigneten Technik, einschließlich eines zeitweiligen Klebstoffs oder Laminieren angebracht werden. Bei einigen Ausführungsformen weist die Bondingschicht 517 eine Stärke zwischen 2 Mikrometer und 15 Mikrometer auf. Bei einigen Ausführungsformen weist die Bondingschicht 517 eine Stärke zwischen 3 Mikrometer und 7 Mikrometer auf.
  • 5I veranschaulicht eine Anordnung 500I, die hierin auch als ein mikroelektronisches Bauteil bezeichnet wird, wie das mikroelektronische Bauteil 100, im Anschluss an das Vereinzeln in individuelle Einheiten. Bei einigen Ausführungsformen können die individuellen Einheiten gleich sein. Bei einigen Ausführungsformen können sich die individuellen Einheiten voneinander unterscheiden.
  • Die 6A bis 6I sind Seiten-, Querschnittansichten diverser Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Anordnung 300 der 3 gemäß diversen Ausführungsformen. Jede geeignete Technik kann verwendet werden, um die mikroelektronischen Anordnungen, die hierin offenbart sind, herzustellen. Obwohl die Vorgänge, die unten unter Bezugnahme auf die 6A bis 6I (und auf andere der begleitenden Zeichnungen, die Herstellungsprozesse darstellen) in einer besonderen Reihenfolge veranschaulicht sind, können diese Vorgänge in einer geeigneten Reihenfolge ausgeführt werden. Obwohl besondere Anordnungen und besondere Mehrschicht-Die-Unteranordnungen in den 6A bis 6I (und in anderen der begleitenden Zeichnungen, die Herstellungsprozesse darstellen) veranschaulicht sind, können die unten unter Bezugnahme auf die 6A bis 6I besprochenen Vorgänge verwendet werden, um beliebige Anordnungen und Unteranordnungen zu bilden. Bei der Ausführungsform der 6A bis 6I kann das mikroelektronische Bauteil (zum Beispiel die Anordnung 500l) zunächst in ein Verbundmaterial-Die (zum Beispiel Anordnung 600G) zusammengefügt werden, und dann kann das Verbundmaterial-Die mit einem Interposer und/oder einem Packagesubstrat (zum Beispiel Anordnung 600l) zusammengefügt werden. Dieser Ansatz kann knappere Toleranzen erlauben und kann besonders für das Integrieren eines mikroelektronischen Bauteils (zum Beispiel des mikroelektronischen Bauteils 100 der 1) für relativ kleine Dies 114 in einem Verbundmaterial-Die (zum Beispiel der Unteranordnung 200 der 2) wünschenswert sein.
  • 6A veranschaulicht eine Anordnung 600A, die einen Träger 605 und optional eine Bondingfolie 616 im Anschluss an das Bilden erster leitender Pads 607, einer Ätzstoppschicht 613, zweiter leitender Pads 609 und leitender TSVs 611 auf der oberen Oberfläche der Bondingfolie 616 beinhaltet. Der Träger 605 kann ein geeignetes Material zum Bereitstellen mechanischer Stabilität während Herstellungsvorgängen, einschließlich zum Beispiel eines Glasträgers, beinhalten. Die Bondingfolie 616 kann eine geeignete vorübergehende Bondingfolie, zum Beispiel eine vorübergehende Klebstoffschicht auf einer DAF sein. Die ersten leitenden Pads 607, die Ätzstoppschicht 613, die zweiten leitenden Pads 609 und die leitenden TSVs 611 können angeordnet werden, um einen oder mehrere Depopulationsbereiche 655 zu bilden, in welchen keine leitenden Strukturen anwesend sind. Wie hierin verwendet, können die Begriffe „leitende Pads“ und „leitende Verbindungen“ sowie „leitende Kontakte“ gegenseitig austauschbar verwendet werden. Die ersten leitenden Pads 607, die Ätzstoppschicht 613, die zweiten leitenden Pads 609 und die leitenden TSVs 611 können unter Verwenden einer geeigneten Technik, zum Beispiel eines lithografischen Prozesses oder eines additiven Prozesses, wie Kaltgasspritzen oder 3-dimensionales Drucken, gebildet werden. Die ersten leitenden Pads 607, die Ätzstoppschicht 613, die zweiten leitenden Pads 609 und die leitenden TSVs 611 können zum Beispiel durch Abscheiden, Belichten und Entwickeln mehrerer Fotolackschichten und Abscheiden eines leitenden Materials, wie eines Metalls, auf der Bondingfolie 616 gebildet werden. Die Fotolackschichten können strukturiert werden, um Hohlräume in der Form der ersten leitenden Pads 607, der Ätzstoppschicht 613, der zweiten leitenden Pads 609 und der leitenden TSVs 611 zu bilden. Leitendes Material, wie Kupfer, kann in den Öffnungen in den strukturierten Fotolackschichten abgeschieden werden, um die ersten leitenden Part 607, die zweiten leitenden Pads 609 und die leitenden TSVs 611 zu bilden. Eine Ätzstoppschicht, wie Nickel, kann in den Öffnungen in der strukturierten Fotolackschicht abgeschieden werden, um die Ätzstoppschicht 613 zu bilden. Das leitende Material und das Ätzstoppmaterial können unter Verwenden eines geeigneten Prozesses, wie galvanisches Abscheiden, Sputtern oder stromloses Abscheiden, abgeschieden werden. Bei einigen Ausführungsformen können die Fotolackschichten nach jeder Materialabscheidung entfernt werden, um die ersten leitenden Pads 607, die Ätzstoppschicht 613, die zweiten leitenden Pads 609 und die leitenden TSVs 611 freizulegen. Bei einigen Ausführungsformen kann das erste Fotolackmaterial abgeschieden und entwickelt werden, um die ersten leitenden Pads 607, die Ätzstoppschicht 613 und die zweiten leitenden Pads 609 zu bilden, dann kann das erste Fotolackmaterial entfernt werden, und ein zweites Fotolackmaterial kann abgeschieden und entwickelt werden, um die TSVs 611 zu bilden, wonach das zweite Fotolackmaterial entfernt werden kann. Bei einem anderen Beispiel kann ein fotoabbildbares Dielektrikum verwendet werden, um die ersten leitenden Pads 607, die Ätzstoppschicht 613, die zweiten leitenden Pads 609 und die leitenden TSVs 611 zu bilden. Bei einigen Ausführungsformen kann eine Keimschicht (nicht gezeigt) auf der oberen Oberfläche der Bondingfolie 616 vor dem Abscheiden des Fotolackmaterials und des leitenden Materials gebildet werden. Die Keimschicht kann ein geeignetes leitendes Material, einschließlich Kupfer oder Titan/Kupfer, sein. Die Keimschicht kann entfernt werden, nachdem die abschließende Fotolackschicht entfernt wurde, indem ein geeignetes Verfahren, einschließlich chemischen Ätzens unter anderer, verwendet wird. Bei einigen Ausführungsformen kann die Keimschicht weggelassen werden.
  • Die ersten leitenden Pads 607 können ein geeignetes Maß aufweisen und können aus einem geeigneten leitenden Material hergestellt werden, zum Beispiel können die ersten leitenden Pads 607 eine Stärke zwischen 2 Mikrometer und 10 Mikrometer aufweisen und aus Kupfer hergestellt sein. Die Ätzstoppschicht 613 kann ein geeignetes Maß aufweisen, die Ätzstoppschicht 613 kann zum Beispiel eine Länge und eine Breite gleich den ersten leitenden Pads 607 aufweisen und kann eine Stärke zwischen 2 Mikrometer und 5 Mikrometer aufweisen. Die Ätzstoppschicht kann aus einem geeigneten Material, wie Nickel, hergestellt werden. Die zweiten leitenden Pads 609 können ein geeignetes Maß aufweisen und können aus einem geeigneten leitenden Material hergestellt werden, zum Beispiel können die zweiten leitenden Pads 609 eine Länge und eine Breite gleich den ersten leitenden Pads 607 aufweisen und können eine Stärke zwischen 10 Mikrometer und 20 Mikrometer aufweisen.
  • Die leitenden TSVs 611 können ein geeignetes Maß aufweisen und können aus einem geeigneten leitenden Material, wie unter Bezugnahme auf 2 beschrieben, hergestellt werden. Bei einigen Ausführungsformen kann ein individuelles leitendes TSV 611 einen Durchmesser (zum Beispiel Querschnitt) zwischen 10 Mikrometer und 1000 Mikrometer aufweisen. Ein individuelles leitendes TSV 611 kann zum Beispiel einen Durchmesser zwischen 50 Mikrometer und 400 Mikrometer aufweisen. Bei einigen Ausführungsformen kann ein individuelles leitendes TSV 611 eine Höhe (zum Beispiel z-Höhe oder Stärke) zwischen 50 Mikrometer und 150 Mikrometer aufweisen. Die leitenden Säulen können eine geeignete Querschnittform, zum Beispiel, unter anderen, quadratisch, rechteckig und oval aufweisen.
  • 6B veranschaulicht eine Anordnung 600B im Anschluss an das Platzieren eines mikroelektronischen Bauteils 100 in dem Depopulationsbereich 655 der Anordnung 600A ( 6A). Das mikroelektronische Bauteil 100 kann das mikroelektronische Bauteil 100 der 1 sein, oder es kann ein anderes ähnliches Bauelement sein, das ein Substrat mit einem ersten Moldbereich an der ersten Oberfläche beinhaltet, das erste leitende Through-Mold-Strukturen beinhaltet, und einen zweiten Moldbereich an einer entgegengesetzten zweiten Oberfläche, der zweite leitende Through-Mold-Strukturen aufweist, wobei das Substrat eine Vielzahl von Through-Substrat-Vias (TSVs) beinhaltet, und wobei die ersten und zweiten leitenden Through-Mold-Strukturen elektrisch mit den TSVs gekoppelt sind. Das mikroelektronische Bauteil 100 kann eine Klebstoffschicht 618 oder eine andere ähnliche Schicht, wie eine DAF, eine Die-Bonding-Folie (DBF) oder eine Trennschicht, zum Anbringen an dem Depopulationsbereich 655 der Anordnung 600A. Eine Trennschicht (hier auch als eine Ablöseschicht bezeichnet) kann einen zeitweiligen Klebstoff oder anderes Material, das sich ablöst, wenn es zum Beispiel mit Wärme oder Licht exponiert wird, beinhalten. Das mikroelektronische Bauteil, wie das mikroelektronische Bauteil der 1 und 51, kann in dem Depopulationsbereich 655 unter Verwenden derselben oder einer ähnlichen Technik wie das Platzieren eines Die, platziert werden, wie durch Verwenden eines Pick-and-Place-Werkzeugs.
  • 6C veranschaulicht eine Anordnung 600C im Anschluss an das Bereitstellen eines Isoliermaterials 630 um das mikroelektronische Bauteil 100, die ersten leitenden Pads 607, die Ätzstoppschicht 613, die zweiten leitenden Pads 609 und die leitenden TSVs 611. Das Isoliermaterial 630 kann unter Verwenden einer geeigneten Technik, zum Beispiel durch Laminieren, abgeschieden werden. Bei einigen Ausführungsformen kann das Isoliermaterial 630 anfänglich auf und über den Oberseiten des mikroelektronischen Bauteils 100 und der leitenden TSVs 611 abgeschieden, dann zurückpoliert werden, um die obere Oberfläche des mikroelektronischen Bauteils 100 und die oberen Oberflächen der leitenden TSVs 611 freizulegen. Bei einigen Ausführungsformen ist das Isoliermaterial 630 ein Moldmaterial, wie ein organisches Polymer mit anorganischen Siliziumdioxidteilchen. Bei einigen Ausführungsformen ist das Isoliermaterial 630 ein dielektrisches Material. Bei einigen Ausführungsformen kann das dielektrische Material ein organisches dielektrisches Material, ein feuerhemmendes Material Grade 4 (FR-4), BT-Harz, Polyimidmaterialien, glasverstärkte Epoxidmatrixmaterialien oder Low-κ- und Ultra-Low-k-Dielektrikum (zum Beispiel carbondotierte Dielektrika, fluordotierte Dielektrika, porige Dielektrika und organische polymerische Dielektrika) beinhalten. Das dielektrische Material kann unter Verwenden eines geeigneten Prozesses, einschließlich Laminieren oder Schlitzbeschichten und Aushärten gebildet werden. Falls die dielektrische Schicht gebildet wird, um die leitenden TSVs 611 und das mikroelektronische Bauteil 100 vollständig abzudecken, kann die dielektrische Schicht entfernt werden, um die obere Oberfläche des mikroelektronischen Bauteils 100 und die oberen Oberflächen der leitenden TSVs 611 freizulegen, indem eine geeignete Technik, einschließlich Schleifen oder Ätzen, wie ein Nassätzen, ein Trockenätzen (zum Beispiel ein Plasmaätzen), ein Nassstrahlen oder eine Laserabtragung (zum Beispiel unter Verwenden eines Excimerlasers) verwendet wird. Bei einigen Ausführungsformen kann die Stärke des Isoliermaterials 630 minimiert werden, um die erforderliche Ätzzeit zu reduzieren. Bei einigen Ausführungsformen kann eine Redistribution-Layer (RDL) (nicht gezeigt) auf der oberen Oberfläche der Anordnung 600C gebildet werden. Die RDL kann unter Verwenden einer geeigneten Technik, wie einer geeigneten PCB-Technik oder einer Redistribution-Layer-Technik hergestellt werden.
  • 6D veranschaulicht eine Anordnung 600D im Anschluss an das Bilden leitender Kontakte 652 auf den oberen Oberflächen der leitenden TSVs 611, und das Bilden leitender Kontakte 654 auf der oberen Oberfläche des mikroelektronischen Bauteils 100. Die leitenden Kontakte 652, 654 können unter Verwenden einer geeigneten Technik, einschließlich des Verwendens von Lithographie (mit kleinen vertikalen Interconnect-Merkmalen, die durch fortschrittliche Laser- oder Lithographieprozesse gebildet werden) gebildet werden. Die leitenden Kontakte 652, 654 können aus einem geeigneten leitenden Material, einschließlich Kupfer, hergestellt werden. Bei einigen Ausführungsformen können die leitenden Kontakte 652, 654 mehrere Metallschichten aufweisen, und jede Metallschicht kann ein unterschiedliches Metallmaterial beinhalten. Die leitenden Kontakte 652, 654 können zum Beispiel drei Metallschichten beinhalten, eine erste Metallschicht, die Kupfer beinhaltet, eine zweite Metallschicht, die Nickel beinhaltet und eine dritte Metallschicht, die Zinn beinhaltet. Die Zinnschicht 652-3, 654-3 kann unter Verwenden eines geeigneten chemischen oder mechanischen Ätzens planarisiert werden. Bei einigen Ausführungsformen kann die zweite Metallschicht 652-2, 654-2 eine Ätzstoppschicht sein.
  • 6E veranschaulicht eine Anordnung 600E im Anschluss an das Platzieren der Dies 114-1, 114-2 und das Bereitstellen eines Underfill-Materials 617 um die Verbindungen 650-1, 650-2 sowie ein Isoliermaterials 619 um die Dies. Die Dies 114-1, 114-2 können elektrisch und mechanisch mit den leitenden TSVs durch Verbindungen 650-2 gekoppelt sein, und können elektrisch oder mechanisch mit dem mikroelektronischen Bauteil 100 durch Verbindungen 650-1 gekoppelt sein. Die Verbindungen 650-1, 650 können eine geeignete Form annehmen. Bei einigen Ausführungsformen können die Verbindungen 650-1, 650 Lot (zum Beispiel Lotbumps oder Lotkugeln) beinhalten. Das Underfill-Material 617 und das Isoliermaterial 619 oder Overmold-Material können ein geeignetes Moldmaterial sein und können unter Verwenden einer geeigneten Technik, wie oben unter Bezugnahme auf 2 beschrieben, gebildet werden.
  • 6F veranschaulicht eine Anordnung 600F im Anschluss an das Entfernen des Trägers 605 und der Bondingfolie 616, um die ersten leitenden Pads 607 und die Klebstoffschicht 618 freizulegen, und anschließend an das Anbringen eines Trägers 603 an der oberen Oberfläche der Anordnung 600E. Der Träger 603 kann ein geeignetes Material zum Bereitstellen mechanischer Stabilität während Herstellungsvorgängen, einschließlich zum Beispiel eines Glasträgers, beinhalten. Der Träger 603 kann unter Verwenden einer geeigneten Technik, zum Beispiel einer zeitweiligen Bondingfolie, eines Klebstoffs oder einer DAF (nicht gezeigt) angebracht werden. Bei einigen Ausführungsformen kann ein Wärmespreizer und/oder TIM (nicht gezeigt) an der oberen Oberfläche der Anordnung 600E vor dem Anbringen des Trägers 603 angebracht werden. Der Wärmespreizer und/oder das TIM können eine geeignete Form, wie unter Bezugnahme auf 3 beschrieben, aufweisen.
  • 6G veranschaulicht eine Anordnung 600G im Anschluss an das Entfernen der ersten leitenden Pads 607, der Ätzstoppschicht 613, des Isoliermaterials 630 und der Klebstoffschicht 618 von der Unterseite der Anordnung 600F, um die zweiten leitenden Pads 609 und die TMVs 663 auf der unteren Oberfläche (zum Beispiel die ersten TMVs 163 in 1) des mikroelektronischen Bauteils 100 freizulegen. Die ersten leitenden Pads 607, die Ätzstoppschicht 613 und die Klebstoffschicht 618 können jeweils unter Verwenden einer geeigneten Technik, einschließlich unter anderen zum Beispiel von Trockenätzen oder mechanischem Schleifen, entfernt werden.
  • 6H veranschaulicht eine Anordnung 600H im Anschluss an das Entfernen des Trägers 603. Der Träger 603 kann unter Verwenden eines geeigneten Prozesses entfernt werden. Falls mehrere Verbundwerkstoff-Dies (zum Beispiel die Mehrschichten-Die-Unteranordnung 200 der 2) gemeinsam hergestellt werden, können die Verbundwerkstoff-Dies nach dem Entfernen des Trägers 603 vereinzelt werden. Weitere Vorgänge können wie geeignet entweder vor oder nach dem Vereinzeln ausgeführt werden (zum Beispiel Bereitstellen eines TIM, Anbringen eines Wärmespreizers, Abscheiden einer Lötstopplackschicht, Anbringen von Lotkugeln zum Koppeln an einem Interposer oder Packagesubstrat usw.).
  • 6I veranschaulicht eine Anordnung 6001 im Anschluss an das Koppeln der Anordnung 600H an einem Packagesubstrat 606 und Koppeln des Packagesubstrats 606 an einem Interposer 602. Die Anordnung 600H kann mechanisch und elektrisch an das Packagesubstrat 606 gekoppelt werden, und der Interposer 602 kann mechanisch und elektrisch an das Packagesubstrat 606 unter Verwenden geeigneter Verbindungen, wie unter Bezugnahme auf 3 beschrieben, gekoppelt werden. Bei einigen Ausführungsformen können ein Underfill-Material 627 und ein Overmold-Material 629, wie unter Bezugnahme auf 3 beschrieben, bereitgestellt werden
  • Obwohl die mikroelektronischen Bauteile 100, die Mehrschichten-Die-Unteranordnung 200 sowie die mikroelektronischen Anordnungen 300, 400, die hierin offenbart sind, eine besondere Anzahl und mikroelektronische Bauteile 100 und Mehrschichten-Die-Unteranordnungen 200 mit einer besonderen Anzahl von TSVs, Dies und Verbindungen zeigen, kann jede Anzahl und jede Anordnung mikroelektronischer Bauteile 100, Mehrschichten-Die-Unteranordnungen 200, TSVs, Dies und Verbindungen verwendet werden.
  • Die mikroelektronischen Bauteile 100 und die Mehrschichten-Die-Unteranordnungen 200, die hierin offenbart sind, können für eine geeignete Anwendung verwendet werden. Bei einigen Ausführungsformen kann zum Beispiel eine Mehrschichten-Die-Unteranordnung 200 verwendet werden, um sehr niedrige Formfaktor-Spannungsregulierung für feldprogrammierbares Gate-Array (FPGA) oder Verarbeitungseinheiten (zum Beispiel eine Zentraleinheit, Grafikeinheit, ein FPGA, ein Modem, ein Anwendungsprozessor usw.) insbesondere in mobilen Vorrichtungen und Vorrichtungen mit kleinem Formfaktor zu ermöglichen. Bei einem anderen Beispiel kann der Die 114 in einer Mehrschichten-Die-Unteranordnung 200 eine Verarbeitungsvorrichtung (zum Beispiel eine Zentraleinheit, eine Grafikeinheit, ein FPGA, ein Modem, ein Anwendungsprozessor usw.) sein.
  • 7 ist eine Seiten-, Querschnittansicht einer anderen Mehrschichten-Die-Unteranordnung 200 gemäß diversen Ausführungsformen. Bei den mikroelektronischen Anordnungen 300, 400, die hierin offenbart sind, kann die Mehrschichten-Die-Unteranordnung 200 eine RDL 748 beinhalten. 7 veranschaulicht zum Beispiel eine Ausführungsform einer Mehrschichten-Die-Unteranordnung 200, die eine RDL 748 unter den Dies 114-1, 114-2 aufweist. Die Dies 114-1, 114-2 können elektrisch mit den leitenden TSVs 211 und dem mikroelektronischen Bauteil 100 über leitende Leiterbahnen 796 in der RDL 748 gekoppelt sein. Die RDL 748 kann Bauelemente koppeln, die einen weniger dichten Pitch aufweisen, mit Bauelementen, die einen dichteren Pitch aufweisen.
  • Die mikroelektronischen Anordnungen 300, 400, die hierin offenbart sind, können in einem geeigneten elektronischen Bauelement enthalten sein. Die 8 bis 11 veranschaulichen diverse Beispiele von Geräten, die eine der mikroelektronischen Anordnungen 300, 400, die hierin offenbart sind, beinhalten oder können darin enthalten sein.
  • 8 ist eine Draufsicht eines Wafers 1500 und von Dies 1502, die in einer der mikroelektronischen Anordnungen 300, 400, die hierin offenbart sind (zum Beispiel als ein geeignetes der Dies 114) enthalten sind. Der Wafer 1500 kann aus Halbleitermaterial bestehen und kann ein oder mehrere Dies 1502 mit IC-Strukturen, die auf einer Oberfläche des Wafers 1500 gebildet sind, beinhalten. Jeder der Dies 1502 kann eine wiederholende Einheit eines Halbleiterprodukts, das eine geeignete IC beinhaltet, sein. Nachdem die Fertigung des Halbleiterprodukts abgeschlossen ist, kann der Wafer 1500 einem Vereinzelungsprozess unterzogen werden, bei dem die Dies 1502 voneinander getrennt werden, um getrennte „Chips“ des Halbleiterprodukts bereitzustellen. Der Die 1502 kann einer der Dies 114, die hierin offenbart sind, sein. Der Die 1502 kann einen oder mehrere Transistoren (zum Beispiel einige der Transistoren 1640 der 9, unten besprochen), unterstützende Schaltungen zum Routen elektrischer Signale zu den Transistoren, passive Bauteile (zum Beispiel Signalbahnen, Widerstände, Kondensatoren oder Induktoren) und/oder andere IC-Bauteile beinhalten. Bei einigen Ausführungsformen können der Wafer 1500 oder der Die 1502 ein Speicherbauelement (zum Beispiel eine Direktzugriff-Speichervorrichtung (Random Access Memory - RAM), wie eine statische RAM-Vorrichtung (SRAM-Vorrichtung), eine magnetische RAM-Vorrichtung (MRAM-Vorrichtung), eine resistive RAM-Vorrichtung (RRAM-Vorrichtung), eine leitende Überbrückungs-RAM-Vorrichtung (CBRAM-Vorrichtung) usw.), eine logische Vorrichtung (zum Beispiel ein AND-, OR-, NAND- oder NOR-Gate) oder ein anderes geeignetes Schaltungselement beinhalten. Mehrere dieser Vorrichtungen können auf einem einzigen Die 1502 kombiniert werden. Ein Speicher-Array, das aus mehreren Speicherbauelementen gebildet ist, kann zum Beispiel auf demselben Die 1502 wie eine Verarbeitungsvorrichtung (zum Beispiel die Verarbeitungsvorrichtung 1802 der 11) oder andere Logik gebildet werden, die dazu konfiguriert ist, Informationen in den Speichervorrichtungen zu speichern oder Anweisungen, die in dem Speicher-Array gespeichert sind, auszuführen. Bei einigen Ausführungsformen kann ein Die 1502 (zum Beispiel ein Die 114) eine Zentraleinheit, ein Funkfrequenz-Chip, ein Leistungswandler oder ein Netzwerkprozessor sein. Diverse der mikroelektronischen Anordnungen 300, 400, die hierin offenbart sind, können unter Verwenden einer Die-zu-Wafer-Fügetechnik hergestellt werden, bei der einige Dies 114 an einem Wafer 1500 angebracht werden, die andere der Dies 114 beinhalten, und der Wafer 1500 wird anschließend vereinzelt.
  • 9 ist eine Querschnittseitenansicht eines IC-Bauelements 1600, das in einer der mikroelektronischen Anordnungen 300, 400, die hierin offenbart sind (zum Beispiel in einem der Dies 114) enthalten ist. Ein oder mehrere der IC-Bauelemente 1600 können in einem oder mehreren Dies 1502 beinhaltet sein (8). Das IC-Bauelement 1600 kann auf einem Die-Substrat 1602 (zum Beispiel dem Wafer 1500 der 8) gebildet werden und in einem Die (zum Beispiel dem Die 1502 der 8) enthalten sein. Das Die-Substrat 1602 kann ein Halbleitersubstrat sein, das aus Halbleitermaterialsystemen besteht, die zum Beispiel n- oder p-Materialiensysteme (oder eine Kombination beider) beinhalten. Das Die-Substrat 1602 kann zum Beispiel ein kristallines Substrat beinhalten, das unter Verwenden einer Bulksilizium- oder einer Silicon-On-Insulator-Substruktur (SOI-Substruktur) gebildet wird. Bei einigen Ausführungsformen kann das Die-Substrat 1602 unter Verwenden abwechselnder Materialien gebildet werden, die mit Silizium kombiniert werden oder nicht, die, ohne darauf beschränkt zu sein, Germanium, Indium, Antimonid, Bleitellurid, Indiumarsenid, Indiumphosphid, Galliumarsenid oder Galliumantimonid beinhalten. Weiter Materialien, die als II-VI-, III-V- oder IV-Gruppe eingestuft sind, können auch verwendet werden, um das Die-Substrat 1602 zu bilden. Obwohl wenige Beispiele von Materialien, aus welchen das Die-Substrat 1602 gebildet werden kann, hier beschrieben sind, kann jedes Material, das als eine Grundlage für ein IC-Bauelement 1600 dienen kann, verwendet werden. Das Die-Substrat 1602 kann Teil eines vereinzelten Die (zum Beispiel die Dies 1502 der 8) oder ein Wafer (zum Beispiel der Wafer 1500 der 8) sein.
  • Das IC-Bauelement 1600 kann eine oder mehrere Bauelementschichten 1604 beinhalten, die auf dem Die-Substrat 1602 angeordnet sind. Die Bauelementschicht 1604 kann Merkmale eines oder mehrerer Transistoren 1640 (zum Beispiel Metalloxid-Halbleiter-Feldeffekttransistoren (MOSFETs)), die auf dem Die-Substrat 1602 gebildet sind, beinhalten. Die Bauelementschicht 1604 kann zum Beispiel einen oder mehrere Source- und/oder Drain-Bereiche (S/D-Bereiche) 1620, ein Gate 1622 zum Steuern des Stromflusses in den Transistoren 1640 zwischen den S/D-Bereichen 1620, und einen oder mehrere S/D-Kontakte 1624 zum Routen elektrischer Signale zu/von den S/D-Bereichen 1620 beinhalten. Die Transistoren 1640 können zusätzliche Merkmale, die zur Klarheit nicht abgebildet sind, wie Bauelementisolierbereiche, Gate-Kontakte und dergleichen, beinhalten. Die Transistoren 1640 sind nicht auf den Typ und die Konfiguration, die in 9 abgebildet sind, beschränkt, sondern können eine weite Vielfalt anderer Typen und Konfigurationen beinhalten, wie zum Beispiel planare Transistoren, nicht-planare Transistoren oder eine Kombination beider. Nicht-planare Transistoren können FinFET-Transistoren beinhalten, wie Double-Gate-Transistoren oder Tri-Gate-Transistoren sowie Wrap-Around- oder All-Around-Gate-Transistoren, wie Nanoband- und Nanodrahttransistoren.
  • Jeder Transistor 1640 kann ein Gate 1622 beinhalten, das aus mindestens zwei Schichten, einem Gate-Dielektrikum und einer Gate-Elektrode, gebildet ist. Das Gate-Dielektrikum kann eine Schicht oder einen Stapel von Schichten beinhalten. Die eine oder die mehreren Schichten können Siliziumoxid, Siliziumdioxid, Siliziumkarbid und/oder ein dielektrisches High-κ-Material beinhalten. Das dielektrische High-κ-Material kann Elemente wie Hafnium, Silizium, Sauerstoff, Titan, Tantal, Lanthan, Aluminium, Zirkonium, Barium, Strontium, Yttrium, Blei, Scandium, Niobium und Zink beinhalten. Beispiele der High-κ-Materialien, die in dem Gate-Dielektrikum verwendet werden können, beinhalten, ohne darauf beschränkt zu sein, Hafniumoxid, Hafnium-Silizium-Oxid, Lanthanoxid, Lanthan-Aluminiumoxid, Zirkoniumoxid, Zirkonium-Silizium-Oxid, Tantaloxid, Barium-Strontium-Titan-Oxid, Barium-Titan-Oxid, Strontium-Titan-Oxid, Yttriumoxid, Aluminiumoxid, Blei-Scandium-Tantal-Oxid sowie Blei-Zink-Niobat. Bei einigen Ausführungsformen kann ein Temperprozess auf dem Gate-Dielektrikum ausgeführt werden, um seine Güte zu verbessern, wenn ein High-κ-Material verwendet wird.
  • Die Gate-Elektrode kann auf dem Gate-Dielektrikum gebildet werden und kann mindestens ein p-Austrittsarbeitsmetall oder n-Austrittsarbeitsmetall in Abhängigkeit davon, ob der Transistor 1640 ein PMOS- oder ein NMOS-Transistor sein soll, beinhalten. Bei einigen Umsetzungen kann die Gate-Elektrode aus einem Stapel aus zwei oder mehreren Metallschichten bestehen, wobei eine oder mehrere Metallschichten Austrittsarbeitsmetallschichten sind, und mindestens eine Metallschicht eine Füllmetallschicht ist. Weitere Metallschichten können für andere Zwecke, wie als eine Sperrschicht, enthalten sein. Für einen PMOS-Transistor beinhalten Metalle, die für die Gate-Elektrode verwendet werden können, ohne darauf beschränkt zu sein, Ruthenium, Palladium, Platin, Kobalt, Nickel, leitende Metalloxide (zum Beispiel Rutheniumoxid) und eines der Metalle, die unten unter Bezugnahme auf einen NMOS-Transistor (zum Beispiel für Austrittsarbeitsabstimmung) besprochen sind. Für einen NMOS-Transistor beinhalten Metalle, die für die Gate-Elektrode verwendet werden können, ohne darauf beschränkt zu sein, Hafnium, Zirkonium, Titan, Tantal, Aluminium, Legierungen dieser Metalle, Karbide dieser Metalle (zum Beispiel Hafniumkarbid, Zirkoniumkarbid, Titankarbid, Tantalkarbid und Aluminiumkarbid), und eines der Metalle, die oben unter Bezugnahme auf einen PMOS-Transistor (zum Beispiel für Austrittsarbeitsabstimmung) besprochen sind.
  • Bei einigen Ausführungsformen, kann die Gate-Elektrode als ein Querschnitt des Transistors 1640 entlang der Source-Kanal-Drain-Richtung betrachtet, aus einer U-förmiges Struktur bestehen, die einen Bodenabschnitt beinhaltet, der im Wesentlichen zu der Oberfläche des Die-Substrats 1602 parallel ist, und zwei Seitenwandabschnitte, die im Wesentlichen zu der oberen Oberfläche des Die-Substrats 1602 senkrecht sind. Bei anderen Ausführungsformen kann mindestens eine der Metallschichten, die die Gate-Elektrode bilden, einfach eine planare Schicht sein, die im Wesentlichen zu der oberen Oberfläche des Die-Substrats 1602 parallel ist und keine Seitenwandabschnitte, die im Wesentlichen zu der oberen Oberfläche des Die-Substrats 1602 senkrecht sind, beinhaltet. Bei anderen Ausführungsformen kann die Gate-Elektrode aus einer Kombination aus U-förmigen Strukturen und planaren, nicht-U-förmiges Strukturen bestehen. Die Gate-Elektrode kann zum Beispiel aus einer oder mehreren U-förmigen Metallschichten bestehen, die auf einer oder mehreren planaren, nicht-U-förmigen Schichten gebildet sind.
  • Bei einigen Ausführungsformen kann ein Paar von Seitenwandabstandhaltern auf entgegengesetzten Seiten des Gate-Stapels gebildet werden, um den Gate-Stapel zu umklammern. Die Seitenwandabstandhalter können aus Materialien wie Silizium Nitride, Siliziumoxid, Siliziumkarbid, Carbon-dotiertem Siliziumnitrid und Siliziumoxinitrid gebildet werden. Prozesse zum Bilden von Seitenwandabstandhaltern sind im Stand der Technik gut bekannt und beinhalten im Allgemeinen Abscheide- und Ätzprozessschritte. Bei einigen Ausführungsformen kann eine Vielzahl von Abstandhalterpaaren verwendet werden; zum Beispiel zwei Paare, drei Paare oder vier Paare von Seitenwandabstandhaltern können auf entgegengesetzten Seiten des Gate-Stapels gebildet werden.
  • Die S/D-Bereiche 1620 können innerhalb des Die-Substrats 1602, an das Gate 1622 jedes Transistors 1640 angrenzend, gebildet werden. Die S/D-Bereiche 1620 können unter Verwenden entweder eines Implantations-/Diffusionsprozesses oder eines Ätz-/Abscheidungsprozesses gebildet werden. Bei dem ersteren Prozess können Dotierstoffe wie Bor, Aluminium, Asbest, Phosphor oder Arsen in das Substrat 1602 lonen-implantiert werden, um die S/D-Bereiche 1620 zu bilden. Ein Temperprozess, der die Dotierstoffe aktiviert und sie veranlasst, sich weiter in das Die-Substrat 1602 zu verbreiten, kann auf den lonen-Implantationsprozess folgen. Bei dem letzteren Prozess kann das Die-Substrat 1602 zunächst geätzt werden, um Vertiefungen an den Stellen der S/D-Bereiche 1620 zu bilden. Ein epitaktischer Abscheidungsprozess kann dann ausgeführt werden, um die Vertiefungen mit Material, das zum Fertigen der S/D-Bereiche 1620 verwendet wird, zu füllen. Bei einigen Umsetzungen können die S/D-Bereiche 1620 unter Verwenden einer Siliziumlegierung, wie Silizium-Germanium oder Siliziumkarbid, gefertigt werden. Bei einigen Ausführungsformen kann die epitaktisch abgeschiedene Siliziumlegierung in situ mit Dotierstoffen wie Bor, Arsen oder Phosphor, dotiert werden. Bei einigen Ausführungsformen können die S/D-Bereiche 1620 unter Verwenden eines oder mehrerer abwechselnder Halbleitermaterialien, wie Germanium oder einer Gruppe-I II-V-Material oder einer Legierung, gebildet werden. Bei weiteren Ausführungsformen können eine oder mehrere Schichten aus Metall und/oder Metalllegierung verwendet werden, um die S/D-Bereiche 1620 zu bilden.
  • Elektrische Signale, wie Leistung und/oder Eingangs-/Ausgangssignale (E/A-Signale) können zu und/oder von den Bauelementen (zum Beispiel Transistoren 1640) der Bauelementschicht 1604 durch eine oder mehrere Interconnect-Schichten, die auf der Bauelementschicht 1604 angeordnet sind (in 9 als Interconnect-Schichten 1606 bis 1610 veranschaulicht) geroutet werden. Elektrisch leitende Merkmale der Bauelementschicht 1604 (zum Beispiel das Gate 1622 und die S/D-Kontakte 1624) können elektrisch mit den Interconnect-Strukturen 1628 der Interconnect-Schichten 1606 bis 1610 gekoppelt werden. Die eine oder die mehreren Interconnect-Schichten 1606 bis 1610 können einen Metallisierungsstapel 1619 (auch als ein „ILD-Stapel“ bezeichnet) des IC-Bauelements 1600 bilden.
  • Die Interconnect-Strukturen 1628 können innerhalb der Interconnect-Schichten 1606 bis 1610 eingerichtet werden, um elektrische Signale gemäß einer weiten Vielfalt von Designs zu routen; insbesondere ist die Einrichtung nicht auf die besondere Konfiguration der Interconnect-Strukturen 1628, die in 9 abgebildet sind, beschränkt. Obwohl eine besondere Anzahl von Interconnect-Schichten 1606 bis 1610 in 9 abgebildet ist, beinhalten Ausführungsformen der vorliegenden Offenbarung IC-Bauelemente, die mehr oder weniger Interconnect-Schichten als abgebildet aufweisen.
  • Bei einigen Ausführungsformen können die Interconnect-Strukturen 1628 Leitungen 1628a und/oder Vias 1628b, die mit einem elektrisch leitenden Material, wie einem Metall, gefüllt sind, beinhalten. Die Leitungen 1628a können eingerichtet werden, um elektrische Signale in eine Richtung einer Ebene zu routen, die im Wesentlichen zu einer Oberfläche des Die-Substrats 1602, auf der die Bauelementschicht 1604 gebildet wird, parallel ist. Die Leitungen 1628a können zum Beispiel elektrische Signale in eine Richtung in die Seite aus der Perspektive der 9 und aus ihr heraus routen. Die Vias 1628b können eingerichtet werden, um elektrische Signale in eine Richtung einer Ebene zu routen, die im Wesentlichen zu einer Oberfläche des Die-Substrats 1602, auf der die Bauelementschicht 1604 gebildet wird, senkrecht ist. Bei einigen Ausführungsformen können die Vias 1628b Leitungen 1628a unterschiedlicher Interconnect-Schichten 1606 bis 1610 miteinander elektrisch koppeln.
  • Die Interconnect-Schichten 1606 bis 1610 können ein dielektrisches Material 1626, das zwischen den Interconnect-Strukturen 1628, wie in 9 gezeigt, angeordnet ist, beinhalten. Bei einigen Ausführungsformen kann das dielektrische Material 1626, das zwischen den Interconnect-Strukturen 1628 angeordnet wird, in unterschiedlichen der Interconnect-Schichten 1606 bis 1610 unterschiedliche Zusammensetzungen aufweisen; bei anderen Ausführungsformen kann die Zusammensetzung des dielektrischen Materials 1626 zwischen unterschiedlichen Interconnect-Schichten 1606 bis 1610 gleich sein.
  • Eine erste Interconnect-Schicht 1606 (als Metal 1 oder „M1“ bezeichnet) kann direkt auf der Bauelementschicht 1604 gebildet werden. Bei einigen Ausführungsformen kann die erste Interconnect-Schicht 1606 Leitungen 1628a und/oder Vias 1628b, wie gezeigt, beinhalten. Die Leitungen 1628a der ersten Interconnect-Schicht 1606 können mit Kontakten (zum Beispiel mit den S/D-Kontakten 1624) der Bauelementschicht 1604 gekoppelt werden.
  • Eine zweite Interconnect-Schicht 1608 (als Metal 2 oder „M2“ bezeichnet) kann direkt auf der ersten Interconnect-Schicht 1606 gebildet werden. Bei einigen Ausführungsformen kann die zweite Interconnect-Schicht 1608 Vias 1628b beinhalten, um die Leitungen 1628a der zweiten Interconnect-Schicht 1608 mit den Leitungen 1628a der ersten Interconnect-Schicht 1606 zu koppeln. Obwohl die Leitungen 1628a und die Vias 1628b strukturmäßig von einer Leitung innerhalb der Interconnect-Schicht (zum Beispiel innerhalb der zweiten Interconnect-Schicht 1608) abgegrenzt sind, können die Leitungen 1628a und die Vias 1628b bei einigen Ausführungsformen strukturmäßig und/oder materiell aneinandergrenzend (zum Beispiel gleichzeitig während eines Dual-Damascene-Prozesses gefüllt werden) sein.
  • Eine dritte Interconnect-Schicht 1610 (als Metal 3 oder„M3‟ bezeichnet) (und nach Wunsch zusätzliche Interconnect-Schichten) können aufeinanderfolgend auf der zweiten Interconnect-Schicht 1608 gemäß ähnlichen Techniken und Konfigurationen wie die in Verbindung mit der zweiten Interconnect-Schicht 1608 oder der ersten Interconnect-Schicht 1606 beschriebenen, gebildet werden. Bei einigen Ausführungsformen können die Interconnect-Schichten, die „weiter oben“ in dem Metallisierungsstapel 1619 in der IC-Bauelement 1600 (zum Beispiel weiter von der Bauelementschicht 1604 entfernt) liegen, stärker sein.
  • Das IC-Bauelement 1600 kann ein Lötstoppmaterial 1634 (zum Beispiel Polyimid oder ähnliches Material) beinhalten, und einen oder mehrere leitende Kontakte 1636, die auf den Interconnect-Schichten 1606 bis 1610 gebildet sind. In 9 sind die leitenden Kontakte 1636 als die Form von Bond-Pads annehmend veranschaulicht. Die leitenden Kontakte 1636 können elektrisch mit den Interconnect-Strukturen 1628 gekoppelt und konfiguriert werden, um die elektrischen Signale des/der Transistor(en) 1640 zu anderen externen Bauelementen routen. Lotverbindungen können zum Beispiel auf dem einen oder den mehreren leitenden Kontakten 1636 gebildet werden, um einen Chip, der das IC-Bauelement 1600 beinhaltet, mit anderen Bauelementen (zum Beispiel mit einer Leiterplatte) mechanisch und/oder elektrisch zu koppeln. Das IC-Bauelement 1600 kann zusätzliche oder abwechselnde Strukturen beinhalten, um die elektrischen Signale von den Interconnect-Schichten 1606 bis 1610 zu routen; die leitenden Kontakte 1636 können zum Beispiel andere analoge Merkmale (zum Beispiel Stützen), die die elektrischen Signale zu externen Bauelementen routen, beinhalten.
  • Bei einigen Ausführungsformen, bei welchen das IC-Bauelement 1600 ein doppelseitiger Die ist, kann das IC-Bauelement 1600 einen anderen Metallisierungsstapel (nicht gezeigt) auf der entgegengesetzten Seite der Bauelementschicht(en) 1604 beinhalten. Dieser Metallisierungsstapel kann mehrere Interconnect-Schichten, wie oben unter Bezugnahme auf die Interconnect-Schichten 1606 bis 1610 besprochen, beinhalten, um leitende Leitungswege (zum Beispiel einschließlich leitender Leitungen und Vias) zwischen der/den Bauelementschicht(en) 1604 bereitzustellen, und zusätzliche leitende Kontakte (nicht gezeigt) auf der entgegengesetzten Seite des IC-Bauelements 1600 von den leitenden Kontakten 1636.
  • Bei anderen Ausführungsformen, bei welchen das IC-Bauelement 1600 ein doppelseitiger Die ist, kann das Bauelement 1600 ein oder mehrere TSVs durch das Die-Substrat 1602 beinhalten; diese TSVs können die Bauelementschicht(en) 1604 kontaktieren und können leitende Leitungsbahnen zwischen den Bauelementschicht(en) 1604 und zusätzlichen leitenden Kontakten (nicht gezeigt) auf der entgegengesetzten Seite des IC-Bauelements 1600 von den leitenden Kontakten 1636 bereitstellen.
  • 10 ist eine Querschnittseitenansicht einer IC-Bauelementanordnung 1700, die die mikroelektronischen Anordnungen 300, 400, die hierin beschrieben sind, enthalten können. Bei einigen Ausführungsformen kann die IC-Bauelementanordnung 1700 eine mikroelektronische Anordnung 300, 400 sein. Die IC-Bauelementanordnung 1700 beinhaltet eine Anzahl von Bauteilen, die auf einer Leiterplatte 1702 (die zum Beispiel ein Motherboard sein kann) angeordnet sind. Die IC-Bauelementanordnung 1700 beinhaltet Bauteile, die auf einer ersten Fläche 1740 der Leiterplatte 1702 und einer entgegengesetzten zweiten Fläche 1742 der Leiterplatte 1702 angeordnet sind; im Allgemeinen können Bauteile auf einer oder beiden Flächen 1740 und 1742 angeordnet werden. Die IC-Package, die unten unter Bezugnahme auf die IC-Bauelementanordnung 1700 besprochen sind, können die Form geeigneter Ausführungsformen der mikroelektronischen Anordnungen 300, 400, die hierin offenbart sind, annehmen.
  • Bei einigen Ausführungsformen kann die Leiterplatte 1702 eine PCB sein, die mehrere Metallschichten beinhaltet, die voneinander durch Schichten aus dielektrischem Material getrennt und durch elektrisch leitende Vias verbunden sind. Eine oder mehrere der Metallschichten können in einer gewünschten Schaltungsstruktur gebildet werden, um elektrische Signale (optional in Verbindung mit anderen Metallschichten) zwischen den Bauteilen, die mit der Leiterplatte 1702 gekoppelt sind, zu routen. Bei anderen Ausführungsformen kann die Leiterplatte 1702 ein Nicht-PCB-Substrat sein. Bei einigen Ausführungsformen kann die Leiterplatte 1702 zum Beispiel eine Leiterplatte sein.
  • Die IC-Bauelementanordnung 1700, die in 10 veranschaulicht ist, beinhaltet eine Package-On-Interposer-Struktur 1736, die mit der ersten Fläche 1740 der Leiterplatte 1702 durch Kopplungsbauteile 1716 gekoppelt ist. Die Kopplungsbauteile 1716 können die Package-On-Interposer-Struktur 1736 elektrisch und mechanisch an der Leiterplatte 1702 koppeln und können Lotkugeln (in 10 gezeigt), Stecker- und Buchsenabschnitte einer Fassung, einen Klebstoff, ein Underfill-Material und/oder eine andere geeignete elektrische und/oder mechanische Kopplungsstruktur beinhalten.
  • Die Package-On-Interposer-Struktur 1736 kann ein IC-Package 1720, das mit einem Interposer 1704 durch Kopplungsbauteile 1718 gekoppelt ist, beinhalten. Die Kopplungsbauteile 1718 können eine beliebige Form für die Anwendung annehmen, wie die Formen, die oben unter Bezugnahme auf die Kopplungsbauteile 1716 besprochen sind. Obwohl ein einziges IC-Package 1720 in 10 gezeigt ist, können mehrere Leistungsmanagement-IC an den Interposer 1704 gekoppelt werden; zusätzliche Interposer können nämlich mit dem Interposer 1704 gekoppelt werden. Der Interposer 1704 kann ein dazwischenliegendes Substrat bereitstellen, das verwendet wird, um die Leiterplatte 1702 und das IC-Package 1720 zu überbrücken. Das IC-Package 1720 kann zum Beispiel einen Die (den Die 1502 der 8), ein IC-Bauelement (zum Beispiel das IC-Bauelement 1600 der 9) oder ein anderes geeignetes Bauteil sein oder beinhalten. Im Allgemeinen kann der Interposer 1704 eine Verbindung zu einem weiteren Pitch spreizen oder eine Verbindung zu einer unterschiedlichen Verbindung umleiten. Der Interposer 1704 kann zum Beispiel das IC-Package 1720 (zum Beispiel einen Die) mit einem Satz leitender Kugelmatrix-Array-Kontakte (BGA-Kontakte) der Kopplungsbauteile 1716 zum Koppeln der Leiterplatte 1702 koppeln. Bei der Ausführungsform, die in 10 veranschaulicht ist, werden das IC-Package 1720 und die Leiterplatte 1702 an entgegengesetzten Seiten des Interposer 1704 angebracht; bei anderen Ausführungsformen können das IC-Package 1720 und die Leiterplatte 1702 an derselben Seite des Interposer 1704 angebracht werden. Bei einigen Ausführungsformen können drei oder mehr Bauteile anhand des Interposer 1704 verbunden werden.
  • Bei einigen Ausführungsformen kann der Interposer 1704 als eine PCB gebildet werden, die mehrere Metallschichten beinhaltet, die voneinander durch Schichten aus dielektrischem Material getrennt und durch elektrisch leitende Vias verbunden sind. Bei einigen Ausführungsformen kann der Interposer 1704 aus einem Epoxidharz, einem glasfaserverstärkten Epoxidharz, einem Epoxidharz mit anorganischen Füllstoffen, einem Keramikmaterial oder einem Polymermaterial, wie Polyimid, gebildet werden. Bei einigen Ausführungsformen kann der Interposer 1704 aus abwechselnden starren oder flexiblen Materialien gebildet werden, die dieselben Materialien wie die oben zur Verwendung in einem Halbleitersubstrat beschriebenen beinhalten, wie Silizium, Germanium oder andere Gruppe-III-V- und Gruppe-IV-Materialien. Der Interposer 1704 kann Metallverbindungen 1708 und Vias 1710, einschließlich aber nicht darauf beschränkt, TSVs 1706, beinhalten. Der Interposer 1704 kann ferner eingebettete Bauelemente 1714, einschließlich sowohl passiver als auch aktiver Bauelemente, beinhalten. Solche Bauelemente können, ohne darauf beschränkt zu sein, Kondensatoren, Entkoppelkondensatoren, Widerstände, Induktoren, Sicherungen, Dioden, Transformatoren, Sensoren, elektrostatische Entladevorrichtungen (ESD-Vorrichtungen) und Speichervorrichtungen beinhalten. Komplexere Bauelemente, wie Funkfrequenzvorrichtungen, Leistungsverstärker, Leistungsverwaltungsvorrichtungen, Antennen, Arrays, Sensoren und mikroelektromechanische System-Vorrichtungen (MEMS-Vorrichtungen) können auch auf dem Interposer 1704 gebildet werden. Die Package-On-Interposer-Struktur 1736 kann die Form einer der Package-On-Interposer-Strukturen, die gemäß dem Stand der Technik bekannt sind, annehmen.
  • Die IC-Bauelementanordnung 1700 kann ein IC-Package 1724 beinhalten, das mit der ersten Fläche 1740 der Leiterplatte 1702 durch Kopplungsbauteile 1722 gekoppelt ist. Die Kopplungsbauteile 1722 können die Form der Ausführungsformen, die oben unter Bezugnahme auf die Kopplungsbauteile 1716 besprochen wurden, annehmen, und das IC-Package 1724 kann die Form einer der Ausführungsformen, die oben unter Bezugnahme auf das IC-Package 1720 besprochen wurden, annehmen.
  • Die IC-Bauelementanordnung 1700, die in 10 veranschaulicht ist, beinhaltet eine Package-On-Package-Struktur 1734, die mit der zweiten Fläche 1742 der Leiterplatte 1702 durch Kopplungsbauteile 1728 gekoppelt ist. Die Package-On-Package-Struktur 1734 kann ein IC-Package 1726 und ein IC-Package 1732 beinhalten, die miteinander durch Kopplungsbauteile 1730 derart gekoppelt sind, dass das IC-Package 1726 zwischen der Leiterplatte 1702 und dem IC-Package 1732 angeordnet ist. Die Kopplungsbauteile 1728 und 1730 können die Form einer der Ausführungsformen der Kopplungsbauteile 1716, die oben beschrieben sind, annehmen, und die IC-Packages 1726 und 1732 können die Form einer der Ausführungsformen des IC-Packages 1720, das oben besprochen ist, annehmen. Die Package-On-Package-Struktur 1734 kann gemäß einer der Package-On-Package-Strukturen, die gemäß dem Stand der Technik bekannt sind, konfiguriert werden.
  • 11 ist ein Blockschaltbild einer beispielhaften elektrischen Vorrichtung 1800, die eine oder mehrere der mikroelektronischen Anordnungen 300, 400, die hierin offenbart sind, beinhalten kann. Zum Beispiel können geeignete der Bauteile der elektrischen Vorrichtung 1800 eine oder mehrere der IC-Bauelementanordnungen 1700, IC-Bauelemente 1600 oder Dies 1502, die hierin offenbart sind, beinhalten, und können in einer der mikroelektronischen Anordnungen 300, 400, die hierin offenbart sind, eingerichtet werden. Eine Anzahl von Bauteilen ist in 11, wie sie in der elektrischen Vorrichtung 1800 beinhaltet sind, veranschaulicht, aber eines oder mehrere dieser Bauteile können je nach Eignung für die Anwendung weggelassen oder verdoppelt werden. Bei einigen Ausführungsformen können einige oder alle der Bauteile, die in der elektrischen Vorrichtung 1800 beinhaltet sind, an einem oder mehreren Motherboards angebracht werden. Bei einigen Ausführungsformen werden einige oder alle dieser Bauteile auf einem einzigen System-on-a-Chip-Die (SoC-Die) gefertigt.
  • Zusätzlich kann die elektrische Vorrichtung 1800 bei diversen Ausführungsformen keine oder mehrere der Bauteile, die in 11 veranschaulicht sind, beinhalten, aber die elektrische Vorrichtung 1800 kann Schnittstellenschaltungen zum Koppeln des einen oder der mehreren Bauteile beinhalten. Die elektrische Vorrichtung 1800 beinhaltet zum Beispiel eventuell keine Anzeigevorrichtung 1806, kann aber Bauelement-Schnittstellenschaltungen beinhalten (zum Beispiel einen Steckverbinder und Treiberschaltungen), an die eine Anzeigevorrichtung 1806 gekoppelt werden kann. Bei einem anderen Satz von Beispielen beinhaltet die elektrische Vorrichtung 1800 eventuell keine Audioeingabevorrichtung 1824 oder Audioausgabevorrichtung 1808, kann aber Audioeingabe- oder Ausgabevorrichtungs-Schnittstellenschaltungen (zum Beispiel Steckverbinder und unterstützende Schaltungen) beinhalten, mit welchen eine Audioeingabevorrichtung 1824 oder Audioausgabevorrichtung 1808 gekoppelt werden kann.
  • Die elektrische Vorrichtung 1800 kann eine Verarbeitungsvorrichtung 1802 beinhalten (zum Beispiel eine oder mehrere Verarbeitungsvorrichtungen). Wie hierin verwendet, kann der Begriff „Verarbeitungsvorrichtung“ oder „Prozessor“ auf eine Vorrichtung oder einen Teil einer Vorrichtung verweisen, die/der elektronische Daten aus Registern und/oder Speicher verarbeitet, um die elektronischen Daten in andere elektronische Daten, die in Registern und/oder Speicher gespeichert werden können, umzuwandeln. Die Verarbeitungsvorrichtung 1802 kann einen oder mehrere digitale Signalprozessoren (Digital Signal Processors - DSPs), anwendungsspezifische ICs (Application-Specific ICs - ASICs), Zentralverarbeitungseinheiten (Central Processing Units - CPUs), grafische Verarbeitungseinheiten (Graphics Processing Units - GPUs), Kryptoprozessoren (spezifizierte Prozessoren, die kryptografische Algorithmen innerhalb von Hardware ausführen), Serverprozessoren oder andere geeignete Verarbeitungsvorrichtungen beinhalten. Die elektrische Vorrichtung 1800 kann einen Speicher 1804 beinhalten, der selbst eine oder mehrere Speichervorrichtungen, wie flüchtigen Speicher (zum Beispiel dynamischen Direktzugriffsspeicher (Dynamic Random Access Memory - DRAM), nicht-flüchtigen Speicher (zum Beispiel Nurlesespeicher (Read-Only Memory - ROM), Flashspeicher, Festkörperspeicher und/oder ein Laufwerk beinhaltet. Bei einigen Ausführungsformen kann der Speicher 1804 Speicher beinhalten, der einen Die mit der Verarbeitungsvorrichtung 1802 gemeinsam verwendet. Der Speicher kann als ein Cache-Speicher verwendet werden und kann eingebetteten dynamischen Direktzugriffsspeicher (Embedded Dynamic Random Access Memory - eDRAM) oder magnetischen Spin-Transfer-Torque-Direktzugriffsspeicher (STT-MRAM) beinhalten.
  • Bei einigen Ausführungsformen kann die elektrische Vorrichtung 1800 einen Kommunikationschip 1812 (zum Beispiel einen oder mehrere Kommunikationschips) beinhalten. Der Kommunikationschip 1812 kann zum Verwalten drahtloser Kommunikationen für den Datentransfer zu und von der elektrischen Vorrichtung 1800 konfiguriert werden. Der Begriff „drahtlos“ und seine Ableitungen können verwendet werden, um Schaltungen, Geräte, Systeme, Verfahren, Techniken, Kommunikationskanäle usw. zu beschreiben, die Daten durch die Verwendung modulierter elektromagnetischer Strahlung durch einen nicht-festen Träger kommunizieren können. Der Begriff bedeutet nicht, dass die dazugehörenden Geräte keine Drähte enthalten, obwohl das bei einigen Ausführungsformen der Fall sein kann.
  • Der Kommunikationschip 1812 kann eine beliebige Anzahl drahtloser Standards oder Protokolle umsetzen, darunter aber nicht auf sie beschränkt, Institute for Electrical and Electronic Engineers (IEEE) Standards inklusive Wi-Fi (IEEE 802.11-Familie), IEEE 802.16 Standards (zum Beispiel IEEE 802.16 - Änderung 2005), Long-Term Evolution (LTE) Project gemeinsam mit irgendwelchen Änderungen, Aktualisierungen und/oder Durchsichten (zum Beispiel Advanced LTE Project, Ultra Mobile Broadband-(UMB)- Project (auch „3GPP2“ ) usw.). Drahtlose BWA-Netzwerke (Broadband Wireless Access - BWA-Netzwerke), die mit IEEE 802.16 kompatibel sind, werden allgemein als WiMAX-Netzwerke bezeichnet, ein Akronym für Worldwide Interoperability for Microwave Access, das eine Zertifizierungskennzeichnung für Produkte ist, die die Konformitäts- und Wechselwirkungstests für die Standards IEEE 802.16 bestanden haben. Der Kommunikationschip 1812 kann gemäß einem Global System for Mobile Communication (GSM), General Packet Radio Service (GPRS), Universal Mobile Telecommunications System (UMTS), High Speed Packet Access (HSPA), Evolved HSPA (E-HSPA) oder LTE-Netzwerk arbeiten. Der Kommunikationschip 1812 kann gemäß Enhanced Data for GSM Evolution (EDGE), GSM EDGE Radio Access Network (GERAN), Universal Terrestrial Radio Access Network (UTRAN) oder Evolved UTRAN (E-UTRAN) arbeiten. Der Kommunikationschip 1812 kann gemäß Code Division Multiple Access (CDMA), Time Division Multiple Access (TDMA), Digital Enhanced Cordless Telecommunications (DECT), Evolution-Data Optimized (EV-DO), Ableitungen davon sowie mit irgendwelchen anderen drahtlosen Protokollen arbeiten, die als 3G, 4G, 5G und höher werden. Der Kommunikationschip 1812 kann bei anderen Ausführungsformen gemäß anderen drahtlosen Protokollen arbeiten. Die elektrische Vorrichtung 1800 kann eine Antenne 1822 beinhalten, um drahtlose Kommunikationen zu erleichtern und/oder andere drahtlose Kommunikationen zu empfangen (wie AM- oder FM-Funkübertragungen).
  • Bei einigen Ausführungsformen kann der Kommunikationschip 1812 drahtlose Kommunikationen verwalten, wie elektrische, optische oder andere geeignete Kommunikationsprotokolle (zum Beispiel Ethernet). Wie oben erwähnt, kann der Kommunikationschip 1812 mehrere Kommunikationschips beinhalten. Ein erster Kommunikationschips 1812 kann zum Beispiel drahtlosen Kommunikationen mit kürzerer Reichweite, wie WiFi und Bluetooth, gewidmet sein, und ein zweiter Kommunikationschip 1812 kann Kommunikationen mit größerer Reichweite, wie Global Positioning System (GPS), EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO und andere, gewidmet sein. Bei einigen Ausführungsformen kann ein erster Kommunikationschip 1812 drahtlosen Kommunikationen gewidmet sein, und ein zweiter Kommunikationschip 1812 kann verdrahteten Kommunikationen gewidmet sein.
  • Die elektrische Vorrichtung 1800 kann Batterie-Leistungsschaltungen 1814 beinhalten. Die Batterie-Leistungsschaltungen 1814 können eine oder mehrere Energiespeichervorrichtungen (zum Beispiel Batterien oder Kondensatoren) und/oder Schaltungen zum Koppeln von Bauteilen der elektrischen Vorrichtung 1800 mit einer Energiequelle, die von der elektrischen Vorrichtung 1800 getrennt ist (zum Beispiel mit einer Wechselstromleitung) beinhalten.
  • Die elektrische Vorrichtung 1800 kann eine Anzeigevorrichtung 1806 (oder entsprechende Schnittstellenschaltungen, wie oben besprochen) beinhalten. Die Anzeigevorrichtung 1806 kann visuelle Anzeiger beinhalten, wie ein Heads-Up-Display, einen Computermonitor, einen Projektor, einen Touchscreen, eine Flüssigkristallanzeige (Liquid Crystal Display - LCD), eine Anzeige mit Licht emittierender Diode oder einen Flachbildschirm.
  • Die elektrische Vorrichtung 1800 kann eine Audioausgabevorrichtung 1808 (oder entsprechende Schnittstellenschaltungen, wie oben besprochen) beinhalten. Die Audioausgabevorrichtung 1808 kann eine Vorrichtung beinhalten, die einen hörbaren Indikator erzeugt, wie Lautsprecher, Kopfhörer oder Ohrhörer.
  • Die elektrische Vorrichtung 1800 kann eine Audioeingabevorrichtung 1824 (oder entsprechende Schnittstellenschaltungen, wie oben besprochen) beinhalten. Die Audioeingabevorrichtung 1824 kann eine Vorrichtung beinhalten, die ein Signal erzeugt, das für einen Ton repräsentativ ist, wie Mikrofone, Mikrofonanordnungen oder digitale Instrumente (zum Beispiel Instrumente, die einen digitalen Schnittstellenausgang für Musikinstrumente (MIDI) aufweisen).
  • Die elektrische Vorrichtung 1800 kann eine GPS-Vorrichtung 1818 (oder entsprechende Schnittstellenschaltungen, wie oben besprochen) beinhalten. Die GPS-Vorrichtung 1818 kann mit einem auf Satellit basierenden System in Kommunikation stehen und kann einen Ort der elektrischen Vorrichtung 1800, wie im Stand der Technik bekannt, empfangen.
  • Die elektrische Vorrichtung 1800 kann eine andere Audioausgabevorrichtung 1810 (oder entsprechende Schnittstellenschaltungen, wie oben besprochen) beinhalten. Beispiele der anderen Ausgabevorrichtung 1810 können einen Audio-Codec, einen Video-Codec, einen Drucker, einen verdrahteten oder drahtlosen Sender zum Bereitstellen von Informationen zu anderen Vorrichtungen oder eine zusätzliche Speichervorrichtung beinhalten.
  • Die elektrische Vorrichtung 1800 kann eine andere Eingabevorrichtung 1820 (oder entsprechende Schnittstellenschaltungen, wie oben besprochen) beinhalten. Beispiele der anderen Eingabevorrichtung 1820 können einen Beschleunigungsmesser, ein Gyroskop, einen Kompass, eine Bildaufnahmevorrichtung, eine Tastatur, eine Cursor-Steuervorrichtung, wie eine Maus, einen Eingabestift, ein Touchpad, ein Strichcode-Lesegerät, ein Quick-Response-Lesegerät (QR-Lesegerät), einen Sensor oder ein Funkfrequenzidentifikation-Lesegerät (RFID-Lesegerät) beinhalten.
  • Die elektrische Vorrichtung 1800 kann einen gewünschten Formfaktor aufweisen, wie eine Rechenvorrichtung oder eine handgehaltene, tragbare oder mobile Rechenvorrichtung (zum Beispiel ein Mobiltelefon, ein Smartphone, eine mobile Internetvorrichtung, ein Musikwiedergabegerät, einen Tablet-Computer, einen Laptop-Computer, einen Notebook-Computer, einen Ultrabook-Computer, einen Personal Digital Assistant (PDA), einen ultramobilen Personal Computer usw.), ein elektrisches Desktop-Gerät, einen Server oder ein anderes vernetztes Rechenbauelement, einen Drucker, einen Scanner, einen Monitor, eine Set-Top-Box, eine Entertainment-Steuereinheit, eine Fahrzeugsteuereinheit, eine digitale Kamera, einen digitalen Videorekorder oder eine anziehbare Rechenvorrichtung. Bei einigen Ausführungsformen kann die elektrische Vorrichtung 1800 irgendein anderes elektronisches Gerät, das Daten verarbeitet, sein.
  • Die folgenden Absätze stellen diverse Beispiele der Ausführungsformen, die hierin offenbart sind, bereit.
  • Beispiel 1 ist ein mikroelektronisches Bauteil, das ein Substrat beinhaltet, das eine erste Fläche und eine entgegengesetzte zweite Fläche aufweist, wobei das Substrat ein Through-Substrat-Via (TSV) beinhaltet; einen ersten Moldmaterialbereich an der ersten Fläche, wobei der erste Moldmaterialbereich ein erstes Through-Mold-Via (TMV), das leitend mit dem TSV gekoppelt ist, beinhaltet; und einen zweiten Moldmaterialbereich an der zweiten Fläche, wobei der zweite Moldmaterialbereich ein zweites TMV, das leitend mit dem TSV gekoppelt ist, beinhaltet.
  • Beispiel 2 kann den Gegenstand des Beispiels 1 beinhalten, und kann ferner spezifizieren, dass das erste TMV eine Vielzahl erster TMVs ist, die einen ersten Pitch aufweisen, und wobei das zweite TMV eine Vielzahl von TMVs ist, die einen zweiten Pitch, der von dem ersten Pitch unterschiedlich ist, aufweisen.
  • Beispiel 3 kann den Gegenstand eines der Beispiele 1 und 2 beinhalten, und kann ferner spezifizieren, dass der erste Pitch zwischen 90 Mikrometer und 300 Mikrometer liegt, und der zweite Pitch zwischen 20 Mikrometer und 100 Mikrometer liegt.
  • Beispiel 4 kann den Gegenstand eines der Beispiele 1 bis 3 beinhalten, und kann ferner spezifizieren, dass eine Stärke des ersten Moldmaterialbereichs zwischen 15 Mikrometer und 40 Mikrometer liegt.
  • Beispiel 5 kann den Gegenstand eines der Beispiele 1 bis 4 beinhalten, und kann ferner spezifizieren, dass eine Stärke des zweiten Moldmaterialbereichs zwischen 15 Mikrometer und 40 Mikrometer liegt.
  • Beispiel 6 kann den Gegenstand eines der Beispiele 1 bis 5 beinhalten, und kann ferner spezifizieren, dass eine Gesamtstärke des mikroelektronischen Bauteils zwischen 60 Mikrometer und 135 Mikrometer liegt.
  • Beispiel 7 kann den Gegenstand eines der Beispiele 1 bis 6 beinhalten, und kann ferner spezifizieren, dass ein Moldmaterial des ersten Moldmaterialbereichs eines oder mehrere von Folgenden umfasst: eines organischen Polymers, eines organischen dielektrischen Materials, eines feuerhemmenden Grade-4-Materials, eines Bismaleimidtriazinharzes, eines Polyimidmaterials, eines glasverstärkten Epoxidmatrixmaterials, eines Low-κ-Dielektrikums und eines Ultra-Low-κ-Dielektrikums.
  • Beispiel 8 ist eine mikroelektronische Anordnung, die ein erstes Substrat beinhaltet, das eine erste Oberfläche und eine entgegengesetzte zweite Oberfläche beinhaltet, wobei das erste Substrat ein erstes Through-Substrat-Via (TSV) beinhaltet; ein mikroelektronisches Bauteil, das in das erste Substrat eingebettet ist, wobei das mikroelektronische Bauteil beinhaltet: ein zweites Substrat, das eine erste Fläche und eine entgegengesetzte zweite Fläche aufweist, wobei das zweite Substrat ein zweites TSV; einen ersten Moldmaterialbereich an der ersten Fläche beinhaltet, wobei der erste Moldmaterialbereich ein erstes Through-Mold-Via (TMV), das leitend mit dem zweiten TSV gekoppelt ist, beinhaltet; und einen zweiten Moldmaterialbereich an der zweiten Fläche, wobei der zweite Moldmaterialbereich ein zweites TMV, das leitend mit dem zweiten TSV gekoppelt ist, beinhaltet; und wobei der erste Moldmaterialbereich an der zweiten Oberfläche des ersten Substrats liegt; und ein Die elektrisch an der zweiten Oberfläche des ersten Substrats an das erste TSV und an das zweite TMV gekoppelt ist.
  • Beispiel 9 kann den Gegenstand des Beispiels 8 beinhalten, und kann ferner spezifizieren, dass der Die ein erster Die ist, wobei das erste TSV eine Vielzahl erster TSVs ist, und wobei das zweite TMV eine Vielzahl zweiter TMVs ist und ferner beinhalten kann: einen zweiten Die, der elektrisch an der zweiten Oberfläche des ersten Substrats mit einem oder mehreren der Vielzahl erster TSVs und mit einem oder mehreren der Vielzahl zweiter TMVs gekoppelt ist.
  • Beispiel 10 kann den Gegenstand eines der Beispiele 8 und 9 beinhalten, und kann ferner beinhalten: ein Isoliermaterial um den Die und in Kontakt mit dem ersten Substrat.
  • Beispiel 11 kann den Gegenstand des Beispiels 10 beinhalten, und kann ferner spezifizieren, dass das Isoliermaterial ein Moldmaterial ist.
  • Beispiel 12 kann den Gegenstand eines der Beispiele 8 bis 11 beinhalten, und kann ferner beinhalten: ein Underfill-Material an der zweiten Oberfläche des ersten Substrats zwischen dem Die und dem ersten Substrat.
  • Beispiel 13 kann den Gegenstand eines der Beispiele 8 bis 12 beinhalten und kann ferner spezifizieren, dass das erste TSV eine Vielzahl erster TSVs ist, und wobei das erste TMV eine Vielzahl erster TMVs ist, und kann ferner beinhalten: ein Packagesubstrat, das elektrisch an der ersten Oberfläche des ersten Substrats mit einem oder mehreren der Vielzahl erster TSVs und mit einem oder mehreren der Vielzahl zweiter TMVs gekoppelt ist.
  • Beispiel 14 kann den Gegenstand eines der Beispiele 8 bis 13 beinhalten, und kann ferner spezifizieren, dass der Die eine erste Oberfläche und eine entgegengesetzte zweite Oberfläche aufweist, und wobei die erste Oberfläche des Die elektrisch mit dem ersten TSV und dem zweiten TMV gekoppelt ist, und kann ferner beinhalten: ein thermisches Schnittstellenmaterial auf der zweiten Oberfläche des Die.
  • Beispiel 15 kann den Gegenstand des Beispiels 14 beinhalten, und kann ferner beinhalten: einen Wärmespreizer auf dem thermischen Schnittstellenmaterial.
  • Beispiel 16 ist eine Rechenvorrichtung, die beinhaltet: eine mikroelektronische Anordnung, die eine erste Oberfläche und eine entgegengesetzte zweite Oberfläche aufweist, wobei die mikroelektronische Anordnung beinhaltet: ein erstes Substrat, das eine erste Oberfläche und eine entgegengesetzte zweite Oberfläche beinhaltet, wobei das erste Substrat ein erstes Through-Substrat-Via (TSV) beinhaltet; ein mikroelektronisches Bauteil, das in das erste Substrat eingebettet ist, wobei das mikroelektronische Bauteil beinhaltet: ein zweites Substrat, das eine erste Fläche und eine entgegengesetzte zweite Fläche aufweist, wobei das zweite Substrat ein zweites TSV; einen ersten Moldmaterialbereich an der ersten Fläche beinhaltet, wobei der erste Moldmaterialbereich ein erstes Through-Mold-Via (TMV), das leitend mit dem zweiten TSV gekoppelt ist, beinhaltet; und einen zweiten Moldmaterialbereich an der zweiten Fläche, wobei der zweite Moldmaterialbereich ein zweites TMV, das leitend mit dem zweiten TSV gekoppelt ist, beinhaltet; und wobei der erste Moldmaterialbereich an der zweiten Oberfläche des ersten Substrats liegt; und ein Die elektrisch an der zweiten Oberfläche des ersten Substrats an das erste TSV und an das zweite TMV gekoppelt ist; und ein Packagesubstrat, das elektrisch mit dem ersten TSV und dem ersten TMV an der ersten Oberfläche der mikroelektronischen Anordnung gekoppelt ist.
  • Beispiel 17 kann den Gegenstand des Beispiels 16 beinhalten, und kann ferner spezifizieren, dass der Die eine Zentraleinheit, ein Funkfrequenzchip, ein Leistungswandler oder ein Netzwerkprozessor ist.
  • Beispiel 18 kann den Gegenstand eines der Beispiele 16 und 17 beinhalten, und kann ferner spezifizieren, dass die Rechenvorrichtung ein Server ist.
  • Beispiel 19 kann den Gegenstand eines der Beispiele 16 bis 18 beinhalten, und kann ferner spezifizieren, dass die Rechenvorrichtung eine tragbare Rechenvorrichtung ist.
  • Beispiel 20 kann den Gegenstand eines der Beispiele 16 bis 19 beinhalten, und kann ferner spezifizieren, dass die Rechenvorrichtung eine anziehbare Rechenvorrichtung ist.
  • Beispiel 21 ist ein Verfahren zum Herstellen eines mikroelektronischen Bauteils, das beinhaltet: Bilden eines ersten Through-Mold-Via (TMV) auf einer ersten Oberfläche eines Substrats, das eine Vielzahl von Through-Substrat-Vias (TSVs) aufweist, wobei das erste TMV leitend mit einem oder mehreren der Vielzahl von TSVs auf dem Substrat gekoppelt ist; Bilden eines ersten Isoliermaterials um das erste TMV; Bilden eines zweiten TMV auf einer entgegengesetzten zweiten Oberfläche des Substrats, wobei das zweite TMV leitend mit einem oder mehreren der Vielzahl von TSVs auf dem Substrat gekoppelt ist; und Bilden eines zweiten Isoliermaterials um das zweite TMV.
  • Beispiel 22 kann den Gegenstand des Beispiels 21 beinhalten, und kann ferner beinhalten: Planarisieren des ersten Isoliermaterials.
  • Beispiel 23 kann den Gegenstand eines der Beispiele 21 und 22 beinhalten, und kann ferner beinhalten: Planarisieren des zweiten Isoliermaterials.
  • Beispiel 24 kann den Gegenstand eines der Beispiele 21 bis 23 beinhalten, und kann ferner spezifizieren, dass das zweite Isoliermaterial eine erste Fläche und eine entgegengesetzte zweite Fläche aufweist, und wobei die zweite Fläche des zweiten Isoliermaterials mit dem Substrat in Kontakt ist, und kann ferner beinhalten: Anbringen einer Klebstoffschicht an der ersten Fläche des zweiten Isoliermaterials.
  • Beispiel 25 kann den Gegenstand eines der Beispiele 21 bis 24 beinhalten, und kann ferner spezifizieren, dass das erste Isoliermaterial oder das zweite Isoliermaterial ein Moldmaterial ist.

Claims (20)

  1. Mikroelektronisches Bauteil, das umfasst: ein Substrat, das eine erste Fläche und eine entgegengesetzte zweite Fläche aufweist, wobei das Substrat ein Through-Substrat-Via (TSV) beinhaltet; einen ersten Moldmaterialbereich an der ersten Fläche, wobei der erste Moldmaterialbereich das erste Through-Mold-Via (TMV), das leitend mit dem TSV gekoppelt ist, beinhaltet; und einen zweiten Moldmaterialbereich an der zweiten Fläche, wobei der zweite Moldmaterialbereich ein zweites TMV, das leitend mit dem TSV gekoppelt ist, beinhaltet.
  2. Mikroelektronisches Bauteil nach Anspruch 1, wobei das erste TMV eine Vielzahl erster TMVs ist, die einen ersten Pitch aufweisen, und wobei das zweite TMV eine Vielzahl von TMVs ist, die einen zweiten Pitch, der von dem ersten Pitch unterschiedlich ist, aufweisen.
  3. Mikroelektronisches Bauteil nach Anspruch 2, wobei der erste Pitch zwischen 90 Mikrometer und 300 Mikrometer beträgt, und der zweite Pitch zwischen 20 Mikrometer und 100 Mikrometer beträgt.
  4. Mikroelektronisches Bauteil nach einem der vorstehenden Ansprüche, wobei eine Stärke des ersten Moldmaterialbereichs zwischen 15 Mikrometer und 40 Mikrometer liegt.
  5. Mikroelektronisches Bauteil nach einem der vorstehenden Ansprüche, wobei eine Stärke des zweiten Moldmaterialbereichs zwischen 15 Mikrometer und 40 Mikrometer liegt.
  6. Mikroelektronisches Bauteil nach einem der vorstehenden Ansprüche, wobei eine Gesamtstärke des mikroelektronischen Bauteils zwischen 60 Mikrometer und 135 Mikrometer liegt.
  7. Mikroelektronisches Bauteil nach einem der vorstehenden Ansprüche, wobei ein Moldmaterial des ersten Moldmaterialbereichs eines oder mehrere von Folgenden umfasst: eines organischen Polymers, eines organischen dielektrischen Materials, eines feuerhemmenden Grade-4-Materials, eines Bismaleimidtriazinharzes, eines Polyimidmaterials, eines glasverstärkten Epoxidmatrixmaterials, eines Low-κ-Dielektrikums und eines Ultra-Low-κ-Dielektrikums.
  8. Mikroelektronische Anordnung, die umfasst: ein erstes Substrat, das eine erste Oberfläche und eine entgegengesetzte zweite Oberfläche aufweist, wobei das erste Substrat ein erstes Through-Substrat-Via (TSV) beinhaltet; ein mikroelektronisches Bauteil, das in das erste Substrat eingebettet ist, wobei das mikroelektronische Bauteil beinhaltet: ein zweites Substrat, das eine erste Fläche und eine entgegengesetzte zweite Fläche aufweist, wobei das zweite Substrat ein zweites TSV beinhaltet; einen ersten Moldmaterialbereich an der ersten Fläche, wobei der erste Moldmaterialbereich ein erstes Through-Mold-Via (TMV), das leitend mit dem zweiten TSV gekoppelt ist, beinhaltet; und einen zweiten Moldmaterialbereich an der zweiten Fläche, wobei der zweite Moldmaterialbereich ein zweites TMV, das leitend mit dem zweiten TSV gekoppelt ist, beinhaltet, und wobei der erste Moldmaterialbereich an der ersten Oberfläche des ersten Substrats liegt, und der zweite Moldmaterialbereich an der zweiten Oberfläche des ersten Substrats liegt; und einen Die, der elektrisch an der zweiten Oberfläche des ersten Substrats an das erste TSV und an das zweite TMV gekoppelt ist.
  9. Mikroelektronische Anordnung nach Anspruch 8, wobei der Die ein erster Die ist, wobei das erste TSV eine Vielzahl erster TSVs ist, und wobei das zweite TMV eine Vielzahl zweiter TMVs ist, und die ferner umfasst: einen zweiten Die, der elektrisch an der zweiten Oberfläche des ersten Substrats mit einem oder mehreren der Vielzahl erster TSVs und mit einem oder mehreren der Vielzahl zweiter TMVs gekoppelt ist.
  10. Mikroelektronische Anordnung nach einem der vorstehenden Ansprüche, die ferner umfasst: ein Isoliermaterial um den Die und in Kontakt mit dem ersten Substrat.
  11. Mikroelektronische Anordnung nach Anspruch 10, wobei das Isoliermaterial ein Moldmaterial ist.
  12. Mikroelektronische Anordnung nach einem der vorstehenden Ansprüche, die ferner umfasst: ein Underfill-Material an der zweiten Oberfläche des ersten Substrats zwischen dem Die und dem ersten Substrat.
  13. Mikroelektronische Anordnung nach einem der vorstehenden Ansprüche, wobei das erste TSV eine Vielzahl erster TSVs ist, und wobei das erste TMV eine Vielzahl erster TMVs ist, und die ferner umfasst: ein Packagesubstrat, das elektrisch an der ersten Oberfläche des ersten Substrats mit einem oder mehreren der Vielzahl erster TSVs und mit einem oder mehreren der Vielzahl zweiter TMVs gekoppelt ist.
  14. Mikroelektronische Anordnung nach einem der vorstehenden Ansprüche, wobei der Die eine erste Oberfläche und eine entgegengesetzte zweite Oberfläche aufweist, und wobei die erste Oberfläche des Die elektrisch mit dem ersten TSV und mit dem zweiten TMV gekoppelt ist, und ferner umfasst: ein thermisches Schnittstellenmaterial auf der zweiten Oberfläche des Die.
  15. Mikroelektronische Anordnung nach Anspruch 14, die ferner umfasst: einen Wärmespreizer auf dem thermischen Schnittstellenmaterial.
  16. Rechenvorrichtung, die Folgendes aufweist: eine mikroelektronische Anordnung, die eine erste Oberfläche und eine entgegengesetzte zweite Oberfläche aufweist, wobei die mikroelektronische Anordnung beinhaltet: ein erstes Substrat, das eine erste Oberfläche und eine entgegengesetzte zweite Oberfläche aufweist, wobei das Substrat ein erstes Through-Substrat-Via (TSV) beinhaltet; ein mikroelektronisches Bauteil, das in das erste Substrat eingebettet ist, wobei das mikroelektronische Bauteil beinhaltet: ein zweites Substrat, das eine erste Fläche und eine entgegengesetzte zweite Fläche aufweist, wobei das zweite Substrat ein zweites TSV beinhaltet; einen ersten Moldmaterialbereich an der ersten Fläche, wobei der erste Moldmaterialbereich ein erstes Through-Mold-Via (TMV), das leitend mit dem zweiten TSV gekoppelt ist, beinhaltet; und einen zweiten Moldmaterialbereich an der zweiten Fläche, wobei der zweite Moldmaterialbereich ein zweites TMV, das leitend mit dem zweiten TSV gekoppelt ist, beinhaltet; und wobei der erste Moldmaterialbereich an der ersten Oberfläche des ersten Substrats liegt, und der zweite Moldmaterialbereich an der zweiten Oberfläche des ersten Substrats liegt; und einen Die, der elektrisch an der zweiten Oberfläche des ersten Substrats an das erste TSV und an das zweite TMV gekoppelt ist; und ein Packagesubstrat, das elektrisch mit dem ersten TSV und dem ersten TMV an der ersten Oberfläche der mikroelektronischen Anordnung gekoppelt ist.
  17. Rechenvorrichtung nach Anspruch 16, wobei der Die eine Zentraleinheit, ein Funkfrequenzchip, ein Leistungswandler oder ein Netzwerkprozessor ist.
  18. Rechenvorrichtung nach Anspruch 16 oder 17, wobei die Rechenvorrichtung ein Server ist.
  19. Rechenvorrichtung nach Anspruch 16 oder 17, wobei die Rechenvorrichtung eine tragbare Rechenvorrichtung ist.
  20. Rechenvorrichtung nach Anspruch 16 oder 17, wobei die Rechenvorrichtung eine anziehbare Rechenvorrichtung ist.
DE102020132231.3A 2020-03-25 2020-12-03 Mikroelektronisches bauteil, das geformte bereiche mit through-mold-vias aufweist Pending DE102020132231A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/829,396 US11302643B2 (en) 2020-03-25 2020-03-25 Microelectronic component having molded regions with through-mold vias
US16/829,396 2020-03-25

Publications (1)

Publication Number Publication Date
DE102020132231A1 true DE102020132231A1 (de) 2021-09-30

Family

ID=77658977

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020132231.3A Pending DE102020132231A1 (de) 2020-03-25 2020-12-03 Mikroelektronisches bauteil, das geformte bereiche mit through-mold-vias aufweist

Country Status (5)

Country Link
US (4) US11302643B2 (de)
JP (1) JP7458969B2 (de)
KR (1) KR20210119866A (de)
CN (1) CN113451287A (de)
DE (1) DE102020132231A1 (de)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11488918B2 (en) * 2018-10-31 2022-11-01 Intel Corporation Surface finishes with low rBTV for fine and mixed bump pitch architectures
US11854935B2 (en) * 2020-02-19 2023-12-26 Intel Corporation Enhanced base die heat path using through-silicon vias
US11417819B2 (en) * 2020-04-27 2022-08-16 Microsoft Technology Licensing, Llc Forming a bumpless superconductor device by bonding two substrates via a dielectric layer
US11233035B2 (en) * 2020-05-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
KR20220001643A (ko) * 2020-06-30 2022-01-06 삼성전자주식회사 반도체 패키지
US11532582B2 (en) * 2020-08-25 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method of manufacture
KR20220031237A (ko) * 2020-09-04 2022-03-11 삼성전자주식회사 반도체 패키지 및 그 제조 방법
US11664301B2 (en) * 2021-03-18 2023-05-30 Advanced Semiconductor Engineering, Inc. Semiconductor device package
US11823973B2 (en) * 2021-10-15 2023-11-21 STATS ChipPAC Pte. Ltd. Package with compartmentalized lid for heat spreader and EMI shield
US20230197554A1 (en) * 2021-12-21 2023-06-22 Qualcomm Incorporated Thermal bridge interposer structure
US20240014174A1 (en) * 2022-07-05 2024-01-11 Global Unichip Corporation Interface for a semiconductor chip with adaptive via region arrangement and semiconductor device with stacked semiconductor chips
CN114883279B (zh) * 2022-07-12 2022-10-25 深圳市冠禹半导体有限公司 一种氮化镓器件的封装方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3892774B2 (ja) 2002-08-13 2007-03-14 富士通株式会社 半導体装置の製造方法
US7262074B2 (en) 2002-07-08 2007-08-28 Micron Technology, Inc. Methods of fabricating underfilled, encapsulated semiconductor die assemblies
JP4581768B2 (ja) 2005-03-16 2010-11-17 ソニー株式会社 半導体装置の製造方法
JP4208020B2 (ja) 2007-04-13 2009-01-14 ダイキン工業株式会社 多翼ファンの羽根車
TWI543307B (zh) * 2012-09-27 2016-07-21 欣興電子股份有限公司 封裝載板與晶片封裝結構
US8901748B2 (en) 2013-03-14 2014-12-02 Intel Corporation Direct external interconnect for embedded interconnect bridge package
US9275955B2 (en) 2013-12-18 2016-03-01 Intel Corporation Integrated circuit package with embedded bridge
US9542522B2 (en) 2014-09-19 2017-01-10 Intel Corporation Interconnect routing configurations and associated techniques
CN105789058A (zh) * 2015-01-14 2016-07-20 钰桥半导体股份有限公司 中介层嵌置于加强层中的线路板及其制作方法
US9437536B1 (en) * 2015-05-08 2016-09-06 Invensas Corporation Reversed build-up substrate for 2.5D
US10008439B2 (en) * 2015-07-09 2018-06-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Thin recon interposer package without TSV for fine input/output pitch fan-out
KR20180086804A (ko) * 2017-01-23 2018-08-01 앰코 테크놀로지 인코포레이티드 반도체 디바이스 및 그 제조 방법
US20180240778A1 (en) 2017-02-22 2018-08-23 Intel Corporation Embedded multi-die interconnect bridge with improved power delivery
US10373893B2 (en) * 2017-06-30 2019-08-06 Intel Corporation Embedded bridge with through-silicon vias
US10763239B2 (en) * 2017-10-27 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chip wafer level packages and methods of forming the same
US10535608B1 (en) 2018-07-24 2020-01-14 International Business Machines Corporation Multi-chip package structure having chip interconnection bridge which provides power connections between chip and package substrate

Also Published As

Publication number Publication date
US11817390B2 (en) 2023-11-14
US11302643B2 (en) 2022-04-12
US20210305162A1 (en) 2021-09-30
CN113451287A (zh) 2021-09-28
KR20210119866A (ko) 2021-10-06
US11640942B2 (en) 2023-05-02
JP7458969B2 (ja) 2024-04-01
US20240030142A1 (en) 2024-01-25
US20230134770A1 (en) 2023-05-04
JP2021158338A (ja) 2021-10-07
US20220181262A1 (en) 2022-06-09

Similar Documents

Publication Publication Date Title
DE102020132231A1 (de) Mikroelektronisches bauteil, das geformte bereiche mit through-mold-vias aufweist
DE102020112887A1 (de) Substratlose, doppelseitige, eingebettete multi-die-verbindungsbrücke
DE112017008336T5 (de) Mikroelektronische Anordnungen
DE112016007304T5 (de) Eingebetteter die in interposer-gehäusen
DE112017008326T5 (de) Mikroelektronische Anordnungen
DE112019003199T5 (de) Mikroelektronische anordnungen umfassend interposer
DE112017008327T5 (de) Mikroelektronische anordnungen
DE102018120665A1 (de) Abschirmen in elektronischen baugruppen
DE112017008313T5 (de) Mikroelektronische anordnungen
DE112016007567T5 (de) Gehäusesubstrat mit hochdichte-zwischenverbindungsschicht mit säulen- und via-verbindungen zur fan-out-skalierung
DE112016006809T5 (de) Integrierte schaltungsstrukturen mit erweiterten leitungswegen
DE112017008333T5 (de) Mikroelektronische anordnungen
DE112017008325T5 (de) Mikroelektronische anordnungen
DE112017001160B4 (de) Elektronische Komponenten mit dreidimensionalen Kondensatoren in einem Metallisierungsstapel
US20200098676A1 (en) Dies with integrated voltage regulators
DE112021005475T5 (de) Abschirmungsstrukturen in mikroelektronischen baugruppen mit direktbonden
DE102021132253A1 (de) Hybride Herstellung für integrierte Schaltkreisvorrichtungen und -baugruppen
DE102020108439A1 (de) Packages für integrierte Schaltungen mit Löt-Thermoschnittstellenmaterial
DE102022105027A1 (de) Mikroelektronische baugruppen mit integrierten magnetkerninduktivitäten
DE112016006900T5 (de) Gehäusesubstrate mit integralen Bauelementen
DE112022001616T5 (de) Mikroelektronische baugruppen mit rückseitigen die-zu-gehäuse-zwischenverbindungen
NL2029640B1 (en) Microelectronic structures including glass cores
DE102020132539A1 (de) Magnetische strukturen in integrierter-schaltkreis-gehäusestützen
DE102022129664A1 (de) Packaging architecture with patterned through-dielectric vias and redistribution layers
DE102022101224A1 (de) Mikroelektronische baugruppen einschliesslich brücken

Legal Events

Date Code Title Description
R130 Divisional application to

Ref document number: 102020008212

Country of ref document: DE

R012 Request for examination validly filed