DE112010004848B4 - Verfahren zur gezielten Selbstorganisation mit Immersionslithographie bei 193 nm - Google Patents

Verfahren zur gezielten Selbstorganisation mit Immersionslithographie bei 193 nm Download PDF

Info

Publication number
DE112010004848B4
DE112010004848B4 DE112010004848.7T DE112010004848T DE112010004848B4 DE 112010004848 B4 DE112010004848 B4 DE 112010004848B4 DE 112010004848 T DE112010004848 T DE 112010004848T DE 112010004848 B4 DE112010004848 B4 DE 112010004848B4
Authority
DE
Germany
Prior art keywords
photoresist
poly
photoresist layer
layer
styrene
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112010004848.7T
Other languages
English (en)
Other versions
DE112010004848T5 (de
Inventor
Joy Cheng
William Hinsberg
Gregory Michael Wallraff
Linda Karin Sundberg
Daniel Paul Sanders
Ho-cheol Kim
Young-Hye Na
Hoa Truong
Hiroshi Ito
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE112010004848T5 publication Critical patent/DE112010004848T5/de
Application granted granted Critical
Publication of DE112010004848B4 publication Critical patent/DE112010004848B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • G03F7/327Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Verfahren zum Bilden einer Schichtstruktur (54) mit einer Reliefstruktur (50), das die folgenden Schritte umfasst: a) Aufbringen einer Positiv-Fotolackschicht (14), die einen nicht vernetzenden Fotolack umfasst, auf eine Oberfläche (12) eines Substrats (10) b) anschließendes wahlweises Aushärten der Fotolackschicht (14); c) Belichten einzelner Strukturbereiche (21) der Fotolackschicht (14) mit einer ersten Strahlung d) anschließendes wahlweises Aushärten der belichteten Fotolackschicht (22); e) Entwickeln der belichteten Fotolackschicht (22) mit einem nicht alkalischen Entwickler, wobei unbelichtete Strukturbereiche (26) der belichteten Fotolackschicht (22) entfernt werden, sodass eine negativ strukturierte Fotolackschicht (30) gebildet wird, die unvernetzten entwickelten Fotolack (24) mit einer im Vergleich zum unbelichteten Fotolack (14) erhöhten Polarität umfasst; f) Behandeln der strukturierten Fotolackschicht (30) durch Flutlichtbelichtung mit einer zweiten Strahlung und/oder thermisches Behandeln der strukturierten Fotolackschicht (30) bei einer Temperatur von 60°C bis 300°C für mindestens 1 Sekunde und/oder chemisches Behandeln der strukturierten Fotolackschicht (30) durch Kontakt mit. den Dämpfen einer flüchtigen Lewis-Säure derart, dass eine behandelte strukturierte Fotolackschicht 37) gebildet wird, die unvernetzten behandelten Fotolack (35) mit einer im Vergleich zum entwickelten Fotolack (24) erhöhten Polarität. umfasst; g) Gießen einer Lösung eines bestimmten Polymers (36), das zur Selbstorganisation in der Lage ist, und das in einem bestimmten organischen Lösemittel gelöst ist, auf die behandelte strukturierte Fotolackschicht (37), wobei der behandelte Fotolack (35) in dem bestimmten organischen Lösemittel unlöslich ist, und ...

Description

  • HINTERGRUND DER ERFINDUNG
  • Die Erfindung betrifft Verfahren zur gezielten Selbstorganisation zum Bilden von Schichtstrukturen und insbesondere die Verwendung von nicht vernetzenden Fotolacken, die unter Verwendung eines nicht alkalischen Lösemittels entwickelt wurden, zur gezielten Selbstorganisation von Polymeren.
  • Strukturmerkmale mit kleineren kritischen Abmessungen in engeren Abständen ermöglichen die Herstellung kompakterer Logikschaltungen und können deshalb die Gesamtherstellungskosten verringern und die Einheitenleistung verbessern. Die gezielte Selbstorganisation von Polymeren (directed polymer self-assembly, DSA) wird als möglicher Kandidat für die Erweiterung der gängigen Lithografie durch die Verbesserung der räumlichen Auflösung und/oder durch die Steuerung der Schwankungsbreite der kritischen Abmessungen einer vorgegebenen Struktur auf einem Substrat angesehen. Es gibt zwei Verfahren zur Realisierung der DSA: Graphoepitaxie und chemische Epitaxie. Bei einer DSA-Graphoepitaxietechnik wird die Selbstorganisation (self-assembly, SA) eines Materials (z. B. eines Polymers) durch die topografischen Merkmale und deren Oberflächeneigenschaften in lithografisch vorstrukturierten Substraten gelenkt. Bei einer chemischen DSA-Epitaxietechnik wird die Selbstorganisation eines Materials durch lithografisch definierte chemische Vorstrukturen auf einer Substratoberfläche gelenkt. Die zwei der Einbeziehung der DSA in lithographische Standardprozesse entgegenstehenden Herausforderungen bestehen darin, dass ein strukturierter Fotolack nicht mit dem bei SA-Materialien zum Gießen verwendeten Lösemittel verträglich ist und das zugrunde liegende Substrat nicht in der Lage ist, eine spezielle SA-Oberflächenstruktur zu tragen.
  • DSA-Vorstrukturen für die Graphoepitaxie werden üblicherweise in einer Hartmaskenschicht (zum Beispiel Siliciumoxid) erzeugt, um zu verhindern, dass das beim Gießen des selbstorganisierenden Materials (SA-Material) verwendete Lösemittel die Vorstruktur auflöst. Die Verwendung von Hartmasken-Vorstrukturen macht den Prozess komplexer, was aus der Reihe von Schritten in 1A bis 1D (Stand der Technik) unter Verwendung schematischer Schichtdiagramme zu ersehen ist. Zuerst wird auf einer Unterschicht 200 (z. B. ein Siliciumwafer oder eine Transferschicht) eine Hartmaskenschicht 202 abgeschieden, auf die eine Fotolackschicht 204 (1A) folgt. Durch das Strukturieren der Fotolackschicht in einem Lithografieprozess wird eine Fotolackstruktur 206 (1B) erzeugt. Wenn die Hartmaskenschicht 202 nicht auch als reflexionsmindernde Beschichtung 202 dient, kann vor dem Fotolack eine separate ARC-Schicht (antireflection coating, reflexionsmindernde Beschichtung) auf dem Substrat abgeschieden werden. Die Fotolackstruktur 206, die einen Grabenbereich 208 umfasst, wird dann durch einen additiven oder subtraktiven Prozess in die Hartmaskenschicht 202 übertragen, wonach der Fotolack abgelöst wird und eine Hartmasken-Vorstruktur 210 (1C) für die Selbstorganisation hinterlässt. Die Hartmasken-Vorstruktur 210 beinhaltet alle Flächen (z. B. die Flächen 203, 205 und 207 in 1C), die durch Ätzen der Hartmaskenschicht und Entfernen der Fotolackstruktur gebildet oder freigelegt wurden. Auf Wunsch kann die Oberfläche der Hartmasken-Vorstruktur 210 vor dem Aufbringen eines Materials für die Selbstorganisation noch weiter verändert werden. Zum Beispiel kann ein Polymer chemisch auf die Oberfläche der Hartmasken-Vorstruktur 210 aufgepfropft werden, um die geeigneten Oberflächeneigenschaften für die Hartmasken-Vorstruktur 210 bereitzustellen, um den nachfolgenden Prozess der Selbstorganisation zu lenken. Insbesondere kann eine solche Veränderung der Hartmasken-Vorstruktur 210 dazu verwendet werden, die Affinität der Vorstruktur für bestimmte Bereiche des SA-Materials zu steuern. Dann wird ein SA-Material in einem Lösemittel auf die Hartmasken-Vorstruktur 210 gegossen, um eine Schicht 212 zu bilden, wobei das SA-Material in diesem Fall im Wesentlichen im Graben 208 angeordnet wird. Die Hartmasken-Vorstruktur lenkt die Selbstorganisation des SA-Materials topografisch, um in den Grabenbereichen 208 (1D) geordnete Bereiche 214 und 216 zu bilden. Die zusätzlichen Schritte, die erforderlich sind, um eine Hartmasken-Vorstruktur zu erzeugen und deren Oberflächeneigenschaften zu verändern, erhöhen die Kosten des DSA-Prozesses.
  • Bei der chemischen Epitaxietechnik werden chemische DSA-Vorstrukturen für chemische Epitaxie üblicherweise in einem mehrstufigen Prozess aus einer zweischichtigen Stapelstruktur erzeugt. Die zweischichtige Stapelstruktur besteht aus einer Fotolackschicht, die auf ein Substrat aufgebracht ist, Als erstes wird durch Belichten und Entwickeln der Fotolackschicht eine topographische Struktur erzeugt und eine Oberfläche des darunter liegenden Substrats freigelegt. Als zweites wird die freiliegende Oberfläche des Substrats zerstört, indem sie durch die Öffnungen in der Fotolackschicht einem Plasma ausgesetzt wird, was eine Veränderung einer Oberflächeneigenschaft der freiliegenden Oberfläche des Substrat verursacht. Als drittes wird der Fotolack entfernt, um eine chemisch strukturierte Oberfläche für die DSA zu erzeugen, die aus zerstörten und unzerstörten Oberflächenbereichen des Substrats besteht. Chemische Epitaxie steht auch durch Einführen einer darunter liegenden Funktionsfläche, die während des Strukturtransferschrittes zerstört werden kann, vor der Herausforderung hoher Kosten und Prozesskomplexität.
  • Alternativ kann ein Negativ-Fotolack, der vernetzt und in den belichteten Bereichen im Entwicklerlösemittel weniger löslich wird, zum Erzeugen von Vorstrukturen verwendet werden, die für die chemische Epitaxie geeignet sind. Zum Beispiel wird eine dünne Schicht eines vernetzenden Negativ-Fotolacks (beispielsweise Wasserstoff-Silsesquioxan, (HSQ)) auf ein Substrat aufgebracht, das eine geeignete Oberfläche für Selbstorganisation umfasst. Dann wird durch Belichten der dünnen Schicht von vernetzendem Negativ-Fotolack und Entfernen des unvernetzten Materials (z. B. des Fotolacks in den unbelichteten Bereichen) eine chemisch strukturierte Oberfläche erzeugt. Bei einem solchen Prozess muss die Dicke des vernetzenden Negativ-Fotolacks kleiner als die Dicke der Schicht des SA-Materials sein, um den Selbstorganisationsprozess weniger durch topografische als vielmehr durch chemische Mittel zu lenken.
  • Die Unverträglichkeit des Lösemittels und die Unverträglichkeit der Unterschicht stellen die Haupthindernisse für die direkte Einbeziehung der DSA in einen lithografischen Standardprozess dar. Die Fotolackstrukturen, die nach der Belichtung und Entwicklung von Positiv-Standardfotolacken gebildet werden, sind in vielen organischen Lösemitteln löslich. Hohe Löslichkeit des strukturierten Fotolacks in den Lösemitteln, die zum Aufbringen des SA-Materials verwendet werden, oder Wechselwirkung mit den Lösemitteln schränken die Verwendbarkeit von Positiv-Standardfotolacken in der DSA ein (z. B. löst die Lösung von SA-Materialien die Fotolackstruktur auf oder lässt es zerfallen). Eine Oberfläche, die eine gesteuerte Affinität für die verschiedenen Bereiche aufweist, die während der Selbstorganisation gebildet werden, ist für das Steuern der Ausrichtung der selbstorganisierten Strukturen erforderlich; jedoch weisen chemische Reaktionen, die durch den Belichtungsprozess und/oder die nachfolgenden Aushärtungs- und Entwicklungsprozesse ausgelöst werden, eine hohe Wahrscheinlichkeit auf, die Oberflächeneigenschaft der Unterschicht zu verändern und die Unterschicht mit der gewünschten DSA-Morphologie unverträglich zu machen. Zum Beispiel kann das Strukturieren eines üblichen Positiv-Fotolacks unter Verwendung eines Entwicklers von wässrigem Tetramethylammoniumhydroxid (TMAH) oberhalb einer darunter liegenden Oberfläche die Eigenschaften der darunter liegenden Oberfläche nachteilig beeinflussen, die für die gesteuerte Affinität verantwortlich ist. Alternativ kann ein chemisches ”Fixier”-Mittel oder Oberflächenhärtungsmittel verwendet werden, um eine unvernetzte Fotolackstruktur in dem Lösemittel unlösbar zu machen, das zum Gießen eines SA-Materials verwendet wird; jedoch können diese chemischen Behandlungen auch mit der darunter liegenden Schicht reagieren, um deren Oberflächeneigenschaften zu zerstören oder zu verändern. Außerdem stellen solche chemischen Behandlungen zusätzliche Prozessschritte dar und können Größenveränderungen in der Fotolackstruktur bewirken. Wie oben erwähnt, funktioniert die DSA ohne die geeignete Eigenschaft der Oberflächeneigenschaft der Unterschicht nicht zufriedenstellend.
  • Ein Negativ-Fotolack, der vernetzt und in den freiliegenden Bereichen im Lösemittel des Entwicklers weniger löslich wird, kann zum Herstellen von Fotolackstrukturen verwendet werden, die sich in typischen beim Gießen verwendeten Lösemitteln nicht auflösen, die zum Aufbringen von SA-Materialien verwendet werden. Leider haben Negativ-Fotolacke in der Vergangenheit nur eine begrenzte Auflösung geboten und unter unscharfen Profilen, Mikrobrückenbildung und/oder Quellung in organischen Lösemitteln gelitten. Darüber hinaus lassen sich manche Strukturen unter Verwendung einer Negativmaske nur schwer abbilden. Und schließlich lassen sich fixierte Positiv-Fotolackstrukturen und vernetzte Negativ-Fotolackstrukturen nur schwer weiterbearbeiten.
  • Aus Tarutani et al., „Development of materials and processes for double patterning toward 32 nm mode 193 nm immersion lithography process” in Advances in Resist Materials and Processing Technology XXV, Proc. of SPIE Vol. 6923, 2008, 6923F1–8 ist die Entwicklung eines Negativprozesses mit einem organischen Entwickler zur Erzeugung von feinen Gräben mittels ArF-Belichtung bekannt.
  • Aus WO 2008/097736 A2 ist die Verwendung von Block-Copolymeren zur Herstellung von Sub-Lithografie-Strukturen bekannt.
  • Aus DE 33 82 809 T2 sind positiv- oder negativ-wirkende Photolackzusammensetzungen bekannt.
  • Aus Park et al., „Block copolymer multiple patterning integrated with conventional ArF lithography”, Soft Matter, Vol. 6, 2010, S. 120–125, published Sept. 2009, ist die Verwendung von Block-Copolymer-Zusammensetzungen in auflösungsverbesserten ArF-Lithographie-Techniken bekannt.
  • Ausgehend von diesem Stand der Technik macht es sich die Erfindung zur Aufgabe, ein Verfahren zum Erzeugen topografischer oder chemischer Vorstrukturen für die DSA anzugeben, das weniger Prozessschritte aufweist, das ein geringeres Risiko der Beschädigung der Unterschicht aufweist und die erwünschten Oberflächeneigenschaften der Unterschicht aufrechterhält.
  • KURZDARSTELLUNG
  • Diese Aufgabe wird gelöst durch das Verfahren nach Anspruch 1. Bevorzugte Ausführungsformen der Erfindung sind Gegenstand der Unteransprüche.
  • Dementsprechend verwenden Verfahren zum Bilden von Schichtstrukturen einen von einem nicht vernetzenden Positiv-Fotolack abgeleiteten strukturierten Fotolack, der in einem nicht alkalischen Entwickler entwickelt wird, um entweder durch Graphoepifaxie oder chemische Epitaxie eine gezielte Selbstorganisation zu bewirken.
  • Ein Verfahren zum Bilden einer Schichtstruktur, die eine Struktur von Bereichen eines selbstorganisierten Materials umfasst, kann folgende Schritte aufweisen: Aufbringen einer Fotolackschicht, die einen nicht vernetzenden Fotolack umfasst, auf einem Substrat; wahlweise Aushärten der Fotolackschicht; Belichten einzelner Strukturbereiche der Fotolackschicht mit einer ersten Strahlung; wahlweise Aushärten der belichteten Fotolackschicht; und Entwickeln der belichteten Fotolackschicht mit einem nicht alkalischen Entwickler, um eine strukturierte Negativ-Fotolackschicht zu bilden, die unvernetzten entwickelten Fotolack umfasst; wobei der entwickelte Fotolack in einem bestimmten organischen Lösemittel unlöslich ist, das zum Gießen eines bestimmten Materials geeignet ist, welches zur Selbstorganisation in der Lage ist, und wobei der entwickelte Fotolack in einem wässrigen alkalischen Entwickler und/oder einem zweiten organischen Lösemittel löslich ist; Gießen einer Lösung, welche das in dem bestimmten organischen Lösemittel gelöste bestimmte Material umfasst, das zur Selbstorganisation in der Lage ist, auf die strukturierte Fotolackschicht und Entfernen des bestimmten organischen Lösemittels; und dem bestimmten gegossenen Material die Möglichkeit zur Selbstorganisation zu geben, während das bestimmte gegossene Material wahlweise erwärmt und/oder getempert wird, wodurch die Schichtstruktur gebildet wird, welche die Struktur von Bereichen des bestimmten selbstorganisierten Materials umfasst.
  • Ein Verfahren zum Bilden einer Schichtstruktur, die eine von einem selbstorganisierten Polymer abgeleitete Reliefstruktur umfasst, kann ferner folgende Schritte aufweisen: Aufbringen einer Fotolackschicht, die einen nicht vernetzenden Fotolack umfasst, welcher zur chemischen Verstärkung in der Lage ist, auf einer reflexionsmindernden Beschichtung eines Substrats; wahlweise Aushärten der Fotolackschicht; Belichten einzelner Strukturbereiche der Fotolackschicht mit einer ersten Strahlung; wahlweise Aushärten der belichteten Fotolackschicht; und Entwickeln der belichteten Fotolackschicht mit einem nicht alkalischen Entwickler, um eine strukturierte Negativ-Fotolackschicht zu bilden, die unvernetzten entwickelten Fotolack umfasst, wobei der entwickelte Fotolack in einem bestimmten organischen Lösemittel löslich ist, das zum Gießen eines bestimmten Polymers geeignet ist, welches zur Selbstorganisation in der Lage ist; fotochemisches, thermisches und/oder chemisches Behandeln der strukturierten Fotolackschicht, um eine behandelte strukturierte Fotolackschicht zu bilden, die unvernetzten behandelten Fotolack umfasst, wobei der behandelte Fotolack in dem bestimmten Lösemittel unlöslich ist, das zum Gießen des bestimmten Polymers geeignet ist, welches zur Selbstorganisation in der Lage ist, und wobei der behandelte Fotolack in einem wässrigen alkalischen Entwickler und/oder einem zweiten organischen Lösemittel löslich ist; Gießen einer Lösung des in dem bestimmten organischen Lösemittel gelösten bestimmten Polymers auf die behandelte strukturierte Fotolackschicht und Entfernen des bestimmten organischen Lösemittels; dem bestimmten gegossenen Polymer die Möglichkeit zur Selbstorganisation geben, während das bestimmte gegossene Polymer wahlweise erwärmt und/oder getempert wird, wodurch eine Struktur von Bereichen des bestimmten selbstorganisierten Polymers gebildet wird, wobei die Struktur von Bereichen einen ersten Bereich und einen zweiten Bereich umfasst; und selektives Entfernen des ersten Bereichs, während ein zweiter Bereich des bestimmten selbstorganisierten Polymers zurückbleibt, wodurch die die Reliefstruktur umfassende Schichtstruktur gebildet wird.
  • Ein Verfahren zum Bilden einer Schichtstruktur mit einer von einem selbstorganisierten Block-Copolymer abgeleiteten Reliefstruktur kann darüber hinaus die folgenden Schritte aufweisen: Aufbringen einer Fotolackschicht, die einen nicht vernetzenden Fotolack umfasst, welcher zur chemischen Verstärkung in der Lage ist, auf einer reflexionsmindernden Oberfläche eines Substrats; wahlweise Aushärten der Fotolackschicht; Belichten einzelner Strukturbereiche der Fotolackschicht mit einer ersten Strahlung; wahlweise Aushärten der belichteten Fotolackschicht; Entwickeln der Fotolackschicht mit einem nicht alkalischen Entwickler, um eine strukturierte Negativ-Fotolackschicht zu bilden, die unvernetzten entwickelten Fotolack umfasst, wobei der entwickelte Fotolack in einem bestimmten organischen Lösemittel löslich ist, das zum Gießen eines bestimmten Block-Copolymers geeignet ist, welches zur Selbstorganisation in der Lage ist; Wärmebehandlung der strukturierten Fotolackschicht bei einer Temperatur von 60°C bis 300°C für mindestens 1 s, um eine behandelte strukturierte Fotolackschicht zu bilden, welche unvernetzten behandelten Fotolack umfasst, wobei der behandelte Fotolack in dem bestimmten organischen Lösemittel unlöslich ist, das zum Gießen des bestimmten Block-Copolymers geeignet ist, welches zur Selbstorganisation in der Lage ist, und wobei der behandelte Fotolack in einem wässrigen alkalischen Entwickler und/oder einem zweiten organischen Lösemittel löslich ist; Gießen des in dem bestimmten organischen Lösemittel gelösten bestimmten Block-Copolymers auf die behandelte strukturierte Fotolackschicht und Entfernen des bestimmten organischen Lösemittels; dem bestimmten gegossenen Block-Copolymer die Möglichkeit geben, sich selbst zu organisieren, während das bestimmte gegossene Block-Copolymer wahlweise erwärmt und/oder getempert wird, um eine Struktur von Bereichen des bestimmten selbstorganisierten Block-Copolymers zu bilden, wobei die Struktur von Bereichen einen ersten Bereich und einen zweiten Bereich umfassen; und den ersten Bereich selektiv entfernen, während der zweite Bereich zurückbleibt, wodurch die Schichtstruktur gebildet wird, welche die Reliefstruktur umfasst.
  • Ein Verfahren zum Erzeugen einer Schichtstruktur aus einem selbstorganisierenden Polymer kann außerdem die folgenden Schritte aufweisen: Bilden einer Schicht eines nicht vernetzenden Fotolacks, der zur chemischen Verstärkung auf einem Substrat in der Lage ist, welches eine Fläche umfasst, die zur Selbstorganisation eines Polymers geeignet ist; Belichten einzelner Strukturbereiche des Fotolacks mit einer Strahlung; wahlweise Aushärten des Fotolacks, wobei durch die Maßnahmen Belichten und wahlweise Aushärten die belichteten Teile des Fotolacks unlöslich sowohl in einem nicht alkalischen Entwicklerlösemittel als auch einem bestimmten Gießlösemittel gemacht werden, das für ein bestimmtes Polymer geeignet ist, welches zur Selbstorganisation in der Lage ist; selektives Entfernen des Fotolacks in unbelichteten Bereichen unter Verwendung des nicht alkalischen Entwicklerlösemittels, wodurch eine strukturierte Fotolackschicht gebildet wird; wahlweise Aushärten der strukturierten Fotolackschicht; Gießen einer Lösung des in dem bestimmten Gießlösemittel gelösten bestimmten Polymers auf die strukturierte Fotolackschicht; Entfernen des Lösemittels; und wahlweise Tempern, um dem bestimmten gegossenen Polymer die Möglichkeit zur Selbstorganisation zu geben; und selektives Entfernen eines selbstorganisierten Bereichs des bestimmten selbstorganisierten Polymers, um eine Reliefstruktur zu bilden.
  • KURZBESCHREIBUNG DER VERSCHIEDENEN ZEICHNUNGSANSICHTEN
  • In den Zeichnungen sind gleiche Elemente in gleicher Weise nummeriert.
  • Die 1A bis 1D sind schematische Schichtdarstellungen, die das Verfahren zeigen, durch welches die Graphoepitaxie üblicherweise unter Verwendung einer Hartmaske (Stand der Technik) realisiert wird.
  • Die 2A bis 2H sind schematische Schichtdarstellungen, die zeigen, wie ein offenbartes Graphoepitaxie-Verfahren unter Verwendung eines Positiv-Fotolacks und eines Negativ-Fotolacks realisiert wird.
  • 3 ist eine schematische Schichtdarstellung, die eine behandelte strukturierte Fotolackschicht zeigt.
  • Die 4A bis 4D sind schematische Schichtdarstellungen, die zeigen, wie ein offenbartes chemisches Epitaxie-Verfahren unter Verwendung eines Positiv-Fotolacks und einer Negativ-Entwicklung realisiert wird, wenn w + z (siehe 4C) ungefähr gleich dem Teilungsabstand selbstorganisierter Materialien ist.
  • Die 5A bis 5C sind schematische Schichtdarstellungen, die zeigen, wie ein offenbartes chemisches Epitaxie-Verfahren unter Verwendung eines Positiv-Fotolacks und einer Negativ-Entwicklung realisiert wird, wenn w + z (siehe 5A) ungefähr gleich dem doppelten Teilungsabstand selbstorganisierter Materialien ist.
  • 6 ist eine 2G entsprechende schematische Schichtdarstellung mit einem zweischichtigen Substrat anstelle eines einschichtigen Substrats.
  • 7 ist ein Diagramm, das die Wirkung des Entwicklerlösemittels auf die Entwicklungskontrastkurve (d. h. die Dicke der entwickelten Schicht in Angström als Funktion der Belichtungsintensität in mJ/cm2) für eine Fotolackschicht zeigt, die mit JSR AR2928JN, einem Positiv-Fotolack für die Wellenlänge 193 nm, gebildet und in drei verschiedenen Lösemitteln entwickelt wurde: Anisol, wässriges Tetramethylammoniumhydroxid (TMAH) und Propylenglycol-monomethyletheracetat (PGMEA).
  • 8A ist ein AFM-Höhenbild der Schichtstruktur in Beispiel 1, bevor auf die Fotolack-Vorstruktur eine Schicht eines SA-Materials aufgebracht wurde.
  • 8B ist ein AFM-Höhenbild der Schichtstruktur in Beispiel 1, nachdem eine Schicht des SA-Materials auf die Fotolack-Vorstruktur aufgebracht wurde und sich das SA-Material selbstorganisiert hat, um geordnete Bereiche zu bilden.
  • 8C ist ein AFM-Höhenbild der geätzten Schichtstruktur in Beispiel 1. Eine Schicht des SA-Materials ist auf die Fotolack-Vorstruktur aufgebracht und die kleineren freiliegenden Bereiche (in diesem Beispiel PMMA-Bereiche) sind selektiv durch ein Sauerstoffplasma entfernt worden, um Löcher im Graben freizulegen. Die dunkleren Flächen stellen die Löcher dar.
  • 9A ist ein AFM-Höhenbild, das die Schichtstruktur von Beispiel 2 zeigt, nachdem eine Schicht des SA-Materials, eine Polymermischung, auf die Fotolack-Vorstruktur aufgebracht wurde.
  • 9B ist ein AFM-Phasenbild, das die kleineren PMMA-Bereiche (helle Punkte) in der Schichtstruktur von Beispiel 2 zeigt.
  • 10 ist ein rasterelektronenmikroskopisches (scanning electron microscopy, SEM) Bild der verbleibenden Polystyrol(PS)-Streifen nach dem Entfernen der Polymethylmethacrylat(PMMA)-Bereiche und des Fotolacks durch ein Sauerstoffplasma von Beispiel 3.
  • Die 11A und 11B sind AFM-Höhen- bzw. -Phasenbilder der Schichtstruktur von Beispiel 4, welche ein selbstorganisiertes Block-Copolymer in einer thermisch behandelten Fotolack-Vorstruktur nach dem Gießen des Block-Copolymers aus PGMEA und dem Tempern zeigen.
  • Die 11C und 11D sind AFM-Höhen- bzw. -Phasenbilder der Schichtstruktur von Beispiel 4, welche das selbstorganisierte Block-Copolymer nach dem Entfernen des thermisch behandelten Fotolacks mit alkalischem Tetramethylammoniumhydroxid (TMAH) zeigen.
  • Die 12A und 12B sind Diagramme, welche die Wirkung auf die Löslichkeit einer thermisch behandelten, belichteten Fotolackschicht sowohl in PGMEA als auch in wässrigem alkalischem Tetramethylammoniumhydroxid (TMAH) zeigen. Die behandelte Schicht wurde aus einem Fotolack für die Wellenlänge 193 nm (JSR AR2928JN) hergestellt, durch optische Lithografie bei 193 nm belichtet, nach dem Belichten 60 s bei 115°C ausgehärtet, in Anisol entwickelt und 5 Minuten durch Aushärten bei 175°C einer Wärmebehandlung unterzogen (Beispiel 5). Die 12A und 12B zeigen die Dicke der entwickelten Fotolackschicht in Angstrom als Funktion der Belichtungsintensität in mJ/cm2 nach der Entwicklung in Anisol, nach der Wärmebehandlung (in der Zeichenerklärung mit ”175C Aushärten” bezeichnet), nach dem Spülen des wärmebehandelten Fotolacks für 10 s oder 30 s in PGMEA und nach dem Entwickeln des wärmebehandelten Fotolacks in wässrigem Tetramethylammoniumhydroxid (TMAH).
  • 13A ist ein AFM-Höhenbild der in Beispiel 6 gebildeten chemischen Vorstruktur.
  • 13B ist ein AFM-Phasenbild der beabstandeten Streifen-Strukturen von PS- und PMMA-Bereichen, die in Beispiel 6 nach dem Gießen der SA-Schicht auf die chemische Vorstruktur erhalten wurden.
  • Die 14A und 14B zeigen die Wirkung einer Wärmebehandlung von 1 Minute und 5 Minuten auf die Dicke des belichteten Fotolacks vor und nach einem Entwicklungsprozess unter Verwendung von PGMEA (Beispiel 7). Das Behandeln des Fotolacks mit höheren Aushärtungstemperaturen reicht aus, um ihn in PGMEA unlöslich zu machen.
  • 15A ist ein AFM-Höhenbild der Schichtstruktur des als Vergleich dienenden Beispiels 1, welches die Fotolackschicht vor dem Gießen des SA-Materials zeigt.
  • Die 15B und 15C sind AFM-Phasenbilder der Schichtstruktur des als Vergleich dienenden Beispiels 1, welche die frisch gegossene SA-Schicht bzw. die SA-Schicht nach dem Aushärten zeigen und erkennen lassen, dass die strukturierte Fotolackschicht durch das SA-Lösemittel aufgelöst wurde.
  • 16A ist ein AFM-Höhenbild der Schichtstruktur in dem als Vergleich dienenden Beispiel 2, welches die Fotolackschicht vor dem Gießen der SA-Schicht zeigt.
  • 16B ist ein AFM-Phasenbild der Schichtstruktur in dem als Vergleich dienenden Beispiel 2 nach dem Gießen des SA-Materials in PGMEA und dem Aushärten der SA-Schicht. Die Fotolackstruktur wurde durch das PGMEA aufgelöst.
  • DETAILLIERTE BESCHREIBUNG DER ERFINDUNG
  • Die hierin beschriebenen Verfahren zum Lenken der Selbstorganisation eines Materials (z. B. eines Block-Copolymers oder einer Polymermischung) verwenden einen nicht vernetzenden Fotolack und eine nicht alkalische Entwicklung, um eine strukturierte Fotolackschicht zu bilden. Bei einer Ausführungsform ist der Fotolack auch zur chemischen Verstärkung in der Lage. Die strukturierte Fotolackschicht umfasst unvernetzten belichteten Fotolack, der bei einer Ausführungsform nach dem Entwickeln in einem bestimmten organischen Lösemittel unlöslich ist, das zum Gießen eines bestimmten Materials (d. h. SA-Material) verwendet wird, welches zur Selbstorganisation in der Lage ist. Bei einer anderen Ausführungsform wird die strukturierte Fotolackschicht fotochemisch, thermisch und/oder chemisch behandelt, um den behandelten Fotolack in dem organischen Lösemittel unlöslich zu machen, welches zum Gießen des SA-Materials verwendet wird. Bei jeder Ausführungsform behält der Fotolack die Löslichkeit in einem wässrigen alkalischen Entwickler bei. Die Behandlung verzerrt oder zerstört nicht die Fotolackstruktur und vernetzt den Fotolack nicht irreversibel. Die strukturierte Fotolackschicht und die durch die Entwicklung freigelegte Substratoberfläche stellen eine Vorstruktur für die Selbstorganisation des SA-Materials durch Graphoepitaxie oder alternativ, wenn die Grabenhöhe der Fotolackmerkmale im Verhältnis zur Dicke des SA-Materials klein genug ist, durch chemische Epitaxie bereit. Bei einer Ausführungsform bleiben die der Selbstorganisation förderlichen Eigenschaften der Substratoberfläche in Bereichen der Substratoberfläche erhalten, die keiner zerstörenden Strahlung oder reaktionsfreudigen Nebenprodukten der chemischen Verstärkung der belichteten Fotolackschicht ausgesetzt wurden. Durch die Entwicklung wird der Fotolack entfernt, der sich auf diesen Oberflächenbereichen befindet, welche dann zur Selbstorganisation verwendet werden. Der Fotolack kann vor oder nach der Selbstorganisation leicht unter Verwendung eines wässrigen alkalischen Standardentwicklers oder eines geeigneten polaren zweiten organischen Lösemittels entfernt werden.
  • In der folgenden Beschreibung wird ein Material, das zur Selbstorganisation durch Phasentrennung in Bereiche unterschiedlicher Zusammensetzung in der Lage ist, als SA-Material bezeichnet. Der Begriff ”Substrat” betrifft alle Unterschichten einer Struktur, auf welche die Fotolackschicht aufgebracht ist. Das Substrat kann eine oder mehrere als Stapel angeordnete Schichten aufweisen. In einem mehrschichtigen Substrat ist die direkt unter der Fotolackschicht liegende und in Kontakt mit dieser befindliche Schicht die oberste Schicht des Substrats, die auch als ”Unterschicht” in Bezug auf die Fotolackschicht bezeichnet wird. Die Begriffe ”Oberfläche” oder ”darunter liegende Oberfläche” betreffen, sofern nicht anders angegeben, die Substratoberfläche, auf welche die Fotolackschicht aufgebracht ist. Bei nicht als Einschränkung anzusehenden Beispielen kann die Fotolackschicht auf die Oberfläche eines Silicium-Wafers oder einer Metallfolie oder noch spezieller auf die Oberfläche einer reflexionsmindernden Schicht (ARC) eines mehrschichtigen Substrats aufgebracht sein, bei welchem die ARC-Schicht die oberste Schicht ist. Bei diesem Beispiel stellt die ARC-Schicht auch die Unterschicht der Fotolackschicht dar. Bei einem weiteren Beispiel weist die ARC-Schicht eine an die obere Oberfläche angeheftete Polymerschicht mit Bürstenstruktur auf. Bei diesem Beispiel ist die Polymerschicht mit Bürstenstruktur gleich der Unterschicht der Fotolackschicht.
  • Der hierin gebrauchte Spezialbegriff ”Vorstruktur” betrifft die Gesamtheit der mit der Gießlösung des SA-Materials in Berührung kommenden Oberflächen. Eine oder mehrere der Oberflächen steuern die Selbstorganisation. Die Vorstruktur beinhaltet hierin die Oberflächen und die Topografie der strukturierten Fotolackschicht oder der behandelten strukturierten Fotolackschicht und der durch die Entwicklung nicht freigelegten Flächen des Substrats. Das SA-Material kann auf alle oder einige dieser Oberflächen aufgebracht sein.
  • Bei einer ”graphoepitxialen Vorstruktur” handelt es sich um eine Vorstruktur, deren Topographie und Oberflächeneigenschaften die Selbstorganisation beeinflussen. Bei einer ”chemischen Vorstruktur” handelt es sich um eine Vorstruktur, deren Oberflächeneigenschaften die Selbstorganisation im Wesentlichen steuern. Zwischen diesen beiden Kategorien von Vorstrukturen gibt es größenordnungsmäßig keine scharfen Abgrenzungen, da die Stärke des topografischen Einflusses auf die Selbstorganisation auch von der Dicke der SA-Schicht im Verhältnis zur darunter liegenden Reliefoberfläche abhängt. Bei manchen Graphoepitaxie-Verfahren ist die SA-Schicht dicker als die Grabenhöhe des Fotolacks, und das SA-Material wird auf das Plateau (obere Fläche) sowie im Graben aufgebracht. Bei anderen Graphoepitaxie-Verfahren kann die Dicke der SA-Schicht kleiner als oder gleich der Grabenhöhe der darunter liegenden Vorstruktur sein. Bei chemischen Vorstrukturen ist die Dicke der SA-Schicht größer als jegliche Grabenhöhe der darunter liegenden Topografie.
  • Der Begriff ”aufgebracht” betrifft eine Schicht, die sich in Kontakt mit einer Oberfläche einer anderen Schicht befindet. Die Begriffe ”Aufbringen” oder ”Anbringen” betreffen das Bilden einer Schicht derart, dass sich diese in Kontakt mit einer Oberfläche einer anderen Schicht befindet, ohne Einschränkung des verwendeten Verfahrens, sofern nicht anders angegeben, und unter der Bedingung, dass die erwünschten Eigenschaften wie beispielsweise Gleichmäßigkeit und Dicke der aufgebrachten oder angebrachten Schicht erhalten werden. Der Begriff ”Gießen” betrifft das Bilden einer Schicht eines Materials durch Aufbringen einer Lösung des in einem Lösemittel gelösten Materials auf eine andere Schicht und durch Entfernen des Lösemittels. Sofern nicht anders angegeben handelt es sich bei den Copolymeren um statistische Copolymere (random copolymer), die durch die Bestandteile ”-co-” oder ”r” im Namen bezeichnet werden. Block-Copolymere werden durch die Bestandteile ”-b-” oder ”-block-” im Namen bezeichnet. Wechselnde Block-Copolymere werden durch den Bestandteil ”-alt-” im Namen bezeichnet.
  • Eine ”Polaritätsänderung” bedeutet hierin eine veränderte chemische Zusammensetzung, welche die relative Löslichkeit ohne Vernetzung beeinflusst. Das ”Herbeiführen einer Polaritätsänderung” im Fotolack bedeutet, dass die Fotolackschicht einer Behandlung ausgesetzt wird, welche die chemische Zusammensetzung verändert, damit der behandelte Fotolack in einem nicht alkalischen Entwickler weniger löslich gemacht wird als der Fotolack vor der Behandlung. Beispielsweise weist der belichtete Fotolack im Vergleich zum unbelichteten Fotolack eine ”erhöhte Polarität” auf. Wahlweise kann durch Aushärten vor der Entwicklung die Polarität des belichteten Fotolacks durch chemische Verstärkung weiter erhöht werden. Eine erhöhte Polarität kann zum Beispiel durch Aufheben des Schutzes geschützter polarer funktioneller Gruppen in der belichteten Fotolackschicht entstehen. Wahlweise kann durch eine fotochemische, thermische und/oder chemische Behandlung nach der Entwicklung die Löslichkeit der entwickelten Fotolackschicht in einem Gießlösemittel des SA-Materials weiter verringert werden. Polare funktionelle Gruppen können Carbonsäuregruppen, Hydroxylgruppen und deren Kombinationen beinhalten. Geschützte Formen dieser funktionellen Gruppen beinhalten instabile Ester und Ether. Das Ausmaß der Polaritätsänderung kann durch Vergleichen der Löslichkeit des belichteten und des unbelichteten Fotolacks in einem nicht alkalischen Entwickler gemessen werden. Der belichtete Fotolack, der eine erhöhte Polarität aufweist, ist in einem bestimmten nicht alkalischen Entwickler weniger löslich als der unbelichtete Fotolack. Bei einer Ausführungsform umfasst der nicht alkalische Entwickler dasselbe Lösemittel, das zum Gießen des SA-Materials auf der Fotolack-Vorstruktur verwendet wird. Der nicht alkalische Entwickler umfasst ein organisches Lösemittel. Ein organischer nicht alkalischer Entwickler enthält kein primäres, sekundäres oder tertiäres Amin.
  • Der Begriff ”chemische Verstärkung” ist in der Fotolacktechnik bestens bekannt und betrifft die katalytische Reaktion funktioneller Gruppen des Fotolacks, die durch eine fotochemisch erzeugte Substanz katalysiert wird. Ein Überblick über die Grundlagen der chemischen Verstärkung und deren Anwendung auf die Fotolackchemie wurde von Ito in ”Chemical Amplification Resists for Microlithography”, Adv. Polym. Sci., Bd. 172, S. 37 bis 245 (2005) veröffentlicht. Die am weitesten verbreiteten chemisch verstärkten Fotolacke verwenden fotochemische Säurebildner (photoacid generator, PAG) und der am häufigsten verwendete Reaktionsmechanismus ist die säurekatalysierte Freilegung geschützter polarer funktioneller Gruppen. Zum Beispiel können säureempfindliche Ester durch eine fotochemisch erzeugte Säure in stärker polare Carbonsäuregruppen umgewandelt werden. Die chemische Verstärkung tritt normalerweise während des Aushärtens nach dem Belichten ein, wenn ein fotochemisch erzeugtes Säuremolekül die Aufhebung des Schutzes vieler Estergruppen in den belichteten Bereichen katalysiert. Der entstehende ungeschützte Fotolack weist im Vergleich zum frisch belichteten Fotolack oder zum unbelichteten Fotolack eine erhöhte Polarität auf.
  • Der Begriff ”nicht vernetzend” betrifft den Mechanismus, durch welchen der Fotolack seine Löslichkeit in Bezug auf die jeweilige Entwicklerlösung wechselt. Bei den hierin beschriebenen Verfahren wirkt der Fotolack aus den oben dargelegten Gründen nicht durch einen Vernetzungsmechanismus, sondern in erster Linie durch einen Polaritätswechselmechanismus. Es sollte klar sein, dass es von Vorteil sein kann, wenn während der Belichtung und den Aushärtungsprozessen nach der Belichtung in den belichteten Bereichen des Fotolacks bestimmte Vernetzungs- oder Kopplungsreaktionen ablaufen; diese Reaktionen sollten jedoch nicht so weit verlaufen, dass das belichtete Fotolack-Material sowohl in einem Fotolack-Entwickler aus Tetramethylammoniumhydroxid als auch in organischen Lösemitteln zum Ablösen des Fotolacks (z. B. Propylenkarbonat oder Gamma-butyrolacton) unlöslich wird. Zum Beispiel können während des Aushärtens bei höheren Temperaturen in Anwesenheit einer starken fotochemisch erzeugten Säure bestimmte Carbonsäureanhydridbindungen gebildet werden; diese Bindungen können jedoch durch Tetramethylammoniumhydroxid aufgespaltet werden und würden das Ablösen des strukturierten Fotolacks nicht verhindern.
  • Unter einem ”Positiv-Fotolack” ist hierin ein Fotolack zu verstehen, der nach Belichtung mit einer Strahlung in einem alkalischen Entwickler löslicher wird. Der Positiv-Fotolack vernetzt nicht, wenn er belichtet, entwickelt oder wahlweise vor oder nach der Entwicklung ausgehärtet wird. Ein ”Negativ-Fotolack” wird nach Belichtung in einem alkalischen Entwickler weniger löslich, was normalerweise auf einen fotochemisch ausgelösten Vernetzungsmechanismus zurückzuführen ist.
  • Unter Negativ-Entwicklung” ist zu verstehen, dass während der Entwicklung die unbelichteten Bereiche der Fotolackschicht entfernt werden. Unter ”Positiv-Entwicklung” ist zu verstehen, dass während der Entwicklung die belichteten Bereiche der Fotolackschicht entfernt werden.
  • Es ist klar, dass in manchen Fällen (z. B., wenn kompakte hochauflösende Strukturen gebildet werden) die gesamte Fotolackschicht eine gewisse Strahlungsdosis empfangen kann. Unter einem ”unbelichteten Fotolack” ist der Teil der Fotolackschicht zu verstehen, der eine zu geringe Dosis empfangen hat, die zum Wechsel der Löslichkeit des Fotolacks in einem bestimmten Entwickler gegenüber dem unbelichteten Fotolack, entweder vor oder nach einem wahlweisen Aushärten, nicht ausreicht. Ein ”belichteter Fotolack” ist ausreichend stark belichtet worden, sodass die Löslichkeit des Fotolacks in einem bestimmten Entwickler entweder vor oder nach einem wahlweisen Aushärten gegenüber dem unbelichteten Fotolack wechselt.
  • Unter dem Gießen von SA-Material auf eine Fotolackschicht ist zu verstehen, dass die Lösung in Kontakt mit den Oberflächen der Fotolackmerkmale und den durch die Entwicklung freigelegten Bereichen der Substratoberfläche gelangt. Wenn auf die chemischen Komponenten, das Reaktionsvermögen, die Löslichkeit und/oder Oberflächeneigenschaften des strukturierten Fotolacks Bezug genommen wird, ist klar, dass ein solcher Bezug nur das Fotolackmaterial und nicht das Substrat oder die Substratoberfläche betrifft, wenn nicht anderes angegeben ist. Wenn Bezug auf die chemischen Komponenten, das chemische Reaktionsvermögen, die Löslichkeit und/oder Oberflächeneigenschaften der Substratoberfläche oder Substratschichten genommen wird, betrifft ein solcher Bezug nur die Substratoberfläche oder Substratschicht und nicht das Fotolackmaterial, wenn nicht anderes angegeben ist.
  • Die Bildung einer Schichtstruktur unter Verwendung eines SA-Materials wird in den schematischen Schichtdarstellungen der 2A bis 2H veranschaulicht. Das Substrat 10 von 2A weist eine Oberfläche 12 auf, auf welcher eine Positiv-Fotolackschicht 14 aufgebracht ist (2B, Struktur 16). Durch das Belichten einzelner Strukturbereiche der Fotolackschicht 14 mit einer ersten (nicht gezeigten) Strahlung wird eine belichtete Fotolackschicht 22 erzeugt (2C, Struktur 28). Die belichtete Fotolackschicht 22 besteht aus Bereichen mit belichtetem Fotolack 21 und unbelichtetem Fotolack 26. In dem belichteten Fotolack 21 wird im Ergebnis der Belichtung oder in Kombination mit einem Aushärten nach der Belichtung eine chemische Umwandlung ausgelöst. Durch anschließendes Entwickeln der belichteten Fotolackschicht 22 unter Verwendung eines bestimmten nicht alkalischen Entwicklers wird der unbelichtete Fotolack 26 entfernt, wodurch eine strukturierte Fotolackschicht 30 entsteht (2D, Struktur 32). Die strukturierte Fotolackschicht 30 umfasst Fotolackmerkmale 29, die entwickelten Fotolack 24 (auch als Fotolack vor der Behandlung bezeichnet) umfassen, welcher auf einer ersten Oberfläche 34 des Substrats 10 aufgebracht ist. Das Fotolackmerkmal 29 weist eine obere Fläche 27 und eine Seitenwand 25 auf. Der entwickelte Fotolack 24 weist eine erhöhte Polarität im Vergleich zu dem unbelichteten Fotolack 26 auf, was zum Beispiel auf die Acidolyse geschützter polarer Säuregruppen wie beispielsweise tertiärer Ester zurückzuführen ist, die durch die Belichtung und wahlweise ein Aushärten nach dem Belichten gebildet wurden. Die erhöhte Polarität macht den belichteten Fotolack 21 in einem bestimmten nicht alkalischen Entwickler unlöslich. Der unbelichtete Fotolack 26 ist in dem nicht alkalischen Entwickler löslich. Insbesondere umfasst der nicht alkalische Entwickler ein organisches Lösemittel mit nidriger Polarität (z. B. Anisol), das den unbelichteten (oder nur mit einer niedrigen Dosis belichteten) Fotolack in Anwesenheit des stärker belichteten Fotolacks selektiv löst. Demzufolge bringt die Entwicklung mit einem nicht alkalischen Entwickler ein Negativbild hervor (d. h., das unbelichtete Fotolackmaterial wird selektiv entfernt). 7, die im Folgenden ausführlicher erläutert wird, veranschaulicht die Positiventwicklung unter Verwendung eines herkömmlichen wässrigen alkalischen Entwicklers, TMAH, und die Negativentwicklung unter Verwendung von Anisol.
  • Die Topografie der strukturierten Fotolackschicht 30 kann potenziell die Selbstorganisation von SA-Materialien durch das räumliche Verteilen von SA-Materialien im Grabenbereich und/oder auf dem Plateau (obere Fläche 27 in 2D) in Abhängigkeit von der Oberflächenaffinität des entwickelten Fotolacks 24 und des Substrats für das SA-Material steuern. Bei der Graphoepitaxie ist die Dicke (d. h. die Höhe h in 2D) des entwickelten Fotolacks 24 normalerweise größer als oder vergleichbar (wie gezeigt) der Dicke des SA-Materials. Genauer gesagt, die Oberflächeneigenschaften der Seitenwände 25 der Gräben und der Substratoberfläche 18 sollten zum Steuern der Selbstorganisation des SA-Materials geeignet sein. Bei einer bestimmten Ausführungsform weist ein Bereich des selbstorganisierenden Materials eine Affinität zu den Seitenwänden 25 auf.
  • Eine Lösung des SA-Materials in einem geeigneten Gießlösemittel wird auf die strukturierte Fotolackschicht 30 gegossen, ohne den unvernetzten entwickelten Fotolack 24 aufzulösen. Das Entfernen des Gießlösemittels führt dazu, dass das SA-Material 36 im Wesentlichen im Graben 23 der strukturierten Fotolackschicht 30 aufgebracht wird, die auf der Substratoberfläche 40 abgeschieden ist (2E, Struktur 38). Das SA-Material kann sich spontan oder wahlweise unter Aushärten und/oder Tempern selbst organisieren, um eine Struktur von Bereichen des auf der Substratoberfläche 40 abgeschiedenen selbstorganisierenden Materials zu bilden. Die Substratoberfläche 40 kann dieselben oder im Wesentlichen dieselben Eigenschaften wie die Substratoberfläche 12 und/oder die Substratoberfläche 18 aufweisen. Das heißt, die Eigenschaften der Substratoberfläche 12 bleiben durch die Substratoberfläche 18 und die Substratoberfläche 40 nach dem Belichten und Entwickeln der Fotolackschicht 14 und dem Gießen des SA-Materials erhalten. Die Struktur von Bereichen kann zum Beispiel Bereiche 42 und 44 von selbstorganisiertem Material umfassen, was in Struktur 46 (2F) gezeigt ist. Auch andere Strukturen von Bereichen sind möglich. Zum Beispiel kann es sich bei den Bereichen 42 und 44 um senkrecht in Bezug auf das Substrat ausgerichtete lamellenförmige Bereiche handeln. Die lamellenförmigen Bereiche können sich je nach den relativen Affinitäten der Seitenwände 25 und der Oberfläche 12 für die Bereiche 42 und 44 parallel zur Seitenwand der Gräben (übereinstimmend mit 2F) oder senkrecht (nicht gezeigt) zur Seitenwand der Gräben bilden. Darüber hinaus kann der Grabenbereich 23 je nach der Geometrie und den Oberflächeneigenschaften des Grabenbereichs einen einzigen Bereich des selbstorganisierten Materials oder mehr als zwei verschiedene Bereiche umfassen.
  • Die Bereiche 42 und 44 umfassen verschiedene Komponenten des SA-Materials (d. h., sie weisen eine unterschiedliche Zusammensetzung auf). Der Bereich 42 kann zum Beispiel einen Block eines Block-Copolymers und der Bereich 44 einen davon verschiedenen Block eines Block-Copolymers umfassen. Ferner kann einer der Bereiche, zum Beispiel der Bereich 44, in Anwesenheit des Bereichs 42 selektiv entfernt werden (z. B. durch Plasmaätzen oder Nassätzen), um eine Schichtstruktur 54 zu erzeugen (2G). Durch das selektive Entfernen von Bereichen 44 wird eine Reliefstruktur 50 mit Öffnungen 48 erzeugt, welche den Blick auf die freiliegende Oberfläche 52 des Substrats 10 freigeben. Die freiliegende Oberfläche 52 kann dieselben oder andere Oberflächeneigenschaften als die freiliegende Oberfläche 12 aufweisen. Die Reliefstruktur 50 kann gleichzeitig oder anschließend auf das Substrat 10 übertragen werden, was durch die veränderten Bereiche 56 in 2H angezeigt wird. Bei dem Bereich 56 kann es sich um eine Öffnung, eine Mulde oder einen chemisch veränderten Zustand des Substrats 10 handeln. Alternativ können die Öffnungen mit einem anderen Material (z. B. einem (nicht gezeigten) leitenden Metall) gefüllt werden. Mittels des oben beschriebenen Verfahrens wird auf vorteilhafte Weise eine Struktur von Bereichen eines selbstorganisierten Materials auf einer Oberfläche des Substrats gebildet, ohne die strukturierte Fotolackschicht zu entfernen.
  • Die Polaritätsänderung des belichteten Fotolacks 21 kann je nach dem Fotolackmaterial, den Belichtungsbedingungen, dem Aushärten nach der Belichtung und anderen Faktoren variieren. Bei einer ausreichend starken Polaritätsänderung kann der belichtete und ausgehärtete Fotolack in einem Entwicklerlösemittel (z. B. Anisol) unlöslich werden, während es in einem anderen, stärker polaren organischen Lösemittel (z. B. PGMEA) löslich bleibt. Durch eine zusätzliche Behandlung nach dem Entwickeln kann der behandelte Fotolack in PGMEA unlöslich werden. Sowohl der entwickelte Fotolack als auch der behandelte Fotolack können vor oder nach der Selbstorganisation des SA-Materials leicht unter Verwendung eines wässrigen alkalischen Standardentwicklers und/oder eines geeigneten zweiten organischen Lösemittels wie beispielsweise eines Lösemittels zum Ablösen des Fotolacks (z. B. Propylencarbonat oder Gamma-Butyrolacton) entfernt werden. Mit dem zweiten organischen Lösemittel kann das SA-Material auch entfernt werden, um Wafer nach dem Prozess der Selbstorganisation wieder aufbereiten zu können. Die Wiederaufbereitung eines Wafers kann zum Beispiel erfolgen, wenn bei der Prüfung nach dem Entwickeln in der Fotolackstruktur unzulässige Defekte (z. B. Fehljustierung) festgestellt werden. Der Wafer kann mit dem Lösemittel abgewaschen werden, um den Fotolack zu entfernen, bevor der Wafer geätzt oder anderweitig irreversibel verändert wird. Der Wafer kann dann wieder in den Prozess zur Erzeugung der Fotolackstruktur zurückgeführt werden.
  • Wie oben erwähnt kann die Behandlung der strukturierten Fotolackschicht 30 nach dem Entwickeln vor dem Aufbringen des SA-Materials durchgeführt werden. Dies kann von Vorteil sein, wenn zum Beispiel Defekte infolge zu starker Belichtung und Aushärtung vor dem Entwickeln behoben werden sollen oder wenn für das SA-Material stärker polare organische Lösemittel verwendet werden sollen, zum Beispiel Monoalkohole, Glycole, Glycolmonoether und Glycolmonoetherester (z. B. PGMEA). Eine behandelte strukturierte Fotolackschicht 37 ist in der schematischen Darstellung von 3, Struktur 33, gezeigt. Die behandelte strukturierte Fotolackschicht 37 kann erzeugt werden, indem die strukturierte Fotolackschicht 30 der Struktur 32 (2D) zum Beispiel nach der Entwicklung einer geeigneten Behandlung unterzogen wird. Die behandelte strukturierte Fotolackschicht 37 umfasst den behandelten Fotolack 35 und weist im Vergleich zu dem entwickelten Fotolack 34 (2D) eine verringerte Löslichkeit in einem Gießlösemittel des SA-Materials auf. Ebenso wie der entwickelte Fotolack 24 ist der behandelte Fotolack 35 unvernetzt und in wässrigen alkalischen Entwicklern (d. h. TMAH) oder stark polaren zweiten organischen Lösemitteln (z. B. Propylencarbonat oder Gamma-Butyrolacton) löslich. Die Behandlung verursacht im Wesentlichen kein Wegschwimmen des unvernetzten Fotolacks, wodurch die Fotolackmerkmale 29 beschädigt oder verzerrt werden könnten.
  • Die Behandlung nach der Entwicklung kann auf fotochemischem, thermischem, chemischem Wege oder deren Kombination erfolgen. Die verringerte Löslichkeit der behandelten strukturierten Fotolackschicht 37 in einem Gießlösemittel des SA-Materials kann je nach Fotolackmaterial und Behandlungsbedingungen variieren. Zum Beispiel kann die strukturierte Fotolackschicht 30 ein zweites Mal mit einer zweiten Strahlung belichtet werden, um die behandelte strukturierte Fotolackschicht 37 zu bilden. Die zweite Belichtung kann mit einer einzelnen Wellenlänge der zweiten Strahlung oder einer Kombination geeigneter Wellenlängen der zweiten Strahlung (breitbandig) so lange erfolgen, bis die Belichtung wirksam zum Auslösen des gewünschten Löslichkeitsverhaltens der behandelten strukturierten Fotolackschicht 37 im SA-Gießlösemittel führt. Bei einer Ausführungsform umfasst die zweite Strahlung die zum Bilden der strukturierten Fotolackschicht 30 verwendeten erste Strahlung. Die Behandlung kann in einer Flutbelichtung bestehen. Bei der Flutbelichtung kann es sich um eine einzige herkömmliche Ganzflächenbelichtung oder eine Kombination herkömmlicher Ganzflächenbelichtungen handeln. Die Intensität der Flutbelichtung kann von 1 bis 200 mJ/cm2, genauer von 2 bis 150 mJ/cm2, und noch genauer von 3 bis 100 mJ/cm2 betragen. Die Behandlung kann auch durch zeilenweise Belichtung mittels einer digitalen Schreibeinheit erfolgen, die Lichtquellen wie einen Laser, eine Leuchtdiode (light-emitting diode, LED) oder eine Katodenstrahlröhre (cathode ray tube, CRT) verwendet. An die zweite Belichtung kann sich eine Wärmebehandlung anschließen, um die Bildung polarer Gruppen in der behandelten strukturierten Fotolackschicht chemisch zu verstärken. Zum Beispiel kann durch die Flutbelichtung zusätzliche Säure aus dem nicht umgesetzten fotochemischen Säurebildner (PAG) freigesetzt werden, die beim nachfolgenden Erwärmen den Verlust des Schutzes weiterer säureempfindlicher Carbonsäureester, aromatischer Acetale/Ketale und/oder Karbonate katalysiert und dadurch die Konzentration an Karbonsäure- und Phenolgruppen in der behandelten strukturierten Fotolackschicht erhöht. Durch eine hinreichend starke Polaritätsänderung kann die behandelte strukturierte Fotolackschicht entweder in einem Lösemittel mit geringer Polarität (z. B. Anisol) oder in einem stärker polaren organischen Lösemittel unlöslich gemacht werden, die zum Lösen und Gießen des SA-Materials (z. B. PGMEA) verwendet werden, während die Löslichkeit in einem wässrigen alkalischen Entwickler und/oder einem zweiten organischen Lösemittel erhalten bleibt, ohne dass der Fotolack vernetzt wird.
  • Besonders bevorzugt wird die strukturierte Fotolackschicht einer Wärmebehandlung unterzogen. Die Wärmebehandlung kann das Erwärmen der strukturierten Fotolackschicht oder der zweiten belichteten strukturierten Fotolackschicht bei einer Temperatur von 60°C bis 300°C, von 80°C bis 250°C, von 80°C bis 200°C, von 100°C bis 200°C, von 150°C bis 200°C, von 165°C bis 200°C oder von 165°C bis 170°C umfassen. Das Erwärmen in jedem der obigen Temperaturbereiche kann mindestens 1 s, 1 s bis 1 Tag, 1 s bis 12 Stunden, 1 s bis 1 Stunde, 10 s bis 30 Minuten, 10 s bis 15 Minuten, 1 Minute bis 15 Minuten, 1 Minute bis 10 Minuten, 2 Minuten bis 10 Minuten, 3 Minuten bis 10 Minuten oder 4 Minuten bis 6 Minuten durchgeführt werden. Besonders bevorzugt kann die strukturierte Fotolackschicht oder die zweite belichtete strukturierte Fotolackschicht 1 s bis 1 Stunde bei 60°C bis 300°C, 10 s bis 10 Minuten bei 80°C bis 250°C, 2 Minuten bis 10 Minuten bei 150°C bis 200°C oder 3 Minuten bis 10 Minuten bei 165°C bis 175°C erwärmt werden. Ganz besonders bevorzugt kann die strukturierte Fotolackschicht oder die zweite belichtete strukturierte Fotolackschicht 4 Minuten bis 6 Minuten auf 165°C bis 175°C erwärmt werden. Bei einer Ausführungsform wird die Wärmebehandlung ohne fotochemische oder chemische Behandlung durchgeführt. Bei einer anderen Ausführungsform umfasst die Behandlung das Erwärmen der strukturierten Fotolackschicht auf 60°C bis 300°C für 1 s bis 1 Tag, um eine behandelte strukturierte Fotolackschicht (”behandelte Schicht”) zu bilden, die unvernetzten, behandelten Fotolack umfasst.
  • Eine chemische Behandlung kann zum Beispiel beinhalten, dass die strukturierte Fotolackschicht 30 in Kontakt mit den Dämpfen einer flüchtigen Lewis-Säure wie beispielsweise Salzsäure, Schwefelsäure, Salpetersäure oder einer Sulfonsäure gebracht wird. Bei jeder Art von Behandlung ist die chemische Veränderung des Fotolacks vorzugsweise gleichmäßig über den gesamten Fotolack, nicht nur an der Oberfläche, verteilt.
  • Dann kann eine Lösung des SA-Materials auf die behandelte strukturierte Fotolackschicht 37 gegossen werden, ohne den behandelten Fotolack 35 aufzulösen, woran sich wie oben beschrieben die Selbstorganisation des SA-Materials anschließt. Der Vorteil besteht darin, dass der behandelte unvernetzte Fotolack 35 vor oder nach dem Gießen des SA-Materials leicht unter Verwendung eines wässrigen alkalischen Entwicklers oder eines stark polaren zweiten organischen Lösemittels entfernt werden kann.
  • Die chemische Epitaxie wird ferner in dem Prozess der 4A bis 4D veranschaulicht. In 4A besteht die Struktur 60 aus einer strukturierten Fotolackschicht 64, die Fotolackmerkmale 65 und freiliegende Substratoberflächen 66 umfasst. Die Fotolackmerkmale 65 umfassen unvernetzten belichteten Fotolack 62, der auf einer Substratoberfläche 34 des Substrats 10 aufgebracht ist. Der Grabenbereich 63 weist eine Breite w und ein Fotolackmerkmal 65 weist eine Breite z auf. Der Teilungsabstand des strukturierten Fotolacks (w + z) ist ungefähr gleich dem Teilungsabstand der selbstorganisierenden Materialien. Die strukturierte Fotolackschicht 64 kann wie oben beschrieben fotochemisch, thermisch und/oder chemisch weiterbehandelt werden, um die Löslichkeit des belichteten Fotolackmaterials 62 in einem Gießlösemittel des SA-Materials zu verringern. Bei diesem Beispiel stellen die strukturierte Fotolackschicht 64 und die Substratoberfläche 66 eine chemische Vorstruktur für die Selbstorganisation des SA-Materials bereit. Die Höhe j des Grabens 63 ist im Verhältnis zur Dicke des SA-Materials klein genug, sodass der Einfluss der Seitenwand 67 auf die Selbstorganisation vernachlässigbar ist; das heißt, die Selbstorganisation wird in erster Linie durch die obere Fotolackfläche 68 und die Substratoberfläche 66 gesteuert. in diesem Fall weisen die obere Fotolackfläche 68 und die Substratoberfläche 66 unterschiedliche Oberflächenbenetzungseigenschaften für das SA-Material auf. Die Selbstorganisation kann durch die (gezeigte) obere Fotolackfläche 68 oder durch die Substratoberfläche 66 oder durch beide gesteuert werden, wenn jede Fläche eine bevorzugte Affinität für einen anderen Bereich des SA-Materials aufweist. Bei diesem Beispiel weist die obere Fotolackfläche 68 eine bevorzugte Affinität für den Bereich 76 des selbstorganisierten Materials auf (4B). Die Oberflächeneigenschaften der oberen Fotolackfläche 68 können verändert werden, um die chemische Affinität vor dem Aufbringen des SA-Materials abzustimmen. Die Höhe j (d. h. die Dicke) des unvernetzten, belichteten Fotolacks 62 sollte kleiner als die Dicke des SA-Materials sein, um die Selbstorganisation in erster Linie durch chemische Wechselwirkungen zu steuern. Die Eigenschaften der Substratoberfläche 66 können den Eigenschaften der Substratoberfläche 12 gleich oder im Wesentlichen gleich sein.
  • Ebenso wie zuvor wird eine Lösung des SA-Materials auf die strukturierte Fotolackschicht 64 gegossen, ohne das Fotolackmerkmal 65 aufzulösen. Das Lösemittel für das SA-Material ist insbesondere ein organisches Lösemittel. Das Entfernen des organischen Lösemittels und wahlweise das Aushärten und/oder Tempern der Schicht führt zu einer Struktur von Bereichen 74, welche Bereiche 70 und 76 des selbstorganisierten Materials umfassen (4B, Struktur 72). Zu sehen ist, dass das selbstorganisierte Material eine Struktur von Bereichen 74 bildet, die auf der oberen Fotolackfläche 68 und der Substratoberfläche 66 angeordnet sind (4A). Bei dem gezeigten Beispiel weist die obere Fotolackfläche 68 eine bevorzugte Affinität für Bereiche 76 auf; deshalb organisieren sich einige Bereiche 76 auf der oberen Fotolackfläche 68 vorzugsweise selbst. Bei diesem Beispiel kann die Substratoberfläche 66 eine bevorzugte Affinität für den Bereich 70, nicht jedoch für den Bereich 76 aufweisen. Alternativ kann das Substrat 66 für keinen der beiden Bereiche 70 oder 76 eine bevorzugte Affinität aufweisen, sondern die senkrechte Richtung beider Bereiche 76 oder 70 unterstützen. Auf diese Weise steuert die strukturierte Fotolackschicht 64 die Lage der selbstorganisierten Bereiche.
  • Wie dieses Beispiel zeigt, können die Oberflächeneigenschaften sowohl des belichteten Fotolacks 62 als auch der freiliegenden Substratoberfläche auch nach dem Belichten einzelner Strukturbereiche, dem Entwickeln und wahlweise Aushärten der Fotolackschicht noch der DSA förderlich sein. Die Auswirkung dieser Behandlungen auf die Oberflächeneigenschaften des Substrats sollten unabhängig von ihren Auswirkungen auf den belichteten Fotolack 62 betrachtet werden.
  • Einer der Bereiche 70 oder 76 kann in Anwesenheit des anderen Bereichs selektiv entfernt werden, um eine Reliefstruktur 84 zu erzeugen (4C). Die Reliefstruktur 84 umfasst Öffnungen 82 (4C, Struktur 80), die in das Substrat übertragen werden können, um veränderte Substratbereiche 88 zu bilden (4C, Struktur 86). Bei diesem Beispiel ist die Breite (w) des Grabenbereichs 63 zuzüglich der Breite (z) des Fotolackmerkmals 65 ungefähr gleich dem Teilungsabstand der selbstorganisierten Materialien, sodass die räumliche Dichte der strukturierten SA-Bereiche in Bezug auf die strukturierte Fotolackschicht 64 unverändert bleibt.
  • Wie 4C zeigt, weist die Reliefstruktur 84 ebenfalls dieselbe räumliche Dichte wie die darunter liegende strukturierte Fotolackschicht 64 auf. Allgemein kann die Breite z des Fotolackmerkmals 65 größer oder kleiner als die Breite des Bereichs 76 sein, für den sie eine bevorzugte Affinität aufweist. Der Teilungsabstand der chemischen Vorstruktur (w + z) sollte ungefähr einem ganzzahligen Vielfachen des Abstands der selbstorganisierten Bereiche der Block-Copolymere entsprechen. Zum Steuern einer Polymermischung ohne spezifische Periodizität sollte der Teilungsabstand der chemischen Vorstruktur (w + z) ungefähr von derselben Größenordnung wie die Abmessungen der selbstorganisierten Bereiche von Polymermischungen sein, die mindestens zwei nicht miteinander mischbare Polymere umfassen.
  • Eine Vervielfachung der Frequenz in Bezug auf die strukturierte Fotolackschicht kann erreicht werden, wenn w + z größer als oder zweimal so groß ist wie der Teilungsabstand der selbstorganisierten Bereiche ist. Dazu kann es kommen, wenn die Breite (z) des Fotolackmerkmals im Vergleich zur Breite der freiliegenden Substratoberflächen (w) relativ klein ist, was in den schematischen Schichtdarstellungen der 5A bis 5C veranschaulicht ist. In 5A weist der Grabenbereich 69 eine Breite (w) und das Fotolackmerkmal 67 eine Breite (z) auf, wobei w ungefähr gleich 3z ist. Das Gießen des SA-Materials auf die strukturierte Fotolackschicht 102 führt zu einer selbstorganisierten SA-Schicht 104, die abwechselnde Bereiche 70 und 76 aufweist (5B, Struktur 106). Der Teilungsabstand w + z der strukturierten Fotolackschicht 102 (5A) ist zweimal so groß wie der Teilungsabstand der selbstorganisierten Bereiche 76 und 70 in Struktur 106 (5B). Durch das selektive Entfernen eines Bereichs 70 entsteht eine Reliefstruktur 108 (5C), die auch eine doppelt so große räumliche Dichte wie die strukturierte Fotolackschicht 102 aufweist. Wie gezeigt, weist die Fotolackoberfläche 68 eine bevorzugte Affinität für den Bereich 76 auf und die freiliegende Substratoberfläche 66 unterstützt die senkrechte Ausrichtung der Bereiche 70 und 76.
  • Zur weiteren Veranschaulichung eines mehrschichtigen Substrats wird die Schichtstruktur 54 von 2G in der Schichtstruktur 90 von 6 mit der Ausnahme wiedergegeben, dass das Substrat 92 von 6 zwei Schichten aufweist, eine Unterschicht 94 und eine Zwischenschicht 96. Bei der Unterschicht 94 des Substrats 92 kann es sich zum Beispiel um einen Silicium-Wafer handeln. Bei der Zwischenschicht 96 kann es sich um eine ARC-Schicht handeln, wobei die freiliegende Oberfläche 52 eine Oberfläche der ARC-Schicht ist. Desgleichen kann in ähnlicher Weise auch ein mehrschichtiges Substrat in der Schichtstruktur 80 von 4C verwendet werden (nicht gezeigt).
  • Vorteilhafterweise verwenden bevorzugte Verfahren die Kombination eines hochauflösenden, nicht vernetzenden Positiv-Fotolacks, der mittels eines Polaritätswechsel-Mechanismus funktioniert, und einer Negativentwicklung, um eine strukturierte Fotolackschicht zu bilden, die unvernetzten, belichteten Fotolack umfasst. Gerade der belichtete Fotolack weist eine erhöhte Polarität im Vergleich zum unbelichteten Fotolack auf. Die erhöhte Polarität ermöglicht das selektive Entfernen des unbelichteten Fotolacks unter Verwendung eines nicht alkalischen Entwicklers, um die strukturierte Fotolackschicht zu bilden. Es gibt, wenn überhaupt, nur wenige handelsübliche hochauflösende Negativ-Fotolacke, die nach diesem Mechanismus funktionieren. Die meisten Negativ-Fotolacke sind für die Entwicklung in einem alkalischen Entwickler zum Entfernen von unbelichtetem Fotolack ausgelegt und bewirken die Unlöslichkeit in dem alkalischen Entwickler nicht durch eine Polaritätsänderung, sondern durch eine Vernetzung des belichteten Fotolacks. Bei den vorliegenden Verfahren bewirkt die Polaritätsänderung (z. B. durch Acidolyse von Estergruppen zur Bildung von Carbonsäuregruppen) in den belichteten Bereichen eines Positiv-Fotolacks die Unlöslichkeit des belichteten Fotolacks in dem nicht alkalischen Entwickler. Somit wird hierin ein herkömmlicher hochauflösender Positiv-Fotolack verwendet, der normalerweise zum Erzeugen eines Positivbildes nach Entwicklung mit einem alkalischen Entwickler verwendet wird, um ein Negativbild unter Verwendung eines nicht alkalischen Entwicklers zu erzeugen.
  • Die Kombination von hochoptimierten herkömmlichen Positiv-Fotolacken und Negativ-Entwicklung erweist sich bei der Erzeugung von topografischen oder chemischen Vorstrukturen als wirkungsvoll, die sich zur Selbstorganisation eignen. Durch die Negativ-Entwicklung unter Verwendung eines nicht alkalischen Entwicklers wird auf vorteilhafte Weise die Zerstörung der Unterschicht oder die Veränderung der Oberflächeneigenschaften der Unterschicht auf ein Minimum reduziert, die für eine hochqualitative DSA von entscheidender Bedeutung sind. Zerstörungen der Unterschicht können zum Beispiel durch chemische Veränderungen in den belichteten Bereichen der Fotolackschicht verursacht werden. Bei den vorliegenden Verfahren bleiben die für die DSA günstigen Oberflächeneigenschaften während des gesamten Prozesses des Aufbringens, Belichtens und Entwickelns der Fotolackschicht erhalten, wobei nach jedem der Arbeitsschritte wahlweise ein Aushärten erfolgen kann.
  • Die Selektivität eines beispielhaften handelsüblichen Positiv-Fotolacks für die Wellenlänge 193 nm (JSR AR2928JN) gegenüber verschiedenen Entwicklerlösemitteln ist in 7 grafisch dargestellt. Der Positiv-Fotolack wurde auf ein Substrat aufgebracht, um eine Fotolackschicht mit einer Dicke von ungefähr 1200 Angström zu bilden. Nach dem Aufbringen wurde die Fotolackschicht 60 s bei einer Temperatur von 120°C ausgehärtet (d. h. nach dem Gießen der Fotolackschicht erwärmt). Dann wurde die Fotolackschicht einer Strahlung im tiefen Ultraviolett (deep ultraviolet, DUV) von 193 nm ausgesetzt und nach dem Belichten 60 s bei einer Temperatur von 115°C ausgehärtet. Die belichtete Fotolackschicht wurde 30 s entweder durch einen wässrigen basischen Standardentwickler von 0,26 N Tetramethylammoniumhydroxid (TMAH) oder durch einen nicht alkalischen Entwickler (z. B. Anisol oder PGMEA) entwickelt. Die Kontrastkurven zeigen, dass der alkalische TMAH-Entwickler den belichteten Fotolack selektiv aufgelöst hat (d. h., die Schichtdicke geht bei höheren Belichtungsdosen gegen null), was für die Positiventwicklung typisch ist. Der nicht alkalische Entwickler Anisol hingegen hat den unbelichteten Fotolack selektiv aufgelöst (d. h. Negativentwicklung). PGMEA war unselektiv und hat unter diesen Bedingungen sowohl den belichteten als auch den unbelichteten Fotolack aufgelöst.
  • Das Substrat und insbesondere die Oberfläche des Substrats kann anorganische oder organische Materialien wie beispielsweise Metalle, Kohlenstoff oder Polymere umfassen. Genauer gesagt, das Substrat kann ein beliebiges Halbleitermaterial umfassen, darunter zum Beispiel Si, SiGe, SiGeC, SiC, Ge-Legierungen, GaAs, InAs, InP sowie andere III-V- oder II-VI-Verbindungshalbleiter. Das Substrat kann auch einen geschichteten Halbleiter wie beispielsweise Si/SiGe oder einen Halbleiter-auf-Isolator (semiconductor-on-insulator, SOI) umfassen. Insbesondere kann das Substrat ein siliciumhaltiges Halbleitermaterial (d. h. ein Halbleitermaterial, das Si beinhaltet), enthalten. Das Halbleitermaterial kann dotiert oder undatiert sein oder sowohl dotierte als auch undatierte Bereiche enthalten. Oberflächen, die eines von Silicium-Eigenoxiden, Siliciumoxiden oder Siliciumnitriden umfassen, werden vorzugsweise zum Beispiel durch PMMA-Blockkomponenten eines PS-b-PMMA-Block-Copolymers, jedoch nicht durch dessen PS-Blockkomponenten benetzt. Deshalb können Oberflächen, welche diese Materialien umfassen, als Haftmaterialien für die gezielte Selbstorganisation eines PS-b-PMMA-Block-Copolymers dienen.
  • Das Substrat kann Material zur Steuerung der Oberflächenaffinität umfassen, das der Oberfläche in verschiedenen Bereichen des SA-Materials gezielt eine bestimmte Affinität verleiht. Bei einem Beispiel weist das Material zur Steuerung der Oberflächenaffinität keine bevorzugte Affinität (z. B. im Wesentlichen ähnliche Affinitäten) für alle Bereiche des SA-Materials auf. Nicht als Einschränkung anzusehende Beispiele von Materialien zur Steuerung der Oberflächenaffinität beinhalten in ARC-Schichten verwendete Materialien, die statistische Copolymere, die aus der Gruppe der Homopolymere ausgewählt werden, oder Copolymere beinhalten können, die aus der Gruppe der Polybisphenole, Polysulfone, Polycarbonate, Polyhydrochinone, Polyphthalate, Polybenzoate, Polyphenylether, Polyhydrochinonalkylate, Polycarbamate, Polymalonate und deren Mischungen ausgewählt werden. Diese Komponenten werden üblicherweise so gewählt, dass sie die gewünschten physikalischen Eigenschaften des Polymers (optische Konstanten, Oberflächenenergie) einstellen. Die Polymerkomponenten enthalten normalerweise auch eine Vielzahl reaktiver Bindungsstellen für die Reaktion mit einer Vernetzungskomponente, die entlang des Polymers verteilt sind. In ARC-Schichten verwendete Materialien beinhalten im Einzelnen in der US-Patentanmeldung 20090186294 offenbarte Polymere, Poly(4,4'-methylen-bisphenol-co-epichlorhydrin), Poly(4,4'-ethyliden-bisphenol-co-epichlorhydrin), Poly(4,4'-isopropyliden-bisphenol-co-epichlorhydrin), Poly(4,4'-isopropyliden-bis[2-methylphenol]-co-epichlorhydrin), Poly(4,4'-isopropyliden-bis[2,6-dimethylphenol]-co-epichlorhydrin), Poly(4,4'-cyclohexyliden-bisphenol-co-epichlorhydrin), Poly(4,4'-[1-phenylethyliden]-bisphenol-co-epichlorhydrin), Poly(4,4'-trifluorisopropyliden-bisphenol-co-epichlorhydrin), Poly(4,4'-hexafluorisopropyliden-bisphenol-co-epichlorhydrin), Poly(4,4'-sulfonylbisphenol-co-epichlorhydrin), Poly(bisphenol-AF-adipinsäureester), Poly(bisphenol-AF-succinsäureester), Poly(4,4'-hexafluorisopropylidendiphthalat-co-epichlorhydrin), Poly(4,4'-hexafluorisopropylidendiphthalat-co-poly(bisphenol AF)), Poly(4,4'-hexafluorisopropylidenbisbenzoat-co-epichlorhydrin), Poly(3,3',4,4'-benzophenontetracarboxylat-co-epichlorhydrin), Poly(4,4'-hexafluorisopropylidendiphthalat-co-epichlorhydrin-co-2,6-bis[hydroxymethyl]-p-cresol), Poly(3,3',4,4'-benzophenontetracarboxylat-co-epichlorhydrin-co-2,6-bis[hydroxymethyl]-p-cresol), Poly(terephthalat-co-epichlorhydrin), Poly(2-nitroterephthalat-co-epichlorhydrin), Poly(2-nitrophthalat-co-epichlorhydrin), Poly(2-nitroisophthalat-co-epichlorhydrin), Poly(hydrochinon-co-epichlorhydrin), Poly(methylhydrochinon-co-epichlorhydrin), Poly(1,2,4-benzentriol-co-epichlorhydrin), Poly(methylen-bis[4-aminophenyl]-co-glycerolcarbamat), Poly(isopropyliden-bis[4-aminophenyl]-co-glycerolcarbamat), Poly(isopropyliden-bis[3-carboxy-4-aminophenyl]-co-glycerolcarbamat), Poly(methylen-bis[4-hydroxyphenyl]-co-glycerolcarbonat), Poly(isopropyliden-bis[4-hydroxyphenyl]-co-glycerolcarbonat), Poly(isopropyliden-bis[3-carboxy-4-hydroxyphenyl]-co-glycerolcarbonat), Poly(2-phenyl-1,3-propandiolmalonat), Poly(2-phenyl-1,3-propandiol-2-methyl-malonat), Poly(1,3-propandiol-benzylidenmalonat), Poly(2-phenyl-1,3-propandiol-benzylidenmalonat) und mit Glycidylgruppen endsubstituiertes Poly(bisphenol-A-co-epichlorhydrin). Eine ganz spezielle ARC-Schicht wie beispielsweise Shin Etsu A940 umfasst Silicium. Ein anderes in der US-Patentschrift 7 521 090 beschriebenes Material zur Steuerung der Oberflächenaffinität umfasst einen thermischen Säurebildner oder einen fotochemischen Säurebildner und Poly(styrol-co-epoxydicyclopentadien-methacrylat), P(S-r-EDCPMA): –
    P(S-r-EDCPMA)
    wobei x und y jeweils ganze Zahlen gleich oder größer als 1 sind. Andere Materialien zur Steuerung der Oberflächenaffinität beinhalten Poly(styrol-co-methylmethacrylat-co-epoxydicyclopentadien-methacrylat), Poly(styrol-co-methylmethacrylat-co-glycidylmethacrylat), Poly(styrol-co-methylmethacrylat-co-2-hydroxyethylmethacrylat), Poly(styrol-co-methylmethacrylat-co-4-vinylcinnamat), Poly(styrol-co-methylmethacrylat-co-vinyl-benzocyclobutan), Poly(styrol-co-vinyl-benzocyclobutan, Poly(alpha-methylstyrol-co-methylmethacrylat) und Poly(methyl-glutarimid) (PMGI). Andere Materialien zur Steuerung der Oberflächenaffinität umfassen Polymer-Bürstenschichten, darunter solche, die aus Poly(styrol-co-methylmethacrylat) mit terminalen Hydroxylgruppen, Poly(styrol-co-methylmethacrylat-co-2-hydroxyethylmethacrylat), aus Poly(styrol) mit terminalen Hydroxylgruppen, aus Poly(methylmethacrylat) mit terminalen Hydroxylgruppen und Poly(styrol-b-methylmethacrylat)-Block-Copolymer sowie Kombinationen der obigen Materialien zur Steuerung der Oberflächenaffinität gebildet sind. Andere Materialien zur Steuerung der Oberflächenaffinität beinhalten selbstorganisierte Monoschichten.
  • Die Fotolackschicht wird durch Aufbringen einer Fotolackmischung auf ein Substrat hergestellt. Die Fotolackmischung umfasst einen Fotolack und frei ausgewählte Zusatzstoffe, welche die gewünschten Eigenschaften der Fotolackschicht nicht nachteilig beeinflussen, beispielsweise ihre Fähigkeit, durch das SA-Gießlösemittel entwickelt zu werden und mit diesem kompatibel zu sein. Beispielhafte wahlweise einsetzbare Hilfsstoffe beinhalten einen fotochemischen Säurebildner, einen thermischen Säurebildner, einen Säureverstärker, einen fotochemischen Basenbildner, einen thermischen Basenbildner, eine fotochemisch abbaubare Base, ein Tensid, ein organisches Lösemittel, einen Basenstopper, einen Sensibilisator und Kombinationen der obigen Hilfsstoffe.
  • Der Fotolack kann ein Polymer mit sich wiederholenden Einheiten umfassen, die von einer oder mehreren Monomereinheiten abgeleitet sind, insbesondere von einer tertiären Esterkomponente. Bei dem Polymer kann es sich um ein Copolymer, ein Terpolymer oder ein Tetrapolymer handeln. Beispiele von tertiären Esterkomponenten beinhalten solche, deren Schutz durch eine Säure aufgehoben werden kann, die von einem fotochemischen Säurebildner (photoacid generator, PAG) erzeugt wird, wie beispielsweise solche, die in der US-Patentschrift 4 491 628 ”Positive- and Negative-working Resist Compositions with Acid Generating Photoinitiator and Polymer with Acid Labile Groups Pendant From Polymer Backbone” von H. Ito, et al. beschrieben wurden. Nicht als Einschränkung anzusehende Beispiele tertiärer Esterkomponenten beinhalten Esterderivate von solchen Strukturgruppen wie: Methyladamantan, Ethyladamantan, Methylcyclopentan, Ethylcyclopentan, Methylcyohexan, Ethylcycohexan, Methylcycloheptan, Ethylcycloheptan, Methylcycdooctan und Ethylcyclooctan. Andere tertiäre Ester beinhalten Tritylester, Isobornylester, Dicyclopropylmethylester, Dimethylcyclopropylmethylester und t-Butylester. Sich wiederholende Einheiten können ein breites Spektrum anderer säureunbeständiger Gruppen umfassen, darunter zum Beispiel tertiäre Carbonate von Phenolen, Benzylester, Benzhydrylester, Acetale, Ketale, Trialkylsilylester wie beispielsweise Trimethylsilylester, Tetrahydrofuranylester, Tetrahydropyranylester, 3-Oxocyclohexanonyl- und Mevalonsäurelactonylester und Ähnliches. Das Polymer kann auch sich wiederholende Einheiten, die eine Lactonkomponente enthalten, in Kombination mit sich wiederholenden Einheiten aufweisen, die mindestens eine säureunbeständige Komponente enthalten, wobei eine solche Konfiguration dem Fotolack gute lithografische Eigenschaften verleihen kann. Wenn dies erwünscht ist, können Lactonkomponenten die Löslichkeit in wässrigen Entwicklern verbessern.
  • Beispiele für sich wiederholende Einheiten beinhalten 5-Methacryloyloxy-2,6-norbornancarbo-gamma-lacton, 3-Methacryloyloxymethyl-2,6-norbornancarbolacton, 3-Acryloyloxymethyl-2,6-norbornancarbolacton, Alpha-acryloyloxy-gamma-butyrolacton, Alpha-methacryloyloxy-gamma-butyrolacton, Beta-acryloyloxy-gamma-butyrolacton und Beta-methacryloyloxy-gamma-butyrolacton.
  • Andere Beispiele geeigneter chemisch verstärkter Fotolacke zur Verwendung bei den Wellenlängen 248 nm, 193 nm, im EUV und der Elektronenstrahllithografie sind zu finden in ”Chemical Amplification Resists for Microlithography” von Ito, Adv. Polym. Sci., Bd. 172, S. 37 bis 245 (2005).
  • Der Fotolack kann auch eine Mischung von zwei oder mehr Polymeren umfassen. Bei einer Ausführungsform dient als Fotolack ein nicht vernetzender Fotolack, der zur chemischen Verstärkung in der Lage ist.
  • Unter den Positiv-Fotolacken gibt es speziell den JSR AM2073J (ein handelsüblicher nicht vernetzender Positiv-Fotolack für 193 nm, der zur chemischen Verstärkung in der Lage ist), den JSR AR2928JN (ein handelsüblicher nicht vernetzender Positiv-Fotolack für 193 nm, der zur chemischen Verstärkung in der Lage ist) und den P(BOCST-r-MMA) (Poly(t-butyloxycarbonyloxystyrol-co-methylmethacrylat), ein Elektronenstrahl-Fotolack für 248 nm, der zur chemischen Verstärkung in der Lage ist.
  • Ein fotochemischer Säurebildner (PAG) kann bei Belichten mit einer Strahlung Säure freisetzen oder erzeugen. Zu beispielhaften PAGs gehören zum Beispiel (Trifluor-methylsulfonyloxy)-bicyclo[2.2.1]hept-5-en-2,3-dicarboximid (MDT), N-hydroxy-naphthalimid (DDSN), Oniumsalze, aromatische Diazoniumsalze, Sulfoniumsalze, Diaryliodoniumsalze, Sulfonsäureester von N-hydroxyamiden, Imiden und deren Kombinationen.
  • Ein thermischer Säurebildner (thermal acid generator, TAG) ist in der Lage, beim Erwärmen Säure freizusetzen oder zu erzeugen. Zu beispielhaften Säurebildnern gehören Diaryliodoniumsalze, Sulfonatester von Oximen, Sulfonatester von Benzylalkoholen, Sulfonatester von Imiden, N-allyl-N-dimethylanilinium-hexafluorantimonatsalze und N-crotyl-N-dimethylanilinium-hexafluorantimonatsalze sowie Sulfonsäureesterverbindungen wie beispielsweise Cyclohexyl-p-toluolsulfonat, Cyclohexyl-propansulfonat, Cyclohexyl-methansulfonat, Cyclohexyl-octansulfonat, Cyclohexyl-camphersulfonat und deren Kombinationen.
  • Bei einem Säureverstärker handelt es sich um eine Verbindung, die mit einer Säure zersetzt wird, um eine Säure zu erzeugen. Bezüglich dieser Verbindungen wird auf J. Photopolym. Sci. and Tech., 8, S. 43 bis 44, 45 bis 46 (1995), und J. Photopolym. Sci. and Tech., 9, S. 29–30 (1996) verwiesen. Zu den nicht als Einschränkung anzusehenden Beispielen für Säureverstärker gehören tert-Butyl-2-methyl-2-tosyloxymethyl-acetoacetat und 2-Phenyl-2-(2-tosyloxyethyl)-1,3-dioxolan. Viele der bestens bekannten fotochemischen Säurebildner weisen eine geringe Stabilität, insbesondere eine geringe thermische Stabilität, auf und zeigen ein Verhalten wie Säureverstärker.
  • Ein fotochemischer Basenbildner (PBG) erzeugt beim Belichten mit einer Strahlung eine Base. Zu den fotochemischen Basenbildnern gehören quaternäre Ammoniumdithiocarbamate, Alpha-aminoketone, Oxime-urethan, die Moleküle wie beispielsweise Dibenzophenonoxim-hexamethylen-diurethan, Ammonium-tetraorganylboratsalze, N-(2-nitrobenzyloxycarbonyl), zyklische Amine und deren Kombinationen.
  • Eine fotochemisch abbaubare Base (photo-destructible base, PDB) ist eine Base, die beim Belichten mit einer Strahlung in eine Form umgewandelt wird, die nicht mit einer Säure reagiert. Zum Beispiel wird Triphenylsulfoniumhydroxid (TPSH) beim Belichten in Wasser und neutrale organische Substanzen umgewandelt.
  • Ein thermischer Basenbildner (thermal base generator, TBG) bildet beim Erwärmen oberhalb einer ersten Temperatur T eine Base. Die Temperatur T kann ungefähr 140°C oder mehr betragen, beispielsweise 140°C bis 260°C. Der thermische Basenbildner kann eine funktionelle Gruppe umfassen, ausgewählt aus der Gruppe, bestehend aus Amid, Sulfonamid, Imid, Imin, O-Acyloxim, Benzoyloxycarbonylderivat, quaternäres Ammoniumsalz, Nifedipin, Carbamat und deren Kombinationen. Zu beispielhaften thermischen Basenbildnern gehören o-{(.Beta.-(dimethylamino)ethyl)aminocarbonyl}benzoesäure, o- {(.Gamma.-(dimethylamino)propyl)aminocarbonyl}benzoesäure, 2,5-Bis{(.beta.-(dimethylamino)ethyl)aminocarbonyl}terephthalsäure, 2,5-Bis{(.gamma.-(dimethylamino)propyl)aminocarbonyl}terephthalsäure, 2,4-Bis{(.beta.-(dimethylamino)ethyl)aminocarbonyl}isophthalsäure, 2,4-Bis{(.gamma.-(dimethylamino)propyl)aminocarbonyl}isophthalsäure und deren Kombinationen.
  • Die Fotolackzusammensetzung kann ferner ein Tensid umfassen. Tenside können zur Verbesserung der Gleichmäßigkeit der Beschichtung verwendet werden und ionische, nichtionische, monomere, oligomere und polymere Substanzen und deren Kombinationen beinhalten. Zu Beispielen möglicher Tenside gehören fluorhaltige Tenside wie beispielsweise die Produktreihe FLUORAD, erhältlich von 3M Company in St. Paul, Minnesota, USA, und siloxanhaltige Tenside wie beispielsweise die Produktreihe SILWET, erhältlich von Dow Chemical.
  • Die Fotolackzusammensetzung kann ein organisches Lösemittel zum Auflösen der anderen Komponenten beinhalten, damit der Fotolack gleichmäßig auf die Oberfläche des Substrats aufgebracht werden kann, um eine defektfreie Beschichtung bereitzustellen. Zu nicht als Einschränkung zu verstehenden Beispielen organischer Lösemittel für die Fotolackzusammensetzung gehören Ether, Glycolether, aromatische Kohlenwasserstoffe, Ketone, Ester, Ethyllactat, Gamma- butyrolacton, Cyclohexanon, Ethoxyethylpropionat (EEP), eine Kombination von EEP und Gamma-butyrolacton (GBL), Propylenglycolmethyletheracetat und Mischungen daraus. Das organische Lösemittel für die Fotolackzusammensetzung kann das oben beschriebene organische Gießlösemittel für das SA-Material oder das zweite organische Lösemittel beinhalten, das zum Entfernen des behandelten Fotolacks verwendet wird.
  • Beispielhafte Basenstopper umfassen aliphatische Amine, aromatische Amine, Carboxylate, Hydroxide oder deren Kombinationen. Zum Beispiel können Basenstopper Folgendes beinhalten: Dimethylaminopyridin, 7-Diethylamino-4-methylcumarin (Cumarin 1), tertiäre Amine, sterisch behindertes Diamin und Guanidinbase wie beispielsweise 1,8-Bis(dimethylamino)naphthalin (PROTON SPONGE), Berberin oder polymere Amine. Tetraalkylammoniumhydroxide oder Cetyltrimethylammoniumhydroxid können als Basenstopper verwendet werden, wenn es sich bei dem PAG um ein Oniumsalz handelt.
  • Als Sensibilisatoren kommen polycyclische Aromaten infrage, beispielsweise Pyren, Perylen, Bis(4-hydroxy-2,3,5-timethylphenyl)-2-hydroxyphenylmethan, 1,4-bis[1-(3,5-dimethyl-4-hydroxyphenyl)isopropyl]benzol, 2,4-Bis(3,5-dimethyl-4-hydroxyphenylmethyl)-6-methylphenol, Bis(4-hydroxy-3,5-dimethylphenyl)-2-hydroxyphenylmethan, Bis(4-hydroxy-2,5-dimethylphenyl)-2-hydroxyphenylmethan, Bis(4-hydroxy-3,5-dimethylphenyl)-3,4-dihydroxyphenylmethan, 1-[1-(4-Hydioxyphenyl)isopropyl]-4-[1‚1-bis(4-hydroxyphenyl)ethyl]benzol, 1-[1-(3-methyl-4-hydroxyphenyl)isopropyl]-4-[1,1-bis(3-methyl-4-hydroxyphenyl)ethyl]benzol, 2,6-Bis[1-(2,4-dihydroxyphenyl)isopropyl]-4-methylphenol, 4,6-Bis[1-(4-hydroxyphenyl)isopropyl]resorcin, 4,6-Bis(3,5-dimethoxy-4-hydroxyphenylmethyl)pyrogallol, 4,6-Bis(3,5-dimethyl-4-hydroxyphenylmethyl)pyrogallol, 2,6-Bis(3-methyl-4,6-dihydroxyphenylmethyl)-4-methylphenol, 2,6-Bis(2,3,4-trihydroxyphenylmethyl)-4-methylphenol, 1,1-Bis(4-hydroxyphenyl)cyclohexan und deren Kombinationen.
  • Die Fotolackzusammensetzung kann von ungefähr 1 Gew.-% bis ungefähr 30 Gew.-% Fotolack, genauer von ungefähr 2 Gew.-% bis ungefähr 15 Gew.-%, bezogen auf die Gesamtmasse der Fotolackmischung, umfassen.
  • Die Fotolackmischung kann von ungefähr 0,5 Gew.-% bis ungefähr 20 Gew.-% eines fotochemischen Säurebildners, bezogen auf die Masse des Fotolacks in der Fotolackmischung, genauer von ungefähr 0,5 Gew.-% bis ungefähr 10 Gew.-%, bezogen auf die Masse des Fotolacks in der Fotolackmischung, umfassen.
  • Die Fotolackmischung kann von ungefähr 0,01 Gew.-% bis ungefähr 20 Gew.-% eines thermischen Säurebildners, genauer von ungefähr 0,1 Gew.-% bis ungefähr 10 Gew.-%, bezogen auf die Masse des Fotolacks in der Fotolackmischung, umfassen.
  • Die Fotolackmischung kann von ungefähr 0,01 Gew.-% bis ungefähr 20 Gew.-% eines Säureverstärkers, genauer von ungefähr 0,1 Gew.-% bis ungefähr 10 Gew.-%, bezogen auf die Masse des Fotolacks in der Fotolackmischung, umfassen.
  • Die Fotolackmischung kann von ungefähr 0,01 Gew.-% bis ungefähr 20 Gew.-% eines fotochemischen Basenbildners, genauer von ungefähr 0,1 Gew.-% bis ungefähr 10 Gew.-%, bezogen auf die Masse des Fotolacks in der Fotolackmischung, umfassen.
  • Die Fotolackmischung kann von ungefähr 0,01 Gew.-% bis ungefähr 20 Gew.-% eines thermischen Basenbildners, genauer von ungefähr 0,1 Gew.-% bis ungefähr 10 Gew-%, bezogen auf die Masse des Fotolacks in der Fotolackmischung, umfassen.
  • Die Fotolackmischung kann von ungefähr 0,01 Gew.-% bis ungefähr 20 Gew.-% einer fotochemisch abbaubaren Base, genauer von 0,1 Gew.-% bis ungefähr 10 Gew.-%, bezogen auf die Masse des Fotolacks in der Fotolackmischung, umfassen.
  • Ferner kann die Fotolackmischung von ungefähr 0,001 Gew.-% bis ungefähr 0,1 Gew.-% eines Tensids, bezogen auf die Gesamtmasse des Fotolacks in der Fotolackmischung, umfassen.
  • Die Fotolackmischung kann von ungefähr 70 Gew.-% bis ungefähr 99 Gew.-% eines Lösemittels, genauer von ungefähr 85 Gew.-% bis ungefähr 98 Gew.-%, bezogen auf die Gesamtmasse der Fotolackmischung, umfassen.
  • Die Fotolackmischung kann ferner von ungefähr 0,1 Gew.-% bis ungefähr 1,0 Gew.-% eines Basenstoppers, bezogen auf die Gesamtmasse des Fotolacks in der Fotolackmischung, beinhalten.
  • Die Fotolackmischung kann von ungefähr 0,1 Gew.-% bis ungefähr 30 Gew.-% eines Sensibilisators, genauer von ungefähr 0,1 Gew.-% bis ungefähr 20 Gew.-%, bezogen auf die Masse des Fotolacks in der Fotolackmischung, umfassen.
  • Die Fotolackschicht kann durch Prozesse wie das Rotationsbeschichten (auch als Rotationsgießen bezeichnet), Sprühbeschichten, Tauchbeschichten, Bandgießen, Walzenbeschichten und ähnliche gebildet werden, die einzeln oder in deren Kombinationen angewendet werden können. Genauer gesagt, eine Lösung des Fotolacks in einem geeigneten Lösemittel wird durch Rotationsbeschichtung als Schicht auf die Oberfläche des Substrats aufgebracht, worauf das Lösemittel entfernt wird, um die Fotolackschicht zu erzeugen. Im Allgemeinen kann die Fotolackschicht eine Dicke von 100 bis 10.000 Angström, genauer von 200 bis 5.000 Angstrom und noch genauer von 300 bis 3.000 Angstrom aufweisen. Für die Graphoepitaxie kann das Grabenmerkmal der strukturierten Fotolackschicht eine Höhe von 100 bis 10.000 Angström, genauer von 300 bis 3.000 Angström und noch genauer von 500 bis 1.500 Angström aufweisen. Für die chemische Epitaxie kann der Graben eine Höhe von 10 bis 500 Angström, genauer von 15 bis 300 Angström und noch genauer von 15 bis 200 Angström aufweisen. Die Fotolackschicht kann nach dem Aufbringen in einem so genannten Nachhärteschritt auf eine Temperatur von 50°C bis 300°C, genauer 50°C bis 200°C, für einen Zeitraum von 1 s bis 1 Stunde, genauer von 1 s bis 5 Minuten, erwärmt werden.
  • Die Belichtung einzelner Strukturbereiche der Fotolackschicht kann unter Verwendung verschiedener Strahlungsarten bewerkstelligt werden, darunter Ultraviolett(UV)-Strahlung bei Wellenlängen von 450 nm bis 300 nm, Strahlung im tiefen Ultraviolett (deep ultraviolet, DUV), Strahlung bei Wellenlängen von 300 nm bis 120 nm, Strahlung im extremen Ultraviolett (extreme ultraviolet, EUV) bei Wellenlängen von 120 nm bis 4 nm, Elektronenstrahlen, Röntgenstrahlung und deren Kombinationen. Bei Belichtung im DUV und EUV wird eine spezielle Maske verwendet, um in der Positiv-Fotolackschicht Strukturen zu erzeugen. Bei der Elektronenstrahl-Lithografie werden Strukturen direkt in den Fotolack geschrieben. Zu beispielhaften Strahlungsquellen gehören monochromatische und/oder schmalbandige Strahlungsquellen, spezielle Quecksilber-Emissionslinien, Laser und Teilchenstrahlquellen. Unter weniger strengen Bedingungen kann eine breitbandige polychromatische Strahlungsquelle verwendet werden. Die Wellenlänge der Strahlung wird insbesondere aus der Gruppe ausgewählt, die aus den Wellenlängen 436 nm, 405 nm, 365 nm, 334 nm, 313 nm, 257 nm, 248 nm, 193 nm, 157 nm, 126 nm und 13,5 nm besteht. Noch häufiger wird die Wellenlänge der Strahlung aus der Gruppe ausgewählt, die aus den Wellenlängen 248 nm, 193 nm, 157 nm und 13,5 nm besteht. Besonders kann für die Belichtung einzelner Strukturbereiche eine Strahlung der Wellenlänge von 193 nm gewählt werden. Die Belichtung einzelner Strukturbereiche mit einer Wellenlänge von 193 nm kann entweder im trockenen oder im getauchten Zustand erfolgen. Insbesondere kann die Belichtung einzelner Strukturbereiche durch Immersionslithografie in Wasser bei 193 nm erfolgen. Um die Immersionslithografie in Wasser bei 193 nm zu ermöglichen, kann vor dem Belichten durch Immersionslithografie zum Schutz eine Deckschicht auf die Oberfläche des Fotolacks aufgebracht werden. Vorzugsweise ist die Deckschicht in Laugen löslich und wird während des Entwicklungsschritts durch den alkalischen Fotolackentwickler entfernt. Alternativ kann der Fotolack oberflächenaktive Komponenten umfassen, welche die Oberflächeneigenschaften des beschichteten Fotolacks steuern und das Auswaschen von Fotolackkomponenten durch die Immersionsflüssigkeit begrenzen.
  • Die Fotolackschicht mit den einzelnen belichteten Strukturbereichen kann mit einem nicht alkalischen Entwickler belichtet werden, insbesondere mit einem organischen Lösemittel und besonders bevorzugt mit einem organischen Lösemittel mittlerer Polarität. Unter Verwendung eines Positiv-Fotolacks und einer Negativentwicklung wird durch Entfernen des unbelichteten Fotolacks durch den nicht alkalischen Entwickler eine strukturierte Fotolackschicht gebildet. Die strukturierte Fotolackschicht ist auf einer ersten Oberfläche des Substrats angeordnet. Auf einer zweiten Oberfläche des Substrats befindet sich im Wesentlichen kein Fotolack. Bei einer Ausführungsform befindet sich auf der zweiten Oberfläche kein Fotolack. Die Oberflächeneigenschaften der zweiten Oberfläche, die für die Steuerung der Selbstorganisation des SA-Materials von entscheidender Bedeutung sind, werden durch den lithografischen Prozess einschließlich der Belichtung, der Entwicklung und wahlweise einer Aushärtung vor oder nach der Entwicklung nicht wesentlich verändert. Zum Vergleich sei ein typischer Positivprozess angegeben, bei dem in den gewünschten Bereichen die darunter liegende Oberfläche einer Strahlung im tiefen UV, Supersäuren bei erhöhten Temperaturen während einer Aushärtung nach dem Belichten, reaktionsfreudigen Zwischenprodukten von Fragmenten nach Aufhebung des Schutzes des Fotolacks und während der Fotolackentwicklung alkalischen Bedingungen ausgesetzt wird. Außerdem kann nach der Entwicklung auf der Unterschicht zurückbleibendes restliches Fotolackmaterial den SA-Prozess stören. Erst wenn das gesamte Fotolackmaterial in den gewünschten Bereichen erfolgreich entfernt ist, kann die darunter liegende Oberfläche auch der Strahlung im tiefen UV, chemischen Fixiermitteln oder oberflächenhärtenden Mitteln ausgesetzt werden, um die Positiv-Fotolackstruktur in organischen Gießlösemitteln unlöslich zu machen. All diese Prozesse und Materialien verändern normalerweise die Oberflächeneigenschaften des Substrats und können dadurch den anschließenden Prozess der Selbstorganisation zum Erliegen bringen. Zum Beispiel kann das Substrat, das eine Oberschicht zur Steuerung der Affinität aufweist, welche ein statistisches PS-r-PMMA-Pfropfcopolymer umfasst, die senkrechte Ausrichtung von PS/PMMA-Bereichen eines PS-b-PMMA-Block-Copolymers unterstützen. Das PS-b-PMMA-Block-Copolymer bildet jedoch keine senkrecht ausgerichteten PS/PMMA-Bereiche auf der PS-r-PMMA-Oberfläche, die in Kontakt mit einer fotochemisch erzeugten Säure oder mit einem wässrigen basischen Entwickler gekommen ist. Daraufhin kann die vorgesehene DSA wegen der Beschädigung der Schicht zur Steuerung der Substrataffinität nicht durchgeführt werden. Die vorliegenden Verfahren überwinden diese Beschränkungen durch selektives Entfernen des unbelichteten Fotolacks unter Verwendung des nicht alkalischen Entwicklers, um die unbeschädigte Substratoberfläche freizulegen.
  • Der nicht alkalische Entwickler kann ein organisches Lösemittel umfassen, das zum Gießen des SA-Materials geeignet ist. Bei einer Ausführungsform umfasst der nicht alkalische Entwickler dasselbe organische Lösemittel, das auch zum Gießen des SA-Materials verwendet wird. Zu beispielhaften organischen Lösemitteln gehören Anisol, Ethylenglycol, Propylenglycol und 4-Methyl-2-pentanol, n-Butylacetat sowie deren Mischungen. Bei dem nicht alkalischen Entwickler kann es sich auch um eine überkritische Flüssigkeit handeln, beispielsweise verflüssigtes Methan, verflüssigtes Ethan, verflüssigtes Propan oder verflüssigtes Kohlendioxid. Der nicht alkalische Entwickler, der überkritische Flüssigkeiten umfasst, kann ferner zusätzliche Komponenten einschließlich organischer Lösemittel, Tenside und Salze umfassen, um verschiedene Eigenschaften des Entwicklers zu modifizieren.
  • Wahlweise kann durch Aushärten nach der Entwicklung die Verträglichkeit des Lösemittels mit der strukturierten Fotolackschicht weiter angepasst werden. Das Aushärten kann während eines Zeitraums im Bereich von 1 s bis 1 Stunde bei einer Temperatur von 50°C bis 300°C erfolgen.
  • SA-Materialien bestehen aus miteinander unmischbaren Materialien, deren Phasen sich in Bereiche auftrennen. Die Phasentrennung ist bei verschiedenen Arten von Polymermischungen (z. B. binäre, tertiäre Polymermischungen) sowie bei Block-Copolymeren anzutreffen, die zwei oder mehr verschiedene polymere Blockkomponenten umfassen.
  • SA-Materialien für die gezielte Selbstorganisation können miteinander unmischbare Polymermischungen umfassen. Der hierin gebrauchte Begriff ”unmischbar” betrifft zwei oder mehr Polymere in der Polymermischung, die so unverträglich miteinander sind, dass sie unter bestimmten Prozessbedingungen die Phasentrennung fördern. Die Unmischbarkeit der Polymere in den Polymermischungen kann von der Zusammensetzung sowie vom Dünnschichtbildungsprozess der Polymermischungen abhängen. Das Verhältnis der Polymere, die Molmasse der einzelnen Polymere in der Mischung und die Anwesenheit anderer zusätzlicher Komponenten in der Mischung können dazu verwendet werden, die Verträglichkeit der Polymere in der Polymermischung untereinander zu regulieren. Auch die Temperatur, die Beschichtungsbedingungen, die Topografie und die Geometrie der strukturierten Fotolackschicht, die Oberflächeneigenschaften der strukturierten Fotolackschicht und die Oberflächeneigenschaften des Substrats können die Phasentrennung der Polymere beeinflussen. Der hierin gebrauchte Begriff ”unmischbares Polymer” bezeichnet ein Polymer, dass sich in einer Polymermischung auf einer ordnungsgemäß präparierten Substratoberfläche unter geeigneten Prozessbedingungen als einzelne Phase abtrennt.
  • Zu Beispielen von Polymeren für die zwei oder mehr unmischbaren Polymere gehören: Cellulose, Poly(acrylamid), Polyethylenimin, Poly(acrylsäure), Poly(2-ethyl-2-oxazolin), Poly(ethylenoxid) und Poly(vinylalkohol), Novolac-Harze, Cresol-Harze, Poly(hydroxystyrol), Poly(acrylsäure), Poly(styrolsulfonsäure), Poly(vinylphosphorsäure), Poly(vinylsulfonsäure), Poly(2-sulfaethylmethacrylat), Poly(2-sulfopropyldimethyl-3-methacrylamld), Poly(1,1,1-trifluor-2-(trifluormethyl)-2-hydroxy-pentan-4-yl-methacrylat), Polymere auf Basis von Fluoralkohol, Poly(2-ethyl-trifluormethansulfonamid-methacrylat), saure Sulfonamidpolymere, Poly(styrol), Poly(hydroxyadamantyl-methacrylat), Poly(isobornyl-methacrylat), Poly(phenyl-methacrylat), Poly(vinylnaphthalin), Polysiloxane, Polymethylsilsesquioxane, Polycarbosilane, Poly(vinyl-ferrocen), Poly(acylnitril), Poly(caprolacton), Poly(lactid), Poly(methyl-methacrylat), Poly(2-hydroxyethyl-methacrylat), Poly(gamma-butyrolacton-methacrylat), Poly(tetrahydrofuranyl-methacrylat), Poly(tetrahydropyranyl-methacrylat), Poly(allylamin), Poly(4-aminostyrol), Poly(2-dimethylaminoethyl-methacrylat), Polyethylenimin, Poly(N-methylvinylamin), Poly(vinylpyridin), Poly(isopren), Poly(butadien), Poly(nobornen), Poly(ethylen), Poly(propylen), Poly(1,1,1-trifluor-2-(trifluormethyl)-2-hydroxy-pentan-4-yl-methacrylat), Polymere auf Basis von Fluoralkohol, Poly(2-ethyl-trifluormethansulfonamid-methacrylat), saure Fluorsulfonamid-Polymere, Poly(2,2,2-trifluorethyl-methacrylat), Poly(hexafluorisopropyl-methacrylat), Poly(2,3,4,5,6-pentafluorstyrol) und deren substituierte Derivate. Die zwei oder mehr miteinander unmischbaren Polymere können so gewählt werden, dass jedes Polymer mit jedem anderen Polymer in der Mischung unmischbar ist.
  • Das SA-Material kann ein Block-Copolymer oder Mischungen von Block-Copolymeren und anderen Polymeren umfassen. Bei einer Ausführungsform besteht das Block-Copolymer im Wesentlichen aus einer ersten polymeren Blockkomponente A und einer zweiten polymeren Blockkomponente B, die miteinander nicht mischbar sind. Üblicherweise bilden Homopolymere geeigneter unmischbarer Blockkomponenten eine Mischung, die mehrere Glasübergangstemperaturen aufweist, welche jeweils eine Homopolymerphase repräsentieren. Vorzugsweise kann eine der Komponenten A und B selektiv entfernt werden, ohne dass die jeweils andere entfernt werden muss, um entweder isolierte und systematisch geordnete Struktureinheiten, die aus der nicht entfernten Komponente bestehen, oder eine durchgehende strukturierte Schicht zu bilden, die isolierte und systematisch geordnete Leerstellen enthält, welche nach dem Entfernen der entfernbaren Komponente gebildet wurden. Alternativ können die Komponenten A und B einfach verschiedene elektrische, optische und/oder magnetische Eigenschaften aufweisen, sodass die aus solchen Komponenten A und B bestehenden geordneten Strukturen zur Herstellung verschiedener Einheitenstrukturen verwendet werden können.
  • Das Block-Copolymer kann Blöcke umfassen, die ein oder mehrere Monomere umfassen, wobei die mindestens zwei Blöcke in dem Block-Copolymer bezüglich ihrer Zusammensetzung oder ihrer Struktur bzw. sowohl bezüglich ihrer Zusammensetzung als auch ihrer Struktur nicht identisch sind. Zu geeigneten Block-Copolymeren gehören Di-Blockcopolymere, Tri-Blockcopolymere oder Multi-Blockcopolymere, die jeweils in Verbindung mit der DSA verwendet werden können, um die räumliche Auflösung weiter zu erhöhen. Als Blöcke selbst können Homopolymere oder Copolymere, einschließlich Terpolymere, infrage kommen. Das SA-Material kann ein amphiphiles organisches Block-Copolymer, ein amphiphiles anorganisches Block-Copolymer, ein organisches Di-Block-Copolymer, ein organisches Multi-Block-Copolymer, ein anorganische Komponenten enthaltendes Di-Block-Copolymer, ein anorganische Komponenten enthaltendes Multi-Block-Copolymer, ein lineares Block-Copolymer, ein Stern-Block-Copolymer, ein dendritisches Block-Copolymer, ein hochverzweigtes Block-Copolymer, ein Pfropf-Block-Copolymer oder eine Kombination umfassen, die mindestens eines der obigen Block-Copolymere umfasst. Bei einer Ausführungsform dient ein Di-Block-Copolymer als Block-Copolymer. Eine Kombination eines geeigneten Tri-Block-Copolymers oder eines Multi-Block-Copolymers und einer chemischen Vorstruktur kann zum Beispiel zum Vervielfachen der räumlichen Dichte der chemischen Vorstruktur verwendet werden.
  • Als Blockkomponenten können allgemein beliebige geeignete Mikrobereiche bildende Blöcke dienen, die mit anderen verschiedenartigen Blöcken copolymerisiert, an sie angehängt oder mit ihnen selbstorganisiert werden können. Blöcke können von verschiedenen polymerisierbaren Monomeren abgeleitet werden, wobei die Blöcke Folgendes beinhalten können, ohne darauf beschränkt zu sein: Polyolefine einschließlich Polydiene, Polyether einschließlich Poly(alkylenoxide) wie beispielsweise Poly(ethylenoxid), Poly(propylenoxid), Poly(butylenoxid) oder deren statistische oder Block-Copolymere; Poly((meth)acrylate), Polystyrole, Polyester, Polyorganosiloxane, Polyorganogermane und Ähnliches.
  • Bei einer Ausführungsform umfassen die Blöcke des Block-Copolymers von olefinischen C2-30-Monomeren abgeleitete sich wiederholende Einheiten, von C1-30-Alkoholen abgeleitete (Meth)acrylatmonomere, anorganische Komponenten enthaltende Monomere, darunter solche auf der Grundlage von Fe, Si, Ge, Sn, Al, Ti, oder eine Kombination von mindestens einem der obigen Monomere. Bei einer speziellen Ausführungsform können zu den beispielhaften Monomeren zur Verwendung in den Blöcken die olefinischen C2-30-Monomere von Ethylen, Propylen, 1-Buten, 1,3-Butadien, Isopren, Vinylacetat, Dihydropyran, Norbornen, Maleinsäureanhydrid, Styrol, 4-Hydroxystyrol, 4-Acetoxystyrol, 4-Methylstyrol oder Alpha-methylstyrol; und zu den (Meth)acrylatmonomeren Methyl(meth)acrylat, Ethyl(meth)acrylat, n-Propyl(meth)acrylat, Isopropyl(meth)acrylat, n-Butyl(meth)acrylat, Isobutyl(meth)acrylat, n-Pentyl(meth)acrylat, Isopentyl(meth)acrylat, Neopentyl(meth)acrylat, n-Hexyl(meth)acrylat, Cyclohexyl(meth)acrylat, Isobornyl(meth)acrylat oder Hydroxyethyl(meth)acrylat gehören. Es können Kombinationen von zwei oder mehr dieser Monomere verwendet werden. Zu beispielhaften Blöcken, die Homopolymere darstellen, gehören unter Verwendung von Styrol hergestellte Blöcke (d. h. Polystyrolblöcke) oder homopolymere (Meth)acrylatblöcke wie beispielsweise Poly(methylmethacrylat); zu beispielhaften statistischen Blöcken gehören zum Beispiel statistisch copolymerisierte Blöcke von Styrol und Methylmethacrylat (z. B. Poly(styrol-co-methylmethacrylat)); und ein beispielhafter alternierender Copolymerblock kann Blöcke von Styrol und Maleinsäureanhydrid enthalten, von denen bekannt ist, dass sie aufgrund der Unfähigkeit des Maleinsäureanhydrids zur Bildung von Homopolymeren eine paarweise sich wiederholende Struktur von Styrol-Maleinsäureanhydrid (z. B. Poly(styrol-alt-Maleinsäureanhydrid)) bilden, wobei der Begriff ”-alt-” im Wechsel auftretende Polymerblöcke anzeigt. Es ist klar, dass solche Blöcke nur als Beispiel dienen und nicht als Einschränkung anzusehen sind.
  • Insbesondere gehören zu den Di- oder Tri-Block-Copolymeren Poly(styrol-b-vinylpyridin) (PS-b-PVP), Poly(styrol-b-butadien) (PS-b-PBD), Poly(styrol-b-isopren) (PS-b-PI), Polystyrol-b-methylmethacrylat) (PS-b-PMMA), Poly(styrol-b-alkenyl-Aromaten), Poly(isopren-b-ethylenoxid) (PI-b-PEO), Poly(styrol-b-(ethylen-propylen)), Poly(ethylenoxide-b-caprolacton), Poly(butadien-b-ethylenoxid) (PBD-b-PEO), Poly(styrol-b-t-butyl(meth)acrylat), Poly(methylmethacrylat-b-t-butylmethacrylat), Poly(ethylenoxid-b-propylenoxid), Polystyrol-b-tetrahydrofuran), Polystyrol-b-dimethylsiloxan) (PS-b-PDMS), Poly(styrol-b-ferrocendimethylsilan) (PS-b-PFS), Poly(styrol-b-isopren-b-ethylenoxid) (PS-b-PI-b-PEO), Poly(styrol-b-isopren-b-methylmethacrylat) (PS-b-PI-b-PMMA), Poly(styrol-b-ferrocendimethylsilan-b-isopren) (PS-b-PFS-PI), oder eine Kombination, die mindestens eines der obigen Block-Copolymere umfasst.
  • Die Polymermischung oder die Block-Copolymere können auch anorganische Bestandteile umfassen, darunter anorganische Komponenten enthaltende Homopolymere, Copolymere und Block-Copolymere sowie anorganische Komponenten enthaltende Monomere, Moleküle und Additive. Dazu gehören zum Beispiel solche auf der Grundlage von Silicium, Germanium, Eisen, Titan, Aluminium oder Ähnliche. Zu beispielhaften Silicium und Germanium enthaltenden Monomeren und Polymeren können solche gehören, die von H. Ito in ”Chemical Amplification Resists for Microlithography”, Adv. Polym. Sci., Bd. 172, S. 37 bis 245 (2005) beschrieben wurden; zu beispielhaften metallhaltigen Monomeren und Polymeren gehören solche, die von Ian Manners in ”Synthetic Metal-containing Polymers”, Wiley-VCH, 2004, beschrieben wurden; zu beispielhaften Silicium enthaltenden Molekülen und Additiven wie beispielsweise Organosilicate gehören solche, die von E. M. Freer, L. E. Krupp, W. D. Hinsberg, P. M. Rice, J. L. Hedrick, J. N. Cha, R. D. Miller und H. C. Kim in ”Oriented mesoporous organosilicate thin films”, Nano Letters, Bd. 5, 2014 (2005) beschrieben wurden; und zu beispielhaften metallhaltigen Molekülen und Additiven gehören solche, die von Jinan Chai, Dong Wang, Xiangning Fan und Jillian M. Buriak in ”Assembly of aligned linear metallic patterns an silicon”, Nature Nanotechnology, Bd. 2, S. 500, (2007) beschrieben wurden.
  • Das Block-Copolymer kann wünschenswerterweise eine Gesamtmolekülmasse und einen Polydispersitätsgrad aufweisen, die der weiteren Verarbeitung zuträglich sind. Bei einer Ausführungsform weist das Block-Copolymer ein Massenmittel der Molmasse (Mw) von 3.000 bis 200.000 g/mol auf. Desgleichen weist das Block-Copolymer ein Zahlenmittel der Molmasse (Mn) von 1.000 bis 80.000 auf. Das Block-Copolymer kann auch einen Polydispersitätsindex Mw/Mn von 1,01 bis 6 aufweisen und ist nicht genau darauf beschränkt. Sowohl die Molmasse Mw als auch die Molmasse Mn können zum Beispiel durch Gelpermeationschromatograpie unter Verwendung eines universellen Kalibrierungsverfahrens, kalibriert auf Polystyrolstandards, bestimmt werden.
  • Die Block-Copolymere können durch in der Technik bereits beschriebene Verfahren hergestellt werden, darunter durch Polymerisationsverfahren ohne Abbruchreaktion (living polymerization) wie beispielsweise radikalische Polymerisation durch Atomtransfer (atom transfer free radical polymerization, ATRP), Polymerisation durch ringöffnende Metathese (ring-opening metathesis Polymerisation, ROMP) und kationische oder anionische Polymerisation ohne Abbruchreaktion.
  • Bei einer bestimmten Ausführungsform wird zum Bilden der selbstorganisierten periodischen Strukturen PS-b-PMMA als Block-Copolymer verwendet. Die PS- und PMMA-Blöcke in einem solchen PS-b-PMMA-Block-Copolymer können ein Gesamtzahlenmittel (summiert über alle Blöcke) der Molmasse (Mn) im Bereich von ungefähr 5 kg/mol bis ungefähr 300 kg/mol aufweisen, wobei ein Gesamtzahlenmittel der Molmasse von ungefähr 10 kg/mol bis ungefähr 100 kg/mol typischer ist. Jeder Block kann ein Mn von 1 bis 2199 kg/mol, genauer von 1 bis 99 kg/mol, aufweisen.
  • Die Morphologie (Form, Abmessung, Ausrichtung) der selbstorganisierten Bereiche aus Dünnschichten von Block-Copolymeren ist eine Funktion der Zusammensetzung (Material, Molmasse, Volumenverhältnis verschiedener Blöcke), der Temperbedingungen (Temperatur, Milieu, Temperdauer), der Grenzflächeneigenschaften (Grenzfläche Polymer-Luft, Grenzfläche Polymer-Substrat) sowie der definierten Geometrie (Dünnschichtdicke, Topografie der Umrandung). Deshalb kann die Morphologie durch Einstellen eines oder mehrerer Parameter an die Erfordernisse einzelner Anwendungen angepasst werden.
  • Um die selbstorganisierten Merkmale zu bilden, kann das Block-Copolymer zuerst in einem geeigneten organischen Lösemittelsystem gelöst werden, um eine Block-Copolymer-Lösung zu bilden, die dann auf die strukturierte Fotolackschicht aufgebracht werden kann, um darauf eine dünne Block-Copolymer-Schicht zu bilden. Wahlweise kann der Selbstorganisationsprozess der polymeren Blockkomponenten durch Tempern der Block-Copolymer-Schicht unterstützt werden.
  • Die gezielte Selbstorganisation unter Verwendung der in Lösemittel entwickelten strukturierten Fotolackschicht wird durchgeführt, indem zuerst eine Lösung des SA-Materials in einem organischen Lösemittel hergestellt wird. Bei einer Ausführungsform umfasst das SA-Material mindestens ein Block-Copolymer. Das organische Lösemittel sollte vollständig alle SA-Materialien, jedoch nicht die strukturierte Fotolackschicht auflösen. Nicht als Einschränkung anzusehende beispielhafte organische Lösemittel beinhalten substituierte oder unsubstituierte aromatische Kohlenwasserstoffe, substituierte oder unsubstituierte aromatische Ether, substituierte oder unsubstituierte aromatische Alkohole, gesättigte Monoalkohole, die 5 bis 20 Kohlenstoffatome umfassen, Glycole, Ketone, Glycolmonoether und Glycolmonoetherester. Bei einer Ausführungsform dienen als organisches Lösemittel zum Auflösen des SA-Materials ein Glycol, ein Glycolmonoether oder ein Glycolmonoetherester oder deren Kombinationen. Im Einzelnen beinhalten organische Lösemittel für das SA-Material, ohne darauf beschränkt zu sein, Toluol, Xylol, Mesitylen, Diphenylether, Di-(ethylhexyl)ether, Ethylenglycol, Propylenglycol, Propylenglycol-monomethyletheracetat (PGMEA), Ethyllactat, Propylenglycol-monomethylether (PGME), 4-Methyl-2-pentanol, n-Butanol, n-Butylacetat, Anisol, Cyclohexanon, Aceton und deren Kombinationen. Bei einer Ausführungsform dient als organisches Lösemittel für das SA-Material Ethylenglycol, Propylenglycol, Propylenglycol-monomethyletheracetat, Propyleneglycol-monomethylether, 4-Methyl-2-pentanol oder deren Kombinationen.
  • Die Lösung des SA-Materials kann eine Konzentration im Bereich von ungefähr 0,1 Gew.-% bis ungefähr 10 Gew.-% SA-Material, bezogen auf die Gesamtmasse der Lösung, aufweisen. Genauer gesagt, das SA-Material wird in einer Konzentration im Bereich von ungefähr 0,5 Gew.-% bis ungefähr 5 Gew.-% aufgelöst. Bei einer Ausführungsform umfasst das SA-Material von ungefähr 0,5 Gew.-% bis ungefähr 2 Gew.-% PS-b-PMMA-Block-Copolymer, das in Anisol gelöst ist. Die Lösung des SA-Materials kann wahlweise auch noch weitere Block-Copolymere, Homopolymere, statistische Copolymere, Nanopartikel, Tenside und fotochemische Säurebildner, fotochemische Basenbildner, thermische Säurebildner, thermische Basenbildner, Säureverstärker und fotochemisch abbaubare Basen umfassen.
  • Die Lösung des SA-Materials wird auf die strukturierte Fotolackschicht gegossen, und anschließend wird das organische Lösemittel entfernt, um eine Dünnschicht des SA-Materials (d. h. die SA-Schicht) zu bilden, welche die strukturierte Fotolackschicht und die durch Entwicklung freigelegten Substratoberflächen bedeckt. Die Lösung des SA-Materials kann mittels einer beliebigen geeigneten Technik auf die strukturierte Fotolackschicht gegossen werden, darunter, aber nicht darauf beschränkt: Rotationsbeschichtung, Walzenbeschichtung, Sprühbeschichtung, Tintenstrahlbeschichtung, Tauchbeschichtung und Ähnliches. Wahlweise kann ein zusätzlicher Temperungsschritt (darunter thermisches Tempern, thermisches Gradiententempern, Tempern unter Lösemitteldampf oder anderes Gradientenfeldtempern), um Defekte in der SA-Schicht zu entfernen und/oder die Selbstorganisation des SA-Materials zu fördern. Genauer gesagt, die ein Block-Copolymer umfassende SA-Schicht wird thermisch bei einer Temperatur getempert, die oberhalb der Glasübergangstemperatur (Tg) des Block-Copolymers, aber unterhalb der Zersetzungs- oder Zerfallstemperatur (Td) des Block-Copolymers liegt. Der thermische Temperurigsschritt kann bei einer Tempertemperatur von ungefähr 100°C bis ungefähr 300°C durchgeführt werden. Das thermische Tempern kann über einen Zeitraum von mehr als 0 Stunden bis ungefähr 100 Stunden, genauer von ungefähr 1 Stunde bis ungefähr 15 Stunden durchgeführt werden. Das thermisch getemperte Block-Copolymer organisiert sich selbst und bildet geordnete Bereiche, deren Ausrichtung senkrecht zur darunter liegenden Oberflächenebene liegen kann. Im Allgemeinen kann das SA-Material eine Dicke von 100 bis 10.000 Angström, genauer von 150 bis 5.000 Angstrom, und ganz speziell von 200 bis 3.000 Angström aufweisen.
  • Der Unterschied der Ätzgeschwindigkeiten zwischen zwei Gebieten von geordneten Bereichen des Block-Copolymers ermöglicht die Schaffung zusätzlicher Strukturen. Durch das selektive Entfernen mittels Ätzen, Lösungsmitteln oder anderer Mittel in mindestens einem selbstorganisierten Bereich wird eine Reliefstruktur im Nanobereich erzeugt, die zum Beispiel eine Struktur von Öffnungen umfasst, welche in das darunter liegende Substrat übertragen werden können. Die Arten der Ätzverfahren beinhalten alle üblichen in der Herstellung von Halbleiterbauelementen angewendeten Ätzverfahren, zum Beispiel Trockenätzen wie beispielsweise Plasmaätzen oder Nassätzen unter Verwendung selektiver Lösemittel. Üblicherweise werden Trockenätzprozesse zum Ätzen im Größenbereich von weniger als 50 nm eingesetzt. Vor diesem Entwickeln/Übertragen der Struktur kann die selbstorganisierte Schicht des SA-Materials wahlweise chemisch so verändert werden, dass die zur Übertragung der Struktur erforderlichen Eigenschaften verbessert werden, beispielsweise die Ätzbeständigkeit oder mechanische Eigenschaften.
  • Außerdem werden auch die unter Verwendung der oben beschriebenen Verfahren gebildeten Schichtstrukturen offenbart. Bei einer Ausführungsform handelt es sich bei der Schichtstruktur um eine Halbleitereinheit. Die Halbleitereinheit umfasst ein Substrat, welches eine zur Selbstorganisation eines Block-Copolymers geeignete reflexionsmindernde Oberfläche; eine entwickelte strukturierte Fotolackschicht, welche einen auf eine erste Fläche der reflexionsmindernden Oberfläche aufgebrachten Fotolack umfasst, der einer Strahlung ausgesetzt wird, nicht vernetzt wird und chemisch verstärkt ist; und eine selbstorganisierte Struktur von Bereichen umfasst, die den auf eine zweite Fläche der reflexionsmindernden Oberfläche, auf welcher sich kein Fotolack befindet, aufgebrachten Block-Copolymer umfasst. Die selbstorganisierte Struktur von Bereichen des Polymers kann in Form einer Reliefstruktur vorliegen, die zum Beispiel eine Struktur von Öffnungen umfasst, welche in Anwesenheit eines zweiten Bereichs der Struktur von Bereichen durch selektives Ätzen eines ersten Bereichs abgeleitet ist. Bei einer Ausführungsform umfasst das Block-Copolymer einen Polystyrolblock und einen Poly(methylmethacrylat)-Block.
  • Die oben beschriebenen Verfahren ermöglichen eine Breite der Fotolackmerkmale von 1 bis 1.000 nm, von 1 bis 500 nm, von 1 bis 300 nm, von 1 bis 200 nm, von 1 bis 150 nm oder besonders bevorzugt von 1 bis 100 nm. Wenn es sich bei dem SA-Material um ein Block-Copolymer oder eine Polymermischung mit einem Gehalt an Block-Copolymer handelt, sind die Verfahren insofern von Vorteil, als sie Strukturen von Bereichen mit verringerter Merkmalsbreite und erhöhter räumlicher Periodizität in Bezug auf die strukturierte Fotolackschicht ermöglichen. In diesem Fall kann die Breite des Bereichsmerkmals im Bereich von 1 bis 50 nm, von 1 bis 30 nm oder besonders bevorzugt von 1 bis 20 nm liegen. Wenn es sich bei dem SA-Material um eine nicht mischbare Polymermischung handelt, sind die Abmessungen der Bereichsmerkmale eher größer und liegen im Bereich von 1 bis 500 nm, von 1 bis 250 nm oder besonders bevorzugt von 1 bis 150 nm.
  • Die Verfahren werden ferner durch die folgenden Beispiele veranschaulicht.
  • BEISPIELE
  • Die bei den folgenden Beispielen verwendeten Materialien sind in Tabelle 1 aufgeführt. Tabelle 1.
    Figure DE112010004848B4_0002
    Figure DE112010004848B4_0003
  • Herstellung von P(BOCST-r-MMA).
  • Dieses statistische Copolymer wurde durch radikalische Polymerisation von t-Butyloxycarbonyloxystyrol und Methylmethacrylat unter Standardbedingungen hergestellt. Mn = 54k.
  • Herstellung von P(S-r-EDCPMA).
  • Statistisches Poly(styrol-co-epoxydicyclopentadienmethacrylat)-Copolymer wurde durch radikalische Polymerisation von Styrol und Epoxydicyclopentadienmethacrylat nach dem in der US-Patentschrift 7 521 090 beschriebenen Verfahren hergestellt. Mn = 7400 g/Mol. PDI: 1.40
  • Herstellung einer vernetzten P(S-r-EDCPMA)-Schicht.
  • Eine Schicht aus vernetztem P(S-r-EDCPMA) kann durch Vernetzen des P(S-r-EDCPMA) mit einem thermischen Säurebildner oder einem fotochemischen Säurebildner hergestellt werden. Die Einzelheiten zur Zusammensetzung und den Prozessbedingungen sind in der US-Patentschrift 7 521 090 beschrieben.
  • Beispiel 1.
  • Eine Vorstruktur für die Graphoepityie wurde durch die folgende Verfahrensweise hergestellt. Eine den 193 nm-Fotolack (JSR AM2073J) enthaltende Lösung wurde durch Rotationsbeschichtung auf ein Substrat mit einer reflexionsmindernden Oberschicht (780 Angström ARC-29A von Brewer Science) auf Silicium aufgebracht.
  • Die Fotolackschicht wurde 60 s lang bei einer Temperatur von 110°C ausgehärtet, und anschließend wurden einzelne Strukturbereiche durch optische Lithografie bei einer Wellenlänge von 193 nm belichtet. Die belichtete Schicht wurde mit Anisol entwickelt, um eine topografische Negativ-Vorstruktur zu bilden, die auf der reflexionsmindernden Schicht aufgebracht ist. 8A ist ein rasterkraftmikroskopisches (AFM) Höhenbild der Topografie der mit einem organischen Lösemittel entwickelten Fotolackschicht. Eine Lösung mit 1,5 Gew.-% in Anisol, bezogen auf die Gesamtmasse der Lösung, wurde aus einer Mischung eines Block-Copolymers PS-b-PMMA (46k bis 21k) und eines PS-Homopolymers (Mn = 22 kg/mol) hergestellt. Das Masseverhältnis PS-b-PMMA (46k bis 21k):PS in der trockenen Mischung betrug 8:2. Die Lösung wurde dann durch Rotationsbeschichtung bei einer Drehzahl von 3.000 min–1 auf die mit dem organischen Lösemittel entwickelte Fotolackschicht aufgebracht, das organische Lösemittel entfernt und die entstandene Schicht 1 Minute bei einer Temperatur von 200°C ausgehärtet, worauf das PMMA innerhalb der PS-Matrix Zylinder innerhalb der Gräben der strukturierten Fotolackschicht bildete. Die PMMA-Bereiche zeigen sich als parallele Reihen heller Punkte in dem dunkleren Grabenbereich der Fotolack-Vorstruktur, was in dem AFM-Höhenbild von 8B zu sehen ist. Die PMMA-Bereiche wurde dann durch reaktives Ionenätzen (RIE) in Sauerstoff entfernt, um eine Lochstruktur zu erzeugen, die in dem AFM-Höhenbild von 8C zu sehen ist. Die Lochstruktur zeigt sich in Form paralleler Reihen dunkler Punkte in 8C. Die hellere Fläche in 8C enthält PS-Bereiche und Fotolack.
  • Beispiel 2.
  • Eine Vorstruktur für die Graphoepitaxie wurde hergestellt, indem eine Schicht des Elektronenstrahl-Fotolacks P(BOCST-r-MMA) für die Wellenlänge 248 nm mit einem Zahlenmittel der Molmasse von Mn = 54 kg/mol durch Rotationsbeschichtung auf eine Schicht von vernetztem P(S-r-EDCPMA) mit einem Zahlenmittel der Molmasse von Mn = 7 kg/mol zur Steuerung der Oberflächenaffinität aufgebracht wurde. Die Lösung der P(S-r-EDCPMA)-Schicht wurde auf ein Silicium-Wafer-Substrat aufgebracht. Die P(BOCST-r-MMA)-Fotolackschicht wurde mit einer Wellenlänge von 248 nm belichtet und dann einer Negativentwicklung in Anisol unterzogen, um eine topografische Vorstruktur zu erzeugen. Eine Lösung mit 2 Gew.-% in Anisol, bezogen auf die Gesamtmasse der Lösung, wurde aus einer Mischung eines Block-Copolymers PS-b-PMMA (46k bis 21k) und eines PS-Homopolymers (Mn = 22 kg/mol) hergestellt. Das Massenverhältnis der trockenen Mischung von PS-b-PMMA (46k–21k):PS betrug 8:2. Dann wurde die Lösung durch Rotationsbeschichtung auf die in organischem Lösemittel entwickelte Fotolackschicht aufgebracht. Das organische Lösemittel wurde entfernt, um die SA-Schicht zu bilden, die PS-b-PMMA (46k bis 21k) und PS enthält. Die SA-Schicht durch 10 Minuten bei einer Temperatur von 200°C ausgehärtet, um PMMA-Minoritätsbereiche im Grabenbereich der strukturierten Fotolackschicht zu bilden. 9A zeigt ein AFM-Höhenbild der Schichtstruktur nach dem Aufbringen des Dünnfilms der Polymermischung auf die Fotolack-Vorstruktur (die parallelen dunklen Reihen bestehen aus der Polymermischung in dem Graben der Vorstruktur). 9B ist ein AFM-Phasenbild, das die selbstorganisierten PMMA-Minoritätsbereiche (heile Punkte) zeigt.
  • Beispiel 3.
  • Eine für die Graphoepitaxie geeignete Vorstruktur wurde durch Belichten eines Substrats mit einer 80 nm dicken Oberschicht eines Fotolacks (JSR AM2073J) für die Wellenlänge 193 nm, der auf eine Schicht von vernetztem P(S-r-EDCPMA) zur Steuerung der Oberflächenaffinität aufgebracht wurde, hergestellt. Die belichtete Fotolackschicht wurde unter Verwendung von Anisol entwickelt, um eine strukturierte Negativ-Fotolackschicht zu bilden, wodurch in den unbelichteten Bereichen eine Oberfläche der P(S-r-EDCPMA)-Schicht freigelegt wurde. Eine Lösung mit 2 Gew.-% in Anisol, bezogen auf die Gesamtmasse der Lösung, wurde aus einem Block-Copolymer PS-b-PMMA (22k bis 22k) hergestellt. Dann wurde die Lösung durch Rotationsbeschichtung auf den in organischem Lösemittel entwickelten Fotolack aufgebracht. Die SA-Schicht wurde 5 Minuten bei einer Temperatur von 200°C ausgehärtet, worauf sich die in Kontakt mit der freigelegten P(S-r-EDCPMA)-Schicht zur Steuerung der Oberflächenaffinität befindliche 50 mm dicke PS-b-PMMA (22k bis 22k)-Dünnschicht selbstorganisierte, um lammellenförmige PS- und PMMA-Bereiche zu bilden, die senkrecht auf die Schicht zur Steuerung der Oberflächenaffinität und parallel auf die Gräben ausgerichtet war. Die PMMA-Bereiche und die Fotolackschicht wurde dann durch ein Sauerstoffplasma entfernt. 10 zeigt eine rasterelektronenmikroskopische(SEM) Aufnahme der zurückbleibenden PS-Streifen (d. h. die helleren parallelen Streifen in 10).
  • Beispiel 4.
  • Eine für die Graphoepitaxie geeignete Vorstruktur wurde gemäß der obigen Beschreibung durch Belichten einzelner Strukturbereiche einer 80 nm dicken Schicht eines Fotolacks (JSR AM2073J) für die Wellenlänge 193 nm hergestellt, der auf ein Substrat aufgebracht wurde, welches eine vernetzte P(S-r-EDCPMA)-Oberschicht zur Steuerung der Oberflächenaffinität umfasste. Die belichtete Fotolackschicht wurde unter Verwendung von Anisol entwickelt, um eine strukturierte Negativ-Fotolackschicht zu bilden und dabei in den unbelichteten Bereichen eine Oberfläche der P(S-r-EDCPMA)-Schicht freizulegen. Der entwickelte Fotolack wurde dann 2 Minuten bei einer Temperatur von 185°C ausgehärtet, was sich für die Verringerung der Löslichkeit des Fotolacks in PGMEA als vorteilhaft erwies. Eine Lösung von 1 Gew.-% in PGMEA, bezogen auf die Gesamtmasse der Lösung, wurde aus einer Mischung des Block-Copolymers PS-b-PMMA (46k bis 21k) und von PS (22k) (Massenverhältnis = 8:2) hergestellt. Die Lösung wurde dann durch Rotationsbeschichtung auf den in organischem Lösemittel entwickelten Fotolack aufgebracht. Die SA-Schicht wurde 2 Minuten bei einer Temperatur von 200°C ausgehärtet, worauf sich die in Kontakt mit der freiliegenden P(S-r-EDCPMA)-Schicht zur Steuerung der Oberflächenaffinität befindliche Dünnschicht der Polymermischung (PS-b-PMMA und PS 22k) selbst organisierte und zylindrische PMMA-Bereiche bildete, die innerhalb einer PS-Matrix senkrecht auf die Schicht zur Steuerung der Oberflächenaffinität ausgerichtet waren. Die 11A und 11B zeigen ein rasterkraftmikroskopisches (AFM) Höhenbild bzw. ein AFM-Phasenbild der selbstorganisierten PMMA-Bereiche innerhalb der thermisch behandelten Fotolackgräben. Der thermisch behandelte Fotolack löste sich in PGMEA nicht auf und diente als Leitstruktur für die selbstorganisierte PS-b-PMMA/PS-Mischung, was in dem AFM-Höhenbild (11A) zu erkennen ist, wobei die hellere Fläche den behandelten Fotolack und die dunklere Fläche die SA-Mischung innerhalb des Fotolackgrabens darstellt. Die gezielt selbstorganisierten PMMA-Bereiche (weiße Punkte) sind in dem AFM-Phasenbild (11B) dargestellt. Nach dem Schritt der gezielten Selbstorganisation wurde der thermisch behandelte strukturierte Fotolack durch 0,26 N Tetramethylammoniumhydroxid (TMAH, Air Products Optiyield CD) entfernt, um selbstorganisierte PMMA-Bereiche auf dem Substrat zurückzulassen, was aus dem AFM-Höhenbild und dem AFM-Phasenbild der 11C bzw. 11D zu ersehen ist. In dem AFM-Höhenbild (11C) stellen die hellere Fläche das SA-Material und die dunklere Fläche die Stellen dar, von denen der Fotolack entfernt wurde. Das AFM-Phasenbild (11D) zeigt die selbstorganisierten Bereiche (Punkte), die den helleren Bereichen in dem AFM-Höhenbild (11C) entsprechen.
  • Beispiel 5.
  • Eine Lösung, die einen Fotolack (JSR AR2928JN) für die Wellenlänge von 193 nm umfasst, wurde durch Rotationsbeschichtung (30 s bei 2.000 min–1) auf ein Substrat mit einer reflexionsmindernden Oberschicht (780 Angström von ARC-29A, Brewer Science) auf Silicium aufgebracht. Die Fotolackschicht wurde 60 s bei einer Temperatur von 120°C ausgehärtet. Der Fotolack wurde belichtet, um mittels der optischen Lithografie bei einer Wellenlänge von 193 nm eine Anordnung freiliegender Felder zu bilden. Der Fotolack wurde nach dem Belichten 60 s lang bei einer Temperatur von 115°C ausgehärtet. Der Fotolack wurde 60 s lang in Anisol entwickelt und im Rotationstrockner getrocknet. Die Wafer wurden 5 Minuten durch Aushärten der Wafer bei einer Temperatur von 175°C thermisch behandelt. Die Wafer wurden in einen Rotationsbeschichter gelegt, eine vorgegebene Zeit lang mit Propylenglycol-monomethyletheracetat (PGMEA) übergossen und dann trockengeschleudert. Die Ergebnisse für zwei Einweichzeiten mit PGMEA, 10 s und 30 s, sind in den 12A bzw. 12B gezeigt. Die 12A und 12B stellen die Dicke der entwickelten Fotolackschicht in Angström als Funktion der Belichtungsdosis in mJ/cm2 nach Entwicklung in Anisol nach einer auf die Entwicklung folgenden thermischen Behandlung (in der Legende als ”175C Aushärtung” bezeichnet), nach 10 s oder 30 s dauerndem Spülen des thermisch behandelten Fotolacks mit PGMEA und nach Entwicklung des thermisch behandelten Fotolacks in wässrigem Tetramethylammoniumhydroxid (TMAH) dar. Bei den Einweichzeiten von 10 s und 30 s in PGMEA wurde nur eine geringe Dickenänderung des thermisch behandelten Fotolacks beobachtet, was darauf hinweist, dass der Fotolack durch die thermische Behandlung nach der Entwicklung in PGMEA unlöslich gemacht wurde. Dennoch ließ sich der thermisch behandelte Fotolack leicht innerhalb von 30 s durch einen zweiten Standardentwicklungsprozess unter Verwendung von wässrigem Tetramethylammoniumhydroxid (TMAH) entfernen.
  • Beispiel 6.
  • Eine Vorstruktur für die chemische Epitaxie wurde durch Belichten eines Substrats hergestellt, das eine Oberschicht eines 20 nm dicken Fotolacks (JSR AR2928JN) für die Wellenlänge von 193 nm enthielt, welcher auf einer vernetzten P(S-r-EDCPMA)-Schicht zur Steuerung der Oberflächenaffinität aufgebracht war. Der belichtete Fotolack wurde mit Anisol entwickelt, um eine chemische Negativ-Vorstruktur zu erzeugen, die Plateaus der in organischem Lösemittel entwickelten dünnen Fotolack-Struktur umfasste, wobei die Substratoberfläche P(S-r-EDCPMA) umfasste. Die Vorstruktur wies einen Teilungsabstand von 90 nm auf, was in dem AFM-Höhenbild von 13A zu sehen ist. Eine Lösung von 1,5 Gew.-% in Anisol, bezogen auf die Gesamtmasse der Lösung, wurde aus einer Mischung der Block-Copolymere PS-b-PMMA (18k bis 18k) und PS-b-PMMA (37k bis 37k) hergestellt. Das Massenverhältnis der trockenen Mischung von PS-b-PMMA (18k bis 18k):PS-b-PMMA (37k bis 37k) betrug 9:1. Dann wurde die Lösung durch Rotationsbeschichtung auf die chemische Vorstruktur aufgebracht. Die SA-Schicht wurde 5 Minuten bei einer Temperatur von 240°C ausgehärtet, um oberhalb der chemischen Vorstruktur lamellenförmige PS- und PMMA-Bereiche zu bilden. Die Lage der PMMA-Bereiche wird durch die Streifen des strukturierten Fotolacks fixiert. Die PS- und PMMA-Bereiche wurden senkrecht auf die P(S-r-EDCPMA)-Unterschicht und parallel auf die Streifen des strukturierten Fotolacks ausgerichtet. Die lamellenförmigen Streifen weisen einen Teilungsabstand von 30 nm auf, was in dem AFM-Phasenbild von 13B zu sehen ist und der dreifachen räumlichen Dichte der Fotolackstreifen (Linienabstand = 90 nm) entspricht (11A).
  • Beispiel 7.
  • Diese Versuchsreihe demonstriert die Auswirkung der Härtetemperatur für zwei verschiedene Härtezeiten (1 Minute und 5 Minuten) auf die Löslichkeit einer flutbelichteten Fotolackschicht in PGMEA. Eine Lösung, die einen Fotolack (JSR AR2928JN) für die Wellenlänge von 193 nm umfasste, wurde durch Rotationsbeschichtung (30 s bei 3.000 min–1) auf ein Substrat mit einer reflexionsmindernden Oberschicht (780 Angström ARC-29A, Brewer Science) auf Silicium aufgebracht. Diese Schicht wird als ”frisch gegossen” bezeichnet. Die Dicke der Fotolackschicht wurde an 125 Punkten auf dem gesamten ”frisch gegossenen” Wafer gemessen. Nach dem Aufbringen wurde die Fotolackschicht 60 s bei 120°C ausgehärtet (PAB). Dann wurde die Fotolackschicht mit einer breitbandigen DUV-Lichtquelle (eine Hg/Xe-Kurzbogenlampe von 500 W (UXM-501MA) von Ushio America) bei einer Dosis von 150 mJ/cm2 flutbelichtet. Nach dem Belichten wurde der Wafer 60 s bei einer Temperatur von 115°C ausgehärtet (PEB). Anschließend wurde der Wafer unter Verwendung einer Gradientenheizplatte 1 Minute oder 5 Minuten bei Temperaturen im Bereich von 50°C bis 200°C einer thermischen Gradientenerwärmung ausgesetzt. An 125 Punkten wurde auf dem gesamten Wafer ”nach dem Aushärten” die Dicke der Fotolackschicht gemessen und jeder Messwert in Beziehung zur entsprechenden Temperatur des Wärmegradienten gesetzt. Die Dicke des Fotolacks nimmt nahezu linear mit der Härtetemperatur ab, was wahrscheinlich auf das Verdampfen (d. h. das Ausgasen) freiwerdender Schutzgruppen und die Verdichtung des Fotolack-Dünnfilms zurückzuführen ist. Die Ergebnisse sind in den 14A und 14B in Form der Kurven mit der Bezeichnung ”frisch gegossen” und ”nach dem Aushärten” dargestellt. Ein Wafer ”nach dem Aushärten” wurde 60 s in PGMEA entwickelt und die verbleibende Dicke des Fotolacks auf dieselbe Weise erneut gemessen. Die Ergebnisse sind in den 14A und 14B als ”PGMEA-Entwicklung” dargestellt. Die Ergebnisse zeigen, dass bei einer Gradiententemperatur von ungefähr 180°C und einer Heizdauer von 1 Minute kein weiterer Dickenverlust im Ergebnis des PGMEA-Entwicklungsprozesses beobachtet wird, was darauf hinweist, dass der Dünnfilm in PGMEA völlig unlöslich geworden ist. Desgleichen ist die belichtete Fotolackschicht auch bei einer Behandlungstemperatur von 170°C bis 175°C und einer Heizdauer von 5 Minuten unlöslich geworden.
  • Die obigen Ergebnisse zeigen, dass die Löslichkeit der belichteten Fotolackschicht durch eine Wärmebehandlung von 1 und 5 Minuten bei Temperaturen im Bereich von 90°C bis 200°C deutlich verringert werden kann. Es können geeignete Temperatur-/Zeit-Bedingungen ermittelt werden, unter denen es zwischen den Kurven ”nach dem Aushärten” (Kreise in den 14A und 14B) und ”PGMEA-Entwicklung” (Dreiecke in den 14A und 14B) zu keiner Dickenänderung kommt. Die optimalen Bedingungen für die Wärmebehandlung bezüglich Temperatur und Heizdauer können von zahlreichen Faktoren abhängen, darunter die Belichtungsdosis, die Fotolackzusammensetzung und der Strahlungstyp (spektrale Bandbreite und Intensität). Im Allgemeinen ermöglicht eine Wärmebehandlung bei höheren Temperaturen kürzere Heizzeiten, während eine längere Heizdauer die Bedingungen zu niedrigeren Temperaturen verschiebt. Diese Daten gelten für massive Dünnschichten und die tatsächlich erforderlichen Bedingungen, um den Fotolack in PGMEA unlöslich zu machen, können je nach der Größe der zu strukturierenden Merkmale variieren; es hat sich jedoch gezeigt, dass die Ergebnisse der Wärmebehandlung von Beispiel 7 im Allgemeinen zur Vorhersage der Löslichkeit einer entwickelten Fotolackschicht in PGMEA herangezogen werden können, die nach der Entwicklung belichtet und/oder einer ähnlichen Wärmebehandlung unterzogen wurde.
  • Vergleichsbeispiel 1.
  • Wenn der belichtete Positiv-Fotolack durch einen Standardentwickler (0,26 N TMAH) entwickelt wird, umfasst die entstandene unvernetzte Fotolackschicht unbelichteten Fotolack. Die entstandene Fotolackschicht wird während des Aufbringens des SA-Materials aufgelöst und kann die Selbstorganisation nicht steuern. Eine topografische Vorstruktur wurde durch Belichten einer Stapelstruktur erzeugt, die gemäß der obigen Beschreibung eine Schicht eines Fotolacks (JSR AR2928JN) für die Wellenlänge von 193 nm auf einer reflexionsmindernden Beschichtung umfasst. Die belichteten Teile des Fotolacks wurden unter Verwendung eines Standardentwicklers von 0,26 N TMAH entwickelt, um eine Fotolackstruktur von Streifen und Zwischenräumen (L/S) mit einem Abstand von 100 nm zu erzeugen, die in 15A (AFM-Höhenbild) gezeigt ist. Eine Lösung des Block-Copolymers PS-b-PMMA (46k bis 21k) mit 2 Gew.-% in Anisol wurde auf die Fotolackstruktur aufgebracht, das organische Lösemittel entfernt, um die das Block-Copolymer PS-b-PMMA (46k bis 21k) umfassende SA-Schicht zu bilden, und die SA-Schicht wurde 5 Minuten bei einer Temperatur von 200°C ausgehärtet. 15B und 15C zeigen AFM-Bilder der frisch gegossenen und ausgehärteten selbstorganisierenden Polymere auf den strukturierten Fotolackoberflächen. Der strukturierte Fotolack wurde beim Aufbringen der Lösung des PS-b-PMMA (46k bis 21k) aufgelöst (15B, AFM-Höhenbild) und es wurden keine selbstorganisierten Bereiche durch die Fotolacktopografie gesteuert (15C, AFM-Phasenbild).
  • Vergleichsbeispiel 2.
  • Wenn der belichtete Positiv-Fotolack durch ein nicht alkalisches organisches Lösemittel entwickelt wird, ist die Fotolackstruktur in einem anderen nicht alkalischen organischen Lösemittel nicht unbedingt unlöslich. Wenn für das SA-Material kein passendes organisches Gießlösemittel verwendet wird, kann die im organischen Lösemittel entwickelte Fotolackstruktur aufgelöst werden und dient nicht als geeignete Leitstruktur für die Selbstorganisation des Polymers. Eine topografische Vorstruktur wurde durch Belichten eines Substrat erzeugt, die gemäß der obigen Beschreibung eine Fotolackschicht (JSR AR2928JN) für die Wellenlänge von 193 nm auf einer reflexionsmindernden Beschichtung (Shin-Etsu A940) umfasste. Die unbelichteten Teile des Fotolacks wurden durch Entwickeln in Anisol entfernt, um die in 16A (AFM-Höhenbild) gezeigte Vorstruktur von Streifen und Zwischenräumen mit einem Abstand von 100 nm zu bilden. Dann wurde eine Lösung des Block-Copolymers PS-b-PMMA (46k bis 21k) mit 2 Gew.-% in PGMEA auf die strukturierte Fotolackschicht aufgebracht, das Lösemittel entfernt und die entstandene, das PS-b-PMMA (46k bis 21k) enthaltende SA-Schicht 5 Minuten bei einer Temperatur von 200°C ausgehärtet. 16B zeigt ein AFM-Phasenbild der ausgehärteten SA-Schicht auf der Fotolacktopografie. Die Fotolacktopografie wurde beim Aufbringen des Block-Copolymer durch das PGMEA aufgelöst, sodass keine durch die Topografie gesteuerten selbstorganisierten Bereiche des Block-Copolymers gebildet wurden.

Claims (12)

  1. Verfahren zum Bilden einer Schichtstruktur (54) mit einer Reliefstruktur (50), das die folgenden Schritte umfasst: a) Aufbringen einer Positiv-Fotolackschicht (14), die einen nicht vernetzenden Fotolack umfasst, auf eine Oberfläche (12) eines Substrats (10) b) anschließendes wahlweises Aushärten der Fotolackschicht (14); c) Belichten einzelner Strukturbereiche (21) der Fotolackschicht (14) mit einer ersten Strahlung d) anschließendes wahlweises Aushärten der belichteten Fotolackschicht (22); e) Entwickeln der belichteten Fotolackschicht (22) mit einem nicht alkalischen Entwickler, wobei unbelichtete Strukturbereiche (26) der belichteten Fotolackschicht (22) entfernt werden, sodass eine negativ strukturierte Fotolackschicht (30) gebildet wird, die unvernetzten entwickelten Fotolack (24) mit einer im Vergleich zum unbelichteten Fotolack (14) erhöhten Polarität umfasst; f) Behandeln der strukturierten Fotolackschicht (30) durch Flutlichtbelichtung mit einer zweiten Strahlung und/oder thermisches Behandeln der strukturierten Fotolackschicht (30) bei einer Temperatur von 60°C bis 300°C für mindestens 1 Sekunde und/oder chemisches Behandeln der strukturierten Fotolackschicht (30) durch Kontakt mit. den Dämpfen einer flüchtigen Lewis-Säure derart, dass eine behandelte strukturierte Fotolackschicht 37) gebildet wird, die unvernetzten behandelten Fotolack (35) mit einer im Vergleich zum entwickelten Fotolack (24) erhöhten Polarität. umfasst; g) Gießen einer Lösung eines bestimmten Polymers (36), das zur Selbstorganisation in der Lage ist, und das in einem bestimmten organischen Lösemittel gelöst ist, auf die behandelte strukturierte Fotolackschicht (37), wobei der behandelte Fotolack (35) in dem bestimmten organischen Lösemittel unlöslich ist, und h) Entfernen des bestimmten organischen Lösemittels; i) Selbstorganisation des gegossenen bestimmten Polymers (36), wobei die Selbstorganisation unter Erwärmen und/oder Tempern des bestimmten Polymers (36) erfolgt, so dass sich eine Struktur von Bereichen (42, 44) des bestimmten Polymers (36) bildet, die einen ersten Bereich (44) und einen zweiten Bereich (42) umfasst, die verschiedene Komponenten des selbstorganisierenden Polymers (36) umfassen; und j) selektives Entfernen des ersten Bereichs (44), so dass der zweite Bereich (42) und der behandelte Fotolack (35) die Reliefstruktur (50) bilden.
  2. Verfahren nach Anspruch 1, wobei das selbstorganisierte bestimmte Polymer (36) in einem Graben der behandelten strukturierten Fotolackschicht (37) aufgebracht wird und eine Dicke kleiner oder gleich einer Höhe der behandelten strukturierten Fotolackschicht (37) aufweist.
  3. Verfahren nach Anspruch 1, wobei das selbstorganisierte bestimmte Polymer (36) auf eine Oberschicht der behandelten strukturierten Fotolackschicht (37) und auf eine Substratoberfläche aufgebracht wird und das selbstorganisierte bestimmte Polymer (36) eine Dicke größer als oder gleich einer Höhe eines Grabens der behandelten strukturierten Fotolackschicht (37) aufweist.
  4. Verfahren nach Anspruch 1, das ferner das Übertragen der Reliefstruktur (50) auf das Substrat (10) umfasst, wodurch eine dritte Schichtstruktur (58) gebildet wird.
  5. Verfahren nach Anspruch 1, wobei die Belichtung einzelner Strukturbereiche (21) durch Immersionslithografie bei einer Wellenlänge von 193 nm durchgeführt wird.
  6. Verfahren nach Anspruch 1, wobei es sich bei der ersten Strahlung um Strahlung im extremen Ultraviolett oder um Elektronenstrahlen handelt.
  7. Verfahren nach Anspruch 1, wobei das bestimmte Polymer (36), das zur Selbstorganisation in der Lage ist, eine Polymermischung von zwei oder mehr miteinander nicht mischbaren Polymeren umfasst.
  8. Verfahren nach Anspruch 1, wobei das bestimmte Polymer (36), das zur Selbstorganisation in der Lage ist, ein Block-Copolymer umfasst.
  9. Verfahren nach Anspruch 8, wobei das Block-Copolymer aus der Gruppe ausgewählt wird bestehend aus Poly(styrol-b-vinylpyridin), Poly(styrol-b-butadien), Poly(styrol-b-isopren), Poly(styrol-b-methylmethacrylat), Poly(styrol-b-alkenylaromaten), Poly(isopren-b-ethylenoxid), Poly(styrol-b-(ethylen-propylen)), Poly(ethylenoxid-b-caprolacton), Poly(butadien-b-ethylenoxid), Polystyrol-b-t-butyl(meth)acrylat), Poly(methylmethacrylat-b-t-butylmethacrylat), Poly(ethylenoxid-b-propylenoxid), Poly(styrol-b-tetrahydrofuran), Poly(styrol-b-dimethylsiloxan), Poly(styrol-b-ferrocenyldimethylsilan), Poly(styrol-b-isopren-b-ethylenoxid), Poly(styrol-b-isopren-b-methylmethacrylat), Poly(styrol-b-ferrocendimethylsilan-b-isopren) und eine Kombination, die mindestens eines der obigen Block-Copelymere umfasst.
  10. Verfahren nach Anspruch 1, wobei der nicht alkalische Entwickler ein organisches Lösemittel umfasst, ausgewählt aus der Gruppe bestehend aus Toluol, Ethylenglycol, Propylenglycol, Propylenglycol-monomethyletheracetat, Propylenglycol-monomethylether, 4-Methyl-2-pentanol, n-Butylacetat, Anisol, Aceton und deren Kombinationen.
  11. Verfahren nach Anspruch 1, wobei es sich bei dem nicht alkalischen Entwickler um eine überkritische Flüssigkeit handelt.
  12. Verfahren ach Anspruch 1, wobei die Oberfläche des Substrats ein Material zur Steuerung der Oberflächenaffinität umfasst, ausgewählt aus der Gruppe bestehend aus Poly(epoxydicyclopentadien-methacrylat-co-styrol), Poly(styrol-co-methylmethacrylat-co-expoxydicyclopentadienmethacrylat), Poly(styrol-co-methylmethacrylat-co-glycidylmethacrylat), Poly(styrol-co-methylmethacrylat-co-2-hydroxyethylmethacrylat), Poly(styrol-co-methylmethacrylat-co-4-vinylcinammat), Polystyrol-co-methylmethacrylat-co-vinylbenzecyclobutan), Poly(styrol-co-vinylbenzocyclobutan, Poly(alpha-methylstyrol-co-methylmethacrylat), Poly(methylglutarimid), selbstorganisierte Monoschichten, Poly(styrol-co-methylmethacrylat) mit terminalen Hydroxylgruppen, Polystyrol-co-methylmethacrylat-co-2-hydroxyethylmethacrylat), Poly(styrol) mit terminalen Hydroxylgruppen, Poly(methylmethacrylat) mit terminalen Hydroxylgruppen, Poly(styrol-b-methylmethacrylat) und deren Kombinationen.
DE112010004848.7T 2009-12-18 2010-11-26 Verfahren zur gezielten Selbstorganisation mit Immersionslithographie bei 193 nm Active DE112010004848B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/641,959 2009-12-18
US12/641,959 US8821978B2 (en) 2009-12-18 2009-12-18 Methods of directed self-assembly and layered structures formed therefrom
PCT/EP2010/068316 WO2011073013A1 (en) 2009-12-18 2010-11-26 Methods of directed self-assembly with 193 - nm immersion lithography and layered structures formed therefrom

Publications (2)

Publication Number Publication Date
DE112010004848T5 DE112010004848T5 (de) 2012-09-27
DE112010004848B4 true DE112010004848B4 (de) 2016-08-25

Family

ID=43618760

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112010004848.7T Active DE112010004848B4 (de) 2009-12-18 2010-11-26 Verfahren zur gezielten Selbstorganisation mit Immersionslithographie bei 193 nm

Country Status (5)

Country Link
US (1) US8821978B2 (de)
CN (1) CN102656111B (de)
DE (1) DE112010004848B4 (de)
GB (1) GB2488250B (de)
WO (1) WO2011073013A1 (de)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10538859B2 (en) 2010-12-23 2020-01-21 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
US9389511B2 (en) * 2011-03-18 2016-07-12 Cornell University Methods of making patterned structures of materials, patterned structures of materials, and methods of using same
NL2009002A (en) * 2011-07-18 2013-01-21 Asml Netherlands Bv Method for providing a template for a self-assemblable polymer for use in device lithography.
US20130045591A1 (en) * 2011-08-15 2013-02-21 Texas Instruments Incorporated Negative tone develop process with photoresist doping
JP5542766B2 (ja) * 2011-09-26 2014-07-09 株式会社東芝 パターン形成方法
JP5795221B2 (ja) * 2011-09-26 2015-10-14 株式会社東芝 パターン形成方法
KR20130034778A (ko) * 2011-09-29 2013-04-08 주식회사 동진쎄미켐 유도된 자가정렬 공정을 이용한 반도체 소자의 미세패턴 형성 방법
CN102520591A (zh) * 2011-12-15 2012-06-27 东南大学 一种基于负性光刻胶的扩散片光刻工艺方法
EP2642341A2 (de) * 2012-03-22 2013-09-25 Koninklijke Philips N.V. Verfahren zur Herstellung einer Vorrichtung zur Verarbeitung von Einzelmolekülen
JP6070964B2 (ja) * 2012-03-27 2017-02-01 日産化学工業株式会社 自己組織化膜の下層膜形成組成物
WO2013143813A1 (en) * 2012-03-28 2013-10-03 Asml Netherlands B.V. Methods of providing patterned templates for self-assemblable block copolymers for use in device lithography
US8741551B2 (en) * 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
JP5934565B2 (ja) * 2012-04-20 2016-06-15 東京応化工業株式会社 パターンの縮小方法、及び組成物
JP5642731B2 (ja) * 2012-04-27 2014-12-17 信越化学工業株式会社 パターン形成方法
US9298870B1 (en) * 2012-05-16 2016-03-29 International Business Machines Corporation Method for designing topographic patterns for directing the formation of self-assembled domains at specified locations on substrates
CN103681249B (zh) * 2012-09-05 2017-07-28 中芯国际集成电路制造(上海)有限公司 半导体器件精细图案的制作方法
JP5919210B2 (ja) * 2012-09-28 2016-05-18 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP5537628B2 (ja) 2012-10-09 2014-07-02 株式会社東芝 自己組織化パターンの形成方法
JP6088803B2 (ja) * 2012-11-16 2017-03-01 株式会社日立ハイテクノロジーズ 画像処理装置、自己組織化リソグラフィ技術によるパターン生成方法、及びコンピュータープログラム
US8986596B2 (en) 2012-11-18 2015-03-24 International Business Machines Corporation Methods of forming nanoparticles using semiconductor manufacturing infrastructure
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
US9159558B2 (en) * 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
JP6454324B2 (ja) * 2013-04-03 2019-01-16 ブルーワー サイエンス アイ エヌ シー. 誘導自己組織化用ブロックコポリマーに用いる高エッチング耐性ポリマーブロック
GB2530193B (en) * 2013-06-27 2020-01-01 Intel Corp Non-lithographically patterned directed self assembly alignment promotion layers
US9405189B2 (en) * 2013-09-06 2016-08-02 Rohm And Haas Electronic Materials Llc Self-assembled structures, method of manufacture thereof and articles comprising the same
US10078261B2 (en) 2013-09-06 2018-09-18 Rohm And Haas Electronic Materials Llc Self-assembled structures, method of manufacture thereof and articles comprising the same
JP2015082011A (ja) * 2013-10-22 2015-04-27 富士フイルム株式会社 パターン形成方法、並びに、これを用いた電子デバイスの製造方法、及び、電子デバイス
CN106104754B (zh) 2014-01-16 2020-07-28 布鲁尔科技公司 用于直接自组装的高chi嵌段共聚物
KR20150101875A (ko) 2014-02-27 2015-09-04 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US9448483B2 (en) 2014-07-31 2016-09-20 Dow Global Technologies Llc Pattern shrink methods
JP2016054214A (ja) * 2014-09-03 2016-04-14 株式会社東芝 パターン形成方法
US9960355B2 (en) * 2014-10-03 2018-05-01 Palo Alto Research Center Incorporated Organic polymer semiconductors with increased interdomain connectivity and mobility
US9458531B2 (en) * 2014-11-04 2016-10-04 HGST Netherlands B.V. Method for directed self-assembly (DSA) of block copolymers using guiding line sidewalls
KR102335109B1 (ko) * 2014-12-15 2021-12-03 삼성전자 주식회사 미세 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
KR102480950B1 (ko) * 2014-12-24 2022-12-23 올싸거널 인코포레이티드 전자 장치의 포토리소그래피 패터닝
US10011713B2 (en) 2014-12-30 2018-07-03 Dow Global Technologies Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US11021630B2 (en) * 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US10294359B2 (en) 2014-12-30 2019-05-21 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US20160186001A1 (en) * 2014-12-30 2016-06-30 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
FR3031748B1 (fr) * 2015-01-21 2018-09-28 Arkema France Procede de reduction du temps d'assemblage des films ordones de copolymere a blocs
FR3031749B1 (fr) * 2015-01-21 2018-09-28 Arkema France Procede d'amelioration de l'uniformite de dimension critique de films ordonnes de copolymeres a blocs
TWI669337B (zh) 2015-02-26 2019-08-21 美商羅門哈斯電子材料有限公司 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
TWI588200B (zh) * 2015-02-26 2017-06-21 羅門哈斯電子材料有限公司 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
TWI627219B (zh) 2015-02-26 2018-06-21 羅門哈斯電子材料有限公司 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
TWI612379B (zh) * 2015-02-26 2018-01-21 Rohm And Haas Electronic Materials Llc 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
KR102346515B1 (ko) 2015-05-19 2022-01-04 삼성전자주식회사 패턴 구조물의 형성 방법
TWI617900B (zh) 2015-06-03 2018-03-11 羅門哈斯電子材料有限公司 圖案處理方法
TWI606099B (zh) 2015-06-03 2017-11-21 羅門哈斯電子材料有限公司 圖案處理方法
TWI615460B (zh) 2015-06-03 2018-02-21 羅門哈斯電子材料有限公司 用於圖案處理的組合物和方法
TWI627220B (zh) 2015-06-03 2018-06-21 羅門哈斯電子材料有限公司 用於圖案處理之組合物及方法
KR102402958B1 (ko) * 2015-11-11 2022-05-27 삼성전자주식회사 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
US10162265B2 (en) 2015-12-09 2018-12-25 Rohm And Haas Electronic Materials Llc Pattern treatment methods
WO2018008734A1 (ja) * 2016-07-06 2018-01-11 Jsr株式会社 膜形成用組成物、膜形成方法及び自己組織化リソグラフィープロセス
US11008481B1 (en) 2017-05-31 2021-05-18 Seagate Technology Llc Polymer brush reflow for directed self-assembly of block copolymer thin films
KR102037906B1 (ko) * 2017-06-23 2019-11-27 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10345702B2 (en) * 2017-08-24 2019-07-09 International Business Machines Corporation Polymer brushes for extreme ultraviolet photolithography
US10347486B1 (en) * 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
KR102453516B1 (ko) * 2018-03-13 2022-10-12 후지필름 가부시키가이샤 경화막의 제조 방법, 고체 촬상 소자의 제조 방법
CN108873138B (zh) * 2018-06-27 2021-07-27 Tcl华星光电技术有限公司 偏振片的制作方法及偏振片
FR3110716B1 (fr) * 2020-05-19 2022-04-29 Commissariat Energie Atomique Procede de fabrication de moules pour lithographie par nano-impression
KR102472451B1 (ko) * 2021-11-29 2022-12-01 한국표준과학연구원 디지털 표면증강 라만분광 센싱 플랫폼

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3382809T2 (de) * 1982-08-23 1997-04-03 Ibm Photolackzusammensetzung
WO2008097736A2 (en) * 2007-02-08 2008-08-14 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6232417B1 (en) * 1996-03-07 2001-05-15 The B. F. Goodrich Company Photoresist compositions comprising polycyclic polymers with acid labile pendant groups
JP4004014B2 (ja) 2000-03-28 2007-11-07 株式会社東芝 レジストパターンの形成方法
DE10120661A1 (de) * 2001-04-27 2002-11-21 Infineon Technologies Ag Photolackzusammensetzung und Verfahren zur Strukturierung einer Photolackschicht
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6746825B2 (en) * 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20040029047A1 (en) * 2002-08-07 2004-02-12 Renesas Technology Corp. Micropattern forming material, micropattern forming method and method for manufacturing semiconductor device
US7008757B2 (en) * 2002-12-17 2006-03-07 Lucent Technologies Inc. Patterned structures of high refractive index materials
US6900001B2 (en) * 2003-01-31 2005-05-31 Applied Materials, Inc. Method for modifying resist images by electron beam exposure
WO2005060668A2 (en) * 2003-12-18 2005-07-07 The Trustees Of Columbia University In The City Ofnew York Methods of modifying surfaces
US6989324B2 (en) * 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
KR100585138B1 (ko) * 2004-04-08 2006-05-30 삼성전자주식회사 반도체 소자 제조용 마스크 패턴 및 그 형성 방법과 미세패턴을 가지는 반도체 소자의 제조 방법
US8287957B2 (en) * 2004-11-22 2012-10-16 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
ATE414934T1 (de) * 2004-11-25 2008-12-15 Nxp Bv Lithographisches verfahren
US7964209B2 (en) * 2004-12-07 2011-06-21 Boston Scientific Scimed, Inc. Orienting polymer domains for controlled drug delivery
US7825037B2 (en) * 2005-10-17 2010-11-02 Stc.Unm Fabrication of enclosed nanochannels using silica nanoparticles
US7347953B2 (en) * 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
JP5414011B2 (ja) * 2006-05-23 2014-02-12 国立大学法人京都大学 微細構造体、パターン媒体、及びそれらの製造方法
US8647814B2 (en) * 2006-05-24 2014-02-11 Northwestern University Method of making nanopatterns and nanostructures and nanopatterned functional oxide materials
KR100771886B1 (ko) * 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
WO2008070060A2 (en) * 2006-12-06 2008-06-12 Fujifilm Electronic Materials U.S.A., Inc. Device manufacturing process utilizing a double pattering process
US7790350B2 (en) * 2007-07-30 2010-09-07 International Business Machines Corporation Method and materials for patterning a neutral surface
US7989026B2 (en) * 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US7521094B1 (en) * 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8137874B2 (en) 2008-01-23 2012-03-20 International Business Machines Corporation Organic graded spin on BARC compositions for high NA lithography
JP2009255497A (ja) * 2008-03-18 2009-11-05 Fujifilm Corp 可撓性基板上ミクロ相分離構造体、及びその製造方法
KR101535227B1 (ko) * 2008-12-31 2015-07-08 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US8758987B2 (en) * 2009-09-02 2014-06-24 Micron Technology, Inc. Methods of forming a reversed pattern in a substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3382809T2 (de) * 1982-08-23 1997-04-03 Ibm Photolackzusammensetzung
WO2008097736A2 (en) * 2007-02-08 2008-08-14 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
PARK, S. H: et al.: Block copolymer multiple patterning integrated with conventional ArF lithography. In: Soft Matter, Vol. 6, 2010, S. 120-125. *
TARUTANI, S. et al.: Development of materials and processes for double patterning toward 32- nm node 193- nm immersion lithography process. In: Advances in Resist Materials and Processing Technology XXV, Proc. of SPIE Vol. 6923, 2008, 6923F1-8. *

Also Published As

Publication number Publication date
GB201203583D0 (en) 2012-04-11
GB2488250B (en) 2014-02-26
CN102656111B (zh) 2015-03-04
US20110147983A1 (en) 2011-06-23
GB2488250A (en) 2012-08-22
CN102656111A (zh) 2012-09-05
DE112010004848T5 (de) 2012-09-27
US8821978B2 (en) 2014-09-02
WO2011073013A1 (en) 2011-06-23

Similar Documents

Publication Publication Date Title
DE112010004848B4 (de) Verfahren zur gezielten Selbstorganisation mit Immersionslithographie bei 193 nm
DE112010004884T5 (de) Verfahren für die Gerichtete Selbstorganisation und damit Hergestellte Schichtstrukturen
US8828493B2 (en) Methods of directed self-assembly and layered structures formed therefrom
DE112016000434T5 (de) Hybride topgraphische und chemische Vorstrukturen zur geführten Selbstorganisation von Block-Copolymeren
US7521094B1 (en) Method of forming polymer features by directed self-assembly of block copolymers
US9159558B2 (en) Methods of reducing defects in directed self-assembled structures
US7521090B1 (en) Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US9541830B2 (en) Block copolymers and lithographic patterning using same
JP6077547B2 (ja) 誘導自己組織化ブロックコポリマーのための中性層の組成物及びそれの方法
EP3362404B1 (de) Zusammensetzungen und verfahren zur selbstanordnung von blockcopolymeren
US8822124B2 (en) Underlayer composition and method of imaging underlayer composition
EP3500637B1 (de) Polymerzusammensetzungen für selbstmontageanwendungen
EP3523823B1 (de) Chemisch strukturierte führungsschichten zur verwendung in der chemoepitaktischen ausrichtung von block-copolymeren
TW202140580A (zh) 用於嵌段共聚物之自組裝之新穎組合物及方法

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

Owner name: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES , US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE