DE10223765A1 - Halbleiterherstellungssystem mit Auslaßrohr, Abscheidungseliminierungsverfahren zum Gebrauch mit dem Halbleiterherstellungssystem und Verfahren zur Herstellung einer Halbleitervorrichtung - Google Patents

Halbleiterherstellungssystem mit Auslaßrohr, Abscheidungseliminierungsverfahren zum Gebrauch mit dem Halbleiterherstellungssystem und Verfahren zur Herstellung einer Halbleitervorrichtung

Info

Publication number
DE10223765A1
DE10223765A1 DE10223765A DE10223765A DE10223765A1 DE 10223765 A1 DE10223765 A1 DE 10223765A1 DE 10223765 A DE10223765 A DE 10223765A DE 10223765 A DE10223765 A DE 10223765A DE 10223765 A1 DE10223765 A1 DE 10223765A1
Authority
DE
Germany
Prior art keywords
reaction chamber
manufacturing system
semiconductor manufacturing
section
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE10223765A
Other languages
English (en)
Inventor
Toshihiko Minami
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Publication of DE10223765A1 publication Critical patent/DE10223765A1/de
Ceased legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Ein Reaktivgas wird in eine Reaktionskammer über ein Reaktivgaszufuhrrohr zugeführt. Das Reaktivgas wird über ein Hauptauslaßrohr aus der Reaktionskammer ausgestoßen bzw. abgesaugt. Außenluft wird in die Reaktionskammer über ein Lufteinlaßrohr mittels des Öffnens eines Lufteinlaßventils hereingezogen. Ferner wird ein Hauptauslaßventil geschlossen, und ein Schmutzsammelauslaßventil wird geöffnet. Im Ergebnis wird ein Nebenprodukt, welches auf einer Innenwand der Reaktionskammer und im Hauptauslaßrohr abgeschieden worden war, mittels eines Schmutzsammelauslaßrohrs ausgestoßen bzw. abgesaugt, welches eine höhere Absaugstärke besitzt als das Hauptauslaßrohr.

Description

  • Die vorliegende Erfindung bezieht sich auf ein Halbleiterherstellungssystem und insbesondere auf ein chemisches Dampfabscheidungssystem.
  • Fig. 7 ist eine schematische Querschnittsansicht zum Beschreiben eines der Anmelderin bekannten Halbleiterherstellungssystems (chemisches Dampfabscheidungssystem).
  • Wie in Fig. 7 gezeigt, bezeichnet Bezugsziffer 1 eine Reaktionskammer; 2 bezeichnet eine Plattform, die in der Reaktionskammer angeordnet ist und ein Substrat A hält; 3 bezeichnet ein Reaktivgaszufuhrrohr, welches mit der Reaktionskammer verbunden ist; 4 bezeichnet ein Hauptauslaßrohr, welches mit der Reaktionskammer 1 verbunden ist; und 5 bezeichnet ein Hauptauslaßventil, welches auf dem Hauptauslaßrohr 4 vorgesehen ist.
  • Als nächstes wird der Betrieb des Halbleiterherstellungssystems beschrieben; das heißt ein Verfahren zum Bilden eines Dünnfilms in dem Halbleiterherstellungssystem.
  • Zuerst wird das Substrat A in die Reaktionskammer 1 eingebracht. Das Substrat A wird auf der Plattform 2 gehalten, welche zuvor auf eine vorbestimmte Temperatur erhitzt worden war.
  • Eine Vielzahl von Arten von Reaktivgasen werden in die Reaktionskammer 1 mittels des Reaktivgaszufuhrrohrs 3 eingefüllt, danach wird Plasma wie erforderlich induziert. Im Ergebnis wird ein Dünnfilm auf der Oberfläche des Substrats A über die chemische Dampfabscheidung gebildet.
  • Nach der Bildung des Dünnfilms wird das Reaktivgas, welches noch in der Reaktionskammer 1 verbleibt (nachfolgend als "verbleibendes Gas" bezeichnet) nach außen aus der Reaktionskammer 1 mittels dem Hauptauslaßrohr 4 her ausgelassen bzw. abgeführt. Zu diesem Zeitpunkt entwickelt ein Teil des verbleibenden Gases auf der Innenwand der Reaktionskammer 1 oder im Inneren des Hauptauslaßrohrs 4 ein Nebenprodukt (insbesondere ein pulverförmiges Nebenprodukt).
  • Nach dem Auslaß des verbleibenden Gases wird das Substrat A, welches darauf gebildet einen Dünnfilm aufweist, aus der Reaktionskammer 1 herausgenommen.
  • Wie oben beschrieben baut sich, wenn das verbleibende Gas nach der Bildung eines Dünnfilms aus der Reaktionskammer 1 ausgegeben wird, ein Teil des pulverförmigen Nebenprodukts auf der Innenwandoberfläche der Reaktionskammer 1 oder in dem Hauptauslaßrohr 4 auf. Die Menge der Nebenproduktbildung steigt mit einer Erhöhung der Anzahl der zu verarbeitenden Wafer an.
  • Wenn die Menge der Nebenproduktbildung (nachfolgend als "Abscheidung" bezeichnet) sich erhöht, beeinträchtigt somit die Abscheidung einen Luftstrom in der Reaktionskammer 1 und stört diesen. Folglich wird die Gleichförmigkeit in der Ebene hinsichtlich der Dicke des auf dem Substrat A gebildeten Dünnfilms verschlechtert.
  • Die in der Reaktionskammer 1 hängende Abscheidung scheidet sich in Form von Partikeln auf dem Substrat A ab, wodurch die Herstellungsausbeute erniedrigt wird.
  • Die Menge an Nebenprodukt, welches sich bildet, nimmt stark zu im Zuge der Anzahl der zu verarbeitenden Wafer. Aus diesem Grund war es bisher erforderlich, die Reaktionskammer 1 und das Hauptauslaßrohr 4 in regelmäßigen Zeitabständen einer Naßreinigung zu unterziehen. Dies führt wiederum zu einer Erniedrigung der Verfügbarkeit des Halbleiterherstellungssystems.
  • Die vorliegende Erfindung wurde entwickelt, um die zuvor bezeichneten Probleme zu lösen.
  • Es ist eine Aufgabe der vorliegenden Erfindung, eine leichte Eliminierung von Nebenprodukten zu ermöglichen, welche sich auf einer Innenwand einer Reaktionskammer oder in einem Hauptauslaßrohr aufbauen.
  • Eine andere Aufgabe der Erfindung ist es, die Verfügbarkeit eines Halbleiterherstellungssystems zu verbessern durch das Verringern der Häufigkeit der Naßreinigung.
  • Eine weitere Aufgabe der Erfindung ist es, einen Dünnfilm hoher Qualität zu erzeugen, welcher eine überlegene Gleichförmigkeit in der Ebene besitzt und eine geringere Menge an Teilchenabscheidung einschließt.
  • Die obigen Aufgaben der vorliegenden Erfindung werden erzielt durch das nachfolgende Halbleiterherstellungssystem und durch das nachfolgende Abscheidungseliminierungsverfahren zum Gebrauch mit dem Halbleiterherstellungssystem.
  • Gemäß einem Gegenstand der vorliegenden Erfindung umfaßt das Halbleiterherstellungssystem einen Zufuhrabschnitt zur Zufuhr eines Reaktivgases zu einer Reaktionskammer. Ein erster Auslaßabschnitt gibt das Reaktivgas aus der Reaktionskammer aus. Ein Lufteinlaßabschnitt zieht Außenluft in die Reaktionskammer herein. Ein zweiter Auslaßabschnitt, welcher eine stärkere Absaugstärke aufweist als diejenige des ersten Auslaßabschnitts, stößt ein Nebenprodukt, welches sich an einer Innenwand der Reaktionskammer abgeschieden hat, aus der Reaktionskammer mit der Außenluft aus.
  • Gemäß einem weiteren Gegenstand der vorliegenden Erfindung wird in dem Abscheidungselimierungsverfahren zum Gebrauch mit einem Halbleiterherstellungssystem nach der Bildung eines Dünnfilms auf einem Substrat in der Reaktionskammer des Halbleiterherstellungssystems ein Reaktivgas zuerst aus einer Reaktionskammer ausgestoßen. Außenluft wird nach dem Auslaß des Reaktivgases in die Reaktionskammer eingezogen, und die Außenluft wird gleichzeitig aus der Reaktionskammer abgezogen. Wobei der Auslaß des Reaktivgases bei einer höheren Absauggeschwindigkeit durchgeführt wird als der Auslaß der Außenluft.
  • Andere Aufgaben und weitere Merkmale der vorliegenden Erfindung werden aus der nachfolgenden detaillierten Beschreibung beim Lesen in Verbindung mit den beigefügten Zeichnungen deutlich.
  • Fig. 1 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der ersten Ausführungsform;
  • Fig. 2 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der zweiten Ausführungsform;
  • Fig. 3 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der dritten Ausführungsform;
  • Fig. 4 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der vierten Ausführungsform;
  • Fig. 5 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der fünften Ausführungsform;
  • Fig. 6 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der sechsten Ausführungsform; und
  • Fig. 7 ist eine schematische Schnittansicht zum Beschreiben eines der Anmelderin bekannten Halbleiterherstellungssystems.
  • Nachfolgend werden die Prinzipien und Ausführungsformen der vorliegenden Erfindung unter Bezugnahme auf die beigefügten Zeichnungen erläutert. Den Elementen und Schritten, die einigen der Zeichnungen gemein sind, werden dieselben Bezugsziffern gegeben, und wiederholende Beschreibungen davon werden weggelassen.
  • Erste Ausführungsform
  • Fig. 1 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems (d. h. einem chemischen Dampfabscheidungssystem) gemäß der ersten Ausführungsform.
  • Wie in Fig. 1 gezeigt, bezeichnet Bezugsziffer 1 eine Reaktionskammer; 2 bezeichnet eine Plattform, die in der Reaktionskammer 1 vorgesehen ist und ein Substrat A trägt; und 3 bezeichnet ein Reaktivgaszufuhrrohr, welches mit der Reaktionskammer 1 verbunden ist und ein Reaktivgas in die Reaktionskammer 1 einführt. Bezugsziffer 4 bezeichnet ein Hauptauslaßrohr, welches mit der Reaktionskammer 1 verbunden ist und als einem ersten Auslaßabschnitt zum Auslaß bzw. Ausstoßen des Reaktivgases aus der Reaktionskammer 1 dient; 5 bezeichnet ein Hauptauslaßventil, welches auf dem Hauptauslaßrohr 4 vorgesehen ist und als einem ersten Auslaßventil dient; 6 bezeichnet ein Schmutzsammelauslaßrohr, welches so ausgestaltet ist, daß es von dem Hauptauslaßrohr 4 abzweigt und als einem zweiten Auslaßabschnitt dient mit einer höheren Ausstoß- bzw. Absaugstärke als dasjenige des Hauptauslaßrohrs 4; 7 bezeichnet ein Schmutzsammelauslaßventil, welches auf dem Schmutzsammelauslaßrohr 6 vorgesehen ist und als ein zweites Auslaßventil dient; 8 bezeichnet ein Lufteinlaßrohr (ebenso als "Lufteinlaß" bezeichnet), welches mit der Reaktionskammer 1 verbunden ist und als einem Lufteinlaßabschnitt zum Einziehen von Außenluft in die Reaktionskammer 1 unter Saugwirkung dient; und 9bezeichnet ein Lufteinlaßventil, welches auf dem Lufteinlaßrohr 8 vorgesehen ist.
  • Die Plattform 2 wird hier auf eine vorbestimmte Temperatur z. B. mittels eines Aufheizmechanismusses (nicht gezeigt) wie einem Heizer aufgeheizt.
  • Das Schmutzsammelauslaßrohr 6 dient zur Eliminierung unter Saugwirkung eines Nebenprodukts, welches sich an der Innenwand der Reaktionskammer 1 oder dem Hauptauslaßrohr 4 (insbesondere einem pulverförmigen Nebenprodukt) gebildet hat, zusammen mit der Außenluft, die in die Reaktionskammer 1 mittels des Lufteinlaßrohrs 8 eingesaugt wurde.
  • Das Lufteinlaßrohr 8 und das Reaktivgaszufuhrrohr 3 sind voneinander getrennt und sind an verschiedenen Stellen mit der Reaktionskammer 1 verbunden.
  • In der ersten Ausführungsform ist das Hauptauslaßrohr 4 mit einer Seitenwand der Reaktionskammer 1 verbunden, und das Lufteinlaßrohr 8 ist mit einer oberen Oberfläche der Reaktionskammer 1 verbunden. Die Stellen zum Verbinden sind jedoch nicht auf diese Stellen beschränkt. Das Hauptauslaßrohr 4 kann mit einer oberen oder unteren Oberfläche der Reaktionskammer 1 verbunden sein, und das Lufteinlaßrohr 8 kann mit einer Seitenwand oder unteren Oberfläche der Reaktionskammer 1 verbunden sein. In jedem Fall sind das Lufteinlaßrohr 8 und das Luftauslaßrohr 4 vorzugsweise bei einander gegenüberliegenden Positionen (oder bei Positionen, die voneinander getrennt sind) an der Reaktionskammer 1 gebildet. Mittels eines solchen Aufbaus der Verbindungen verbleibt der Luftstrom (welcher später beschrieben wird) für eine längere Zeitdauer in der Reaktionskammer 1 im Vergleich zu dem Fall, bei dem das Lufteinlaßrohr 8 und das Hauptauslaßrohr 4 nahe beieinander gebildet sind.
  • Nun wird ein Dünnfilmbildungsverfahren zum Gebrauch in dem oben beschriebenen Halbleiterherstellungssystem beschrieben.
  • Zuerst wird ein Substrat A in die Reaktionskammer 1 eingebracht und auf der Plattform 2 gehalten, welche zuvor auf eine vorbestimmte Temperatur erhitzt worden war.
  • Zum Beispiel werden SiH4 und O2 als Reaktivgase mittels des Reaktivgaszufuhrrohrs 3 der Reaktionskammer 1 zugeführt, wonach Plasma je nach Erfordernis induziert wird. Im Ergebnis wird z. B. ein Siliciumoxidfilm (als einem Dünnfilm) auf der Oberfläche des Substrats A über die chemische Dampfabscheidung gebildet.
  • Nach der Bildung des Siliciumoxidfilms wird das noch in der Reaktionskammer 1 verbleibende Reaktivgas (nachfolgend als "verbleibendes Gas" bezeichnet) mittels dem Hauptauslaßrohr 4 aus der Reaktionskammer 1 abgegeben. Zu diesem Zeitpunkt entwickelt ein Teil des verbleibenden Gases auf einer Innenwand der Reaktionskammer 1 oder im Inneren des Hauptauslaßrohrs 4 ein Nebenprodukt (nachfolgend als "Abscheidung" bezeichnet). Die Menge an Abscheidung nimmt mit einer Erhöhung der Häufigkeit der Ausführung des Verfahrens zu.
  • Nach dem Ausgeben des verbleibenden Gases wird das Substrat A mit dem darauf gebildeten Dünnfilm aus der Reaktionskammer 1 herausgenommen.
  • Das nächste Substrat und nachfolgende Substrate werden den vorstehenden Prozessen unterworfen, wodurch ein Dünnfilm auf jedem der Substrate gebildet wird.
  • Nun wird ein Niederschlagseliminierungsverfahren zum Gebrauch mit dem Halbleiterherstellungssystem beschrieben.
  • Wie oben erwähnt, nimmt die Menge an Nebenprodukt-Entwicklung an der Innenwand der Reaktionskammer 1 und dem Hauptauslaßrohr 4 zu, wenn die Häufigkeit des Prozessierens beim Ausführen zur Bildung eines Dünnfilms zunimmt (d. h. die Anzahl der zu prozessierenden Substrate nimmt zu). Bevor sich das Nebenprodukt bis zu einer gewissen Menge entwickelt hat, wird das Substrat mit einem darauf gebildeten Dünnfilm entnommen. Anschließend wird die Zufuhr des Reaktivgases zur Reaktionskammer 1 aus dem Reaktivgaszufuhrrohr 3 abgebrochen. Das Hauptauslaßventil 5 wird geschlossen, und das Schmutzsammelauslaßventil und das Lufteinlaßventil 9 werden geöffnet.
  • "Eine gewisse Menge" bedeutet hier die Menge an Abscheidung, die eine Luftturbulenz in der Reaktionskammer 1 induziert, wobei dadurch die Bildung eines Dünnfilms nachteilig beeinträchtigt wird (z. B. ein Abfall in der Gleichförmigkeit der Dicke in der Ebene), oder die Menge an Abscheidung, bei welcher ein Teil der Abscheidung schwebend gehalten wird und ein zulässiges Teilchenmaß für ein Substrat übersteigt. In der ersten Ausführungsform erfolgt die Bestimmung, ob oder ob nicht eine gewisse Menge erfüllt ist, in bezug auf die Anzahl der zu prozessierenden Substrate in der Reaktionskammer 1 bzw. einer RF- AN-Dauer.
  • Mittels der Öffnungs- und Schließmaßnahmen der Ventile wird der Nebenprodukt-(Abscheidungs-)aufbau auf der Innenwand der Reaktionskammer 1 und dem Hauptauslaßrohr 4 unter Absaugen eliminiert. Speziell baut sich ein Luftstrom als Ergebnis dessen auf, daß die Außenluft, die durch das Lufteinlaßrohr 8 in die Reaktionskammer 1 hereingezogen worden war, durch das Schmutzsammelauslaßrohr 6 abgesaugt bzw. abgezogen wird. Durch den Luftstrom wird die Abscheidung eliminiert.
  • Die Schließmaßnahme des Hauptauslaßventils 5, die Öffnungsmaßnahme des Schmutzsammelauslaßventils 7 und die Öffnungsmaßnahme des Lufteinlaßventils 9 können in beliebiger Reihenfolge ausgeführt werden. Ein geschlossener Zustand des Hauptauslaßventils 5, ein geöffneter Zustand des Schmutzsammelauslaßventils 6 und ein geöffneter Zustand des Lufteinlaßventils 9 müssen jedoch gleichzeitig erreicht werden, wodurch die Wirkung der Eliminierung der Abscheidung aus dem Schmutzsammelauslaßrohr 6 unter Saugwirkung verstärkt wird. Speziell kann die Abscheidung effizient eliminiert werden.
  • Nachdem die Eliminierung der Abscheidung unter Saugwirkung abgeschlossen ist, wird das Lufteinlaßventil 9 geschlossen, und das Schmutzsammelauslaßventil 7 wird ebenso geschlossen. Ferner wird das Hauptauslaßventil 5 geöffnet, wodurch die Reaktionskammer 1 in einen Zustand gebracht wird, bei dem ein Dünnfilm gebildet werden kann.
  • Bezüglich des Halbleiterherstellungssystems und des Abscheidungseliminierungsverfahrens gemäß der vorliegenden Erfindung wird, wie beschrieben, das Schmutzsammelauslaßrohr 6 mit einer Absaugstärke, die höher ist als diejenige des Hauptauslaßrohrs 4, vom Hauptauslaßrohr 4 abzweigend bereitgestellt. Neben dem Reaktivgaszufuhrrohr 3 wird ein Lufteinlaßrohr 8 zum Hereinziehen von Außenluft in die Reaktionskammer 1 unter Saugwirkung bereitgestellt. Bevor das Nebenprodukt, welches auf der Innenwand der Reaktionskammer 1 oder im Inneren des Hauptauslaßrohrs 8 abgeschieden ist, den Filmabscheidungsprozeß beeinträchtigt, wird die Außenluft, die in die Reaktionskammer durch das Lufteinlaßrohr 8 unter Saugwirkung hereingezogen worden war, durch das Schmutzsammelauslaßrohr 6 abgezogen, wodurch ein Luftstrom hervorgerufen wird. Mittels des Luftstroms wird die Abscheidung unter Saugwirkung eliminiert.
  • Folglich kann die Abscheidung ohne weiteres eliminiert werden, wodurch das Auftreten einer Verwirbelung des Luftstroms in der Reaktionskammer 1 verhindert wird. Somit kann die Aufschwemmung von Teilchen aus der Abscheidung sowie der Niederschlag von Teilchen auf dem Substrat A gehemmt werden. Deshalb kann ein Dünnfilm hoher Qualität, welcher in der Gleichförmigkeit der Dicke in der Ebene überlegen ist und lediglich die Abscheidung von einigen Teilchen einschließt, gebildet werden. Die Menge an sich entwickelndem Nebenprodukt wird durch wiederholte Eliminierung der Abscheidung unter Absaugen bei einem vernachlässigbaren Niveau gehalten. Somit kann der Zyklus der Naßreinigung der Reaktionskammer 1 länger gemacht werden, wodurch die Verfügbarkeit des Halbleiterherstellungssysteme verbessert wird.
  • In der ersten Ausführungsform wird das Schmutzsammelauslaßrohr 6 so bereitgestellt, daß es sich vom Hauptauslaßrohr 4 abzweigt. Die Stelle, bei welcher das Schmutzsammelauslaßrohr 6 zu verbinden ist, ist jedoch nicht darauf beschränkt. Das Schmutzsammelauslaßrohr 6 kann direkt an der Reaktionskammer 1 bereitgestellt werden (dies trifft ebenso auf die zweite bis sechste Ausführungsform zu, die später beschrieben werden).
  • In der ersten Ausführungsform wird Außenluft durch das Lufteinlaßrohr 8 unter Saugwirkung hereingezogen. In Abhängigkeit von der Art des zu erzeugenden Dünnfilms kann jedoch Inertgas wie N2-Gas (Stickstoffgas) oder Ar-Gas (Argongas) durch das Lufteinlaßrohr 8 hereingezogen werden (dasselbe trifft auf die zweite bis sechste Ausführungsform zu, die später beschrieben werden). Im Ergebnis kann die Menge an auf dem Substrat A abgeschiedenen Partikeln weiter reduziert werden.
  • Zweite Ausführungsform
  • Fig. 2 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der zweiten Ausführungsform.
  • Die Halbleiterherstellungsvorrichtung gemäß der zweiten Ausführungsform ist dadurch gekennzeichnet, daß das Halbleiterherstellungssystems gemäß der ersten Ausführungsform mit einem Steuerabschnitt 10 zum Steuern der Öffnungs/Schließ-Maßnahmen des Hauptauslaßventils 5, jene des Schmutzsammelauslaßventils 7 und jene des Lufteinlaßventils 9 bereitgestellt wird.
  • Der Steuerabschnitt 10 wird hier mit dem Hauptauslaßventil 5, dem Schmutzsammelauslaßventil 7 und dem Lufteinlaßventil 9 verbunden. Der Steuerabschnitt 10 steuert automatisch die Öffnungs/Schließ-Maßnahmen der jeweiligen Ventile 5, 7 und 9 bei gewünschten Zeiteinteilungen; d. h. bei einem Timing, bei dem eine hohe Wirkung der Eliminierung einer Abscheidung unter Saugwirkung erzielt wird.
  • Das Dünnfilmbildungsverfahren, welches in dem Halbleiterherstellungssystem zu verwenden ist, ist gleich dem in Verbindung mit der ersten Ausführungsform beschriebenen, und deshalb erübrigt sich eine Erläuterung davon.
  • Ein Abscheidungseliminierungsverfahren zur Verwendung mit dem Halbleiterherstellungssystem wird nun beschrieben.
  • Wie im Fall der ersten Ausführungsform bricht der Steuerabschnitt 10 die Zufuhr von Reaktivgas in die Reaktionskammer 1 durch das Reaktivgaszufuhrrohr 3 ab, bevor ein Nebenprodukt sich zu einer gewissen Menge auf der Innenwand der Reaktionskammer 1 und im Hauptauslaßrohr 4 nach der Bildung eines Dünnfilms entwickelt hat. Der Steuerabschnitt 10 schließt ferner das Hauptauslaßventil 5 und öffnet das Schmutzsammelventil 7 und das Lufteinlaßventil 9. Mittels der Öffnungs/Schließ- Maßnahmen des Steuerabschnitts 10 wird Außenluft, die durch das Lufteinlaßrohr 8 in die Reaktionskammer 1 hereingezogen wurde, nach außen durch das Schmutzsammelauslaßrohr 6 abgezogen, wodurch ein Luftstrom erzeugt wird. Mittels des Luftstroms wird die Abscheidung unter Saugwirkung eliminiert.
  • Nach Beenden der Eliminierung der Abscheidung unter Absaugen schließt der Steuerabschnitt 10 das Lufteinlaßventil 9 und das Schmutzsammelventil 7 und öffnet das Hauptauslaßventil 5, wodurch die Reaktionskammer 1 wieder in einen Zustand versetzt wird, bei dem ein Dünnfilm gebildet werden kann.
  • Folglich erzielt die zweite Ausführungsform denselben Vorteil wie den, der in der ersten Ausführungsform erzielt wurde.
  • Ferner kann der Steuerabschnitt 10 die Ventile bei gewünschten Zeiteinteilungen öffnen und schließen. Folglich kann die Abscheidung unter Saugwirkung bei Bedarf automatisch eliminiert werden durch ein vorbestimmtes Programm. Folglich kann die Abscheidung zu einem Zeitpunkt mit maximalem Eliminierungseffekt abgesaugt werden. Ferner kann das Reinigen der Innenwand der Reaktionskammer 1 und dasjenige im Inneren des Hauptauslaßrohrs 4, was bisher per Hand ausgeführt wurde, automatisiert werden.
  • Dritte Ausführungsform
  • Fig. 3 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der dritten Ausführungsform.
  • Das Halbleiterherstellungssystem gemäß der dritten Ausführungsform ist dadurch gekennzeichnet, daß das Halbleiterherstellungssystem, welches in Verbindung mit der zweiten Ausführungsform beschrieben wurde, mit einem Drucksensor 11 zum Messen eines Innendrucks des Schmutzsammelauslaßrohrs 6 ausgestattet ist.
  • Der Drucksensor 11 ist hier eher bei einer Stelle auf dem Schmutzsammelauslaßrohr 6 in der Nähe der Reaktionskammer 1 als bei einer Stelle in der Nähe des Schmutzsammelauslaßventils 7 angeordnet. Der Drucksensor 11 dient zum Messen des Innendrucks des Schmutzsammelauslaßrohrs 6, d. h. zum Messen,. bzw. Abtasten der Absaugstärke des Schmutzsammelauslaßrohrs 6. Der Drucksensor 11 ist mit dem Steuerabschnitt 10 verbunden, wodurch ein Ergebnis der Bestimmung an den Steuerabschnitt 10 ausgegeben wird.
  • Das Dünnfilmbildungsverfahren bei dem Halbleiterherstellungssystem ist ebenso dasselbe wie das in Verbindung mit der ersten Ausführungsform beschriebene. Aus diesem Grund erübrigt sich eine Erläuterung des Verfahrens für die dritte Ausführungsform.
  • Ein Abscheidungseliminierungsverfahren zum Gebrauch mit dem Halbleiterherstellungssystem wird nun beschrieben.
  • Das Verfahren zur Eliminierung von Abscheidungen unter Saugwirkung ist dasselbe wie das in Verbindung mit der zweiten Ausführungsform beschriebene.
  • In der dritten Ausführungsform detektiert der Drucksensor 11 den Innendruck des Schmutzsammelauslaßrohrs 6 im Laufe des Betriebs, welcher zur Eliminierung einer Abscheidung unter Saugwirkung nach Bildung eines Dünnfilms auszuführen ist. Ein Meßergebnis (Druckwert) wird an den Steuerabschnitt 10 ausgegeben. Wenn ein Innendruckniveau des Schmutzsammelauslaßrohrs 6 über ein bestimmtes Druckniveau im Verlauf des Betriebs zur Eliminierung einer Abscheidung unter Saugwirkung zugenommen hat, speziell wenn ein beträchtlicher Abfall bei der Saugstärke (d. h. dem Absaugvermögen) des Schmutzsammelauslaßrohrs 6 entstanden ist, gibt als Ergebnis der Steuerabschnitt 10, an den ein Meßergebnis (d. h. ein abnormales Druckniveau) aus dem Drucksensor 11 ausgegeben worden ist, einen Alarm. Somit kann ein Betreiber (Arbeiter) einen anormalen Innendruck des Schmutzsammelauslaßrohrs 6 feststellen. Folglich wird zusätzlich zu dem in der zweiten Ausführungsform erzielten Vorteil ebenso ein Vorteil der Verbesserung der Zuverlässigkeit des Halbleiterherstellungssystems erzielt.
  • In der dritten Ausführungsform überwacht der Steuerabschnitt 10 ein Meßergebnis, welches aus dem Drucksensor ausgegeben wurde, zu jeder Zeit. Der Drucksensor 11 kann jedoch so ausgestaltet werden, daß lediglich ein anormales Signal an den Steuerabschnitt 10 ausgegeben wird, wenn ein anormaler Druck festgestellt wird.
  • Der Drucksensor 11 kann stromabwärts von dem Schmutzsammelauslaßventil 7 angeordnet werden, um dadurch den Druck des Schmutzsammelauslaßrohrs 6 zu detektieren.
  • Vierte Ausführungsform
  • Fig. 4 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der vierten Ausführungsform.
  • Bei dem Halbleiterherstellungssystem gemäß der vierten Ausführungsform werden eine Vielzahl von Schmutzsammelauslaßrohren 6a, 6b mit Absaugstärken, die höher als diejenige des Hauptauslaßrohrs 4 sind, so bereitgestellt, daß sie von dem Hauptauslaßrohr 4 abzweigen. Ein Schmutzsammelauslaßventil 7a und ein Drucksensor 11a werden bei dem Schmutzsammelauslaßrohr 6a bereitgestellt, und ein Schmutzsammelauslaßventil 7b und ein Drucksensor 11b werden bei dem Schmutzsammelauslaßrohr 6b bereitgestellt.
  • Das Dünnfilmbildungsverfahren bei dem Halbleiterherstellungssystem ist dasselbe wie das in Verbindung mit der ersten Ausführungsform beschriebene. Aus diesem Grund erübrigt sich eine Erläuterung des Verfahrens bei der vierten Ausführungsform.
  • Ein Abscheidungseliminierungsverfahren zum Gebrauch im Halbleiterherstellungssystem wird nun beschrieben.
  • Wie im Fall der ersten Ausführungsform bricht, bevor sich ein Nebenprodukt auf der Innenwand der Reaktionskammer 1 und im Hauptauslaßrohr 4 bis zu einer gewissen Menge (d. h. einer Menge, die das Auftreten eines Turbulenzluftstroms mit sich bringt, welcher die Bildung eines Dünnfilms nachteilig beeinträchtigt) entwickelt, der Steuerabschnitt 10 die Zufuhr eines Reaktivgases zur Reaktionskammer 1 über das Reaktivgaszufuhrrohr 3 ab, schließt das Hauptauslaßventil 5 und öffnet das Schmutzsammelauslaßventil 7a und das Lufteinlaßventil 9. Als Ergebnis wird die Abscheidung aus dem Schmutzsammelauslaßrohr 6a unter Saugwirkung eliminiert. Zu diesem Zeitpunkt bleibt das Schmutzsammelauslaßventil 7b geschlossen. Speziell wird lediglich das Schmutzsammelauslaßrohr 6a zur Eliminierung der Abscheidung unter Saugwirkung benutzt, und das Schmutzsammelauslaßrohr 6b wird nicht gebraucht.
  • Wenn der Druck des Schmutzsammelauslaßrohrs 6a über ein voreingestelltes Druckniveau im Verlauf des Betriebs zur Eliminierung der Abscheidung unter Saugwirkung ansteigt, d. h. wenn ein Abfall in der Absaugstärke (oder Saugwirkung) auftritt, bestimmt der Steuerabschnitt 10 aus einem Signal, welches von dem Drucksensor 11a, der in dem Schmutzsammelauslaßrohr 6a bereitgestellt ist, ausgegeben wurde, daß ein Abfall in der Absaugstärke des Schmutzsammelauslaßrohrs 6a aufgetreten ist. Gleichzeitig mit dieser Bestimmung schließt der Steuerabschnitt 10 das Schmutzsammelauslaßventil 7a und öffnet das Schmutzsammelauslaßventil 7b. Als Ergebnis kann der Betrieb zur Eliminierung einer Abscheidung unter Saugwirkung ohne Unterbrechung ausgeführt werden.
  • Gemäß der vierten Ausführungsform kann, selbst wenn ein anormaler Druck im Verlauf der Eliminierung einer Abscheidung unter Saugwirkung bei irgend einem der Vielzahl von Schmutzsammelauslaßrohren aufgetreten ist, das Umschalten zu einem anderen Schmutzsammelauslaßrohr bewirkt werden, wodurch ein ununterbrochener, kontinuierlicher Eliminierungs- und Saugbetrieb ermöglicht wird. Während des Betriebs des anderen Schmutzsammelauslaßrohrs kann das Schmutzsammelauslaßrohr, in dem ein anormaler Druck aufgetreten ist, in einen normalen Zustand zurückgesetzt werden. Folglich kann zusätzlich zum in der dritten Ausführungsform erzielten Vorteil die Verfügbarkeit des Halbleiterherstellungssystems zu einem größeren Ausmaß verbessert werden.
  • Die vierte Ausführungsform hat einen Fall beschrieben, bei dem zwei Schmutzsammelauslaßrohre 6a, 6b verwendet werden. Die vorliegende Erfindung ist jedoch nicht auf einen solchen Fall beschränkt, und es können drei oder mehr Schmutzsammelauslaßrohre verwendet werden. Selbst in einem solchen Fall wird derselbe Vorteil erzielt wie derjenige, der in einem Fall erzielt wurde, bei dem zwei Schmutzsammelauslaßrohre 6a, 6b verwendet werden.
  • Die Schmutzsammelauslaßrohre 6a, 6b können sich voneinander hinsichtlich der Absaugstärke unterscheiden, solange jedes von ihnen eine höhere Absaugstärke als diejenige des Hauptauslaßrohrs 4 aufweist.
  • In der vierten Ausführungsform überwacht der Steuerabschnitt 10 ein Signal, welches aus dem Drucksensor 11 ausgegeben wurde, zu jedem Zeitpunkt. Der Drucksensor 11a kann jedoch so ausgestaltet werden, daß lediglich ein anormales Signal ausgegeben wird, wenn ein anormaler Druck aufgetreten ist. Beim Erhalt eines Signals eines anormalen Drucks von dem Drucksensor 11a schließt in diesem Fall der Steuerabschnitt 10 das Schmutzsammelauslaßventil 7a und öffnet das Schmutzsammelauslaßventil 7b.
  • Fünfte Ausführungsform
  • Fig. 5 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der fünften Ausführungsform.
  • Das Halbleiterherstellungssystem gemäß der fünften Ausführungsform ist dadurch gekennzeichnet, daß das Halbleiterherstellungssystem gemäß der dritten Ausführungsform mit einer Reaktivgaszufuhrvorrichtung 12 für die Zufuhr eines Reaktivgases zum Reaktivgaszufuhrrohr 3 und einem Rohstoffmengendetektionsabschnitt (d. h. einem Zufuhrvolumen-Detektionsabschnitt) 13 zum Detektieren der Menge an Rohstoff, welches durch die Reaktivgaszufuhrvorrichtung 12 (d. h. einem Zufuhrvolumen des Reaktivgases) verbraucht wurde, ausgestattet ist.
  • Die Reaktivgaszufuhrvorrichtung 12 ist ein Flüssigkeits- Rohrstofftank zum Aufbewahren einer Flüssigkeit bzw. eines Fluids, wovon ein Reaktivgas stammt, und kann in der vorliegenden Ausführungsform als ein Flüssigkeits-Rohstofftank 12 bezeichnet werden.
  • Der Rohstroffverbrauchsmengen-Detektionsabschnitt 13 detektiert eine Schwankung in der Flüssigkeitsmenge des Flüssigkeits-Rohstofftanks 12 und gibt ein Ergebnis der Detektion an den Steuerabschnitt 10 aus.
  • Das Dünnfilmbildungsverfahren zum Gebrauch in dem Halbleiterherstellungssystem ist dasselbe wie das in Verbindung mit der ersten Ausführungsform beschriebene. Aus diesem Grund erübrigt sich eine Erläuterung des Verfahrens in der fünften Ausführungsform.
  • Das Abscheidungseliminierungsverfahren zum Gebrauch im Halbleiterherstellungssystem wird nun beschrieben.
  • Wie oben erwähnt, ist das Dünnfilmbildungsverfahren identisch mit dem in Verbindung mit der ersten Ausführungsform beschriebenen. In der fünften Ausführungsform detektiert der Rohstoffverbrauchsmengen-Detektionsabschnitt 13 zu jedem Zeitpunkt oder periodisch die Menge an Rohstoff (d. h. ein Reaktivgas oder eine Flüssigkeit bzw. ein Fluid), welcher zum Zeitpunkt der Bildung eines Dünnfilms verwendet wurde und gibt ein Ergebnis der Detektion an den Steuerabschnitt 10 aus. Wenn der Rohstoffverbrauchsmengen-Detektionsabschnitt 12 z. B. eine gegebene Schwankung in der Flüssigkeitsmenge des Flüssigkeits- Rohstofftanks 12 detektiert hat, bricht der Steuerabschnitt 10 die Zufuhr des Reaktivgases zur Reaktionskammer 1 aus dem Reaktivgaszufuhrrohr 3 nach dem Transport eines Substrats auf der Basis des Detektionsergebnisses, welches von dem Rohstoffverbrauchsmengen-Detektionsabschnitt 13 ausgegeben wurde, ab, schließt das Hauptauslaßventil 5 und öffnet das Schmutzsammelauslaßventil 7 und das Lufteinlaßventil 9. Als Ergebnis wird die Abscheidung, die auf der Innenwand der Reaktionskammer 1 und im Hauptauslaßrohr 4 sich niedergeschlagen hatte, aus dem Schmutzsammelauslaßrohr 6 unter Saugwirkung eliminiert.
  • Nach Abschluß der Eliminierung und des Absaugens der Abscheidung schließt als nächstes der Steuerabschnitt 10 das Lufteinlaßventil 9 und das Schmutzsammelauslaßventil 7 und öffnet das Hauptauslaßventil 5, wodurch die Reaktionskammer 1 in einen Zustand zurückkehrt, bei dem ein Dünnfilm gebildet werden kann.
  • Gemäß der fünften Ausführungsform wird immer dann, wenn eine bestimmte Menge an Rohstoff verbraucht worden ist, eine Abscheidung unter Saugwirkung eliminiert. Deshalb kann ohne Ausfall die Abscheidung eliminiert werden, bevor die Abscheidung einen Prozeß zur Abscheidung eines Films beeinträchtigt. Folglich wird die Eliminierung einer Abscheidung unter Saugwirkung periodisch wiederholt. Daher wird zusätzlich zu dem in der ersten Ausführungsform erzielten Vorteil ebenso ein Vorteil erzielt, daß die Menge an abscheidendem Nebenprodukt zu jedem Zeitpunkt bei niedrigstem Niveau gehalten wird.
  • In der fünften Ausführungsform wird der Reaktivgaszufuhrbereich 12 als ein Flüssigkeits-Rohstofftank herangezogen. Der Reaktivgaszufuhrbereich 12 kann jedoch als ein Gaszylinder ausgestaltet werden, der mit einem Reaktivgas gefüllt ist, oder in Form einer Gaszufuhrleitung, die als eine Hilfseinrichtung dient.
  • Ferner detektiert der Rohstoffverbrauchsmengen-Detektionsbereich 13 eine Flüssigkeitsmenge des flüssigen Rohstoffs. Die vorliegende Erfindung ist jedoch nicht auf diese Weise zur Detektion der Rohstoffverbrauchsmenge beschränkt. Die Menge an verbrauchtem Rohstoff kann über eine integrierte Durchflußrate des Reaktivgases, Variationen im Druck des Reaktivgases, eine integrierte Durchflußrate der Flüssigkeit oder Variationen im Gewicht der Flüssigkeit detektiert werden. Selbst dieser Fall erzielt denselben Vorteil wie den zuvor bezeichneten.
  • Sechste Ausführungsform
  • Fig. 6 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der sechsten Ausführungsform.
  • Das Halbleiterherstellungssystem gemäß der sechsten Ausführungsform der vorliegenden Erfindung ist dadurch gekennzeichnet, daß das Halbleiterherstellungssystem, welches im Zusammenhang mit der dritten Ausführungsform beschrieben wurde, mit einem Reaktivnebenprodukt-Abscheidungsvolumen-Detektionsabschnitt (nachfolgend als "Abscheidungsvolumen-Detektionsabschnitt") 14 zum Detektieren der Menge des auf der Innenwand der Reaktionskammer 1 und in dem Hauptauslaßrohr 4 abgeschiedenen Nebenprodukts bereitgestellt.
  • Der Abscheidungsvolumen-Detektionsabschnitt 14 wird hier auf der Seitenwand der Reaktionskammer 1 und beim Hauptauslaßrohr 4 bereitgestellt. Der Abscheidungsvolumen-Detektionsabschnitt 14 ist mit dem Steuerabschnitt 10 verbunden. Der Abscheidungsvolumen-Detektionsabschnitt 14 ist so aufgebaut, daß die Menge des abgeschiedenen Nebenprodukts auf der Basis der Transmission oder Reflexion von Licht detektiert wird mittels des Einstrahlens von Licht auf einen Abschnitt des Hauptauslaßrohrs 4, welcher aus einem transparenten Element oder einem Fenster aus transparentem Material, welches auf der Seitenwand der Reaktionskammer 1 vorgesehen ist, zusammengesetzt ist. Der Abscheidungsvolumen-Detektionsabschnitt 14 detektiert die Menge von abgeschiedenem Nebenprodukt auf der Innenwand der Reaktionskammer 1 und im Hauptauslaßrohr 4 und gibt das Ergebnis der Detektion an den Steuerabschnitt 10 aus.
  • Das Dünnfilmbildungsverfahren zum Gebrauch im Halbleiterherstellungssystem ist dasselbe wie das in Verbindung mit der ersten Ausführungsform beschriebene. Aus diesem Grund erübrigt sich eine Erläuterung des Verfahrens in der sechsten Ausführungsform.
  • Ein Abscheidungseliminationsverfahren zum Gebrauch im Halbleiterherstellungssystem wird nun beschrieben.
  • Wie oben erwähnt ist das Dünnfilmbildungsverfahren identisch mit dem in Verbindung mit der ersten Ausführungsform beschriebenen. Der Abscheidungsvolumen-Detektionsabschnitt 14 detektiert zu jedem Zeitpunkt oder periodisch die Menge an auf der Innenwand der Reaktionskammer 1 und im Hauptauslaßrohr 4 abgeschiedenem Nebenprodukt und gibt ein Detektionsergebnis an den Steuerabschnitt 10 aus. Wenn der Abscheidungsvolumen-Detektionsabschnitt 14 zum Beispiel eine gewisse Menge an Abscheidung detektiert hat, bricht der Steuerabschnitt 14 die Zufuhr eines Reaktivgases zur Reaktionskammer 1 über das Reaktivgaszufuhrrohr 3 ab, schließt das Hauptauslaßventil 5 und öffnet das Schmutzsammelauslaßventil 7 und das Lufteinlaßventil 9. Im Ergebnis wird die Abscheidung, welche auf der Innenwand der Reaktionskammer 1 und im Hauptauslaßrohr 4 abgeschieden ist, unter Absaugung aus dem Schmutzsammelauslaßrohr 6 eliminiert.
  • Nach Abschluß der Eliminierung einer Abscheidung unter Absaugwirkung schließt der Steuerabschnitt 10 das Lufteinlaßventil 9 und das Schmutzsammelauslaßventil 7 und öffnet das Hauptauslaßventil 5. Als Ergebnis kehrt die Reaktionskammer 1 zu einem Zustand zurück, bei dem ein Dünnfilm gebildet werden kann.
  • Gemäß der sechsten Ausführungsform wird die Abscheidung unter Saugwirkung eliminiert, wenn der Abscheidungsvolumen-Detektionsabschnitt 14 detektiert hat, daß ein Reaktivnebenprodukt bis zu einer gewissen Menge abgeschieden worden ist. Somit kann die Abscheidung ohne Ausfall eliminiert werden, bevor ein Filmabscheidungsprozeß beeinträchtigt wird. Folglich wird die Eliminierung und das Absaugen einer Abscheidung periodisch wiederholt, und daher wird der Vorteil der Fähigkeit erzielt, daß das Volumen des abgeschiedenen Nebenprodukts jederzeit bei einem winzigen Niveau gehalten wird.
  • In der sechsten Ausführungsform wird ein Lichtbestrahlungsverfahren zum Detektieren des Abscheidungsvolumens durch den Abscheidungsvolumen-Detektionsabschnitt 14 angewandt. Jedoch kann irgendein Verfahren, welches die Detektion des Abscheidungsvolumens ermöglicht, angewandt werden.
  • In der sechsten Ausführungsform wird der Abscheidungsvolumen- Detektionsabschnitt 14 außerhalb der Reaktionskammer 1 oder des Hauptauslaßrohrs 4 bereitgestellt. Der Abscheidungsvolumen-Detektionsabschnitt 14 kann jedoch in der Reaktionskammer 1 oder dem Hauptauslaßrohr 4 bereitgestellt werden.
  • Die Erfindung liefert beim beispielhaften Ausführen auf die oben beschriebene Weise die folgenden Hauptwirkungen:
  • Gemäß der vorliegenden Erfindung kann ein Nebenprodukt, welches auf der Innenwand einer Reaktionskammer oder in einem Hauptauslaßrohr abgeschieden ist, ohne weiteres eliminiert werden. Somit kann die Häufigkeit der Naßreinigung, die auszuführen ist, verringert werden, wodurch die Verfügbarkeit des Halbleiterherstellungssystems verbessert wird. Ferner kann ein Dünnfilm hoher Qualität, die eine überlegene Gleichförmigkeit in der Ebene aufweist und eine niedrigere Menge an Teilchenabscheidung mit sich bringt, gebildet werden.
  • Die vorliegende Erfindung ist darüber hinaus nicht auf diese Ausführungsformen beschränkt, sondern es können Variationen und Modifikationen durchgeführt werden, ohne daß vom Umfang der vorliegenden Erfindung abgewichen wird.
  • Die gesamte Offenbarung der am 22. November 2001 eingereichten japanischen Patentanmeldung Nr. 2001-357255 mit Beschreibung, Ansprüchen, Zeichnungen und Zusammenfassung wird voll inhaltlich unter Bezugnahme hier eingeschlossen.

Claims (16)

1. Halbleiterherstellungssystem zum Bilden eines Dünnfilms auf einem Substrat mit:
einem Zufuhrabschnitt (3, 12) für die Zufuhr eines Reaktivgases zu einer Reaktionskammer (1);
einem ersten Auslaßabschnitt (4) zum Ausstoßen eines Reaktivgases aus der Reaktionskammer (1),
einem Lufteinlaßabschnitt (8) zum Hereinziehen von Außenluft in die Reaktionskammer (1); und
einem zweiten Auslaßabschnitt (6), welcher eine höhere Absaugstärke aufweist als der erste Auslaßabschnitt (4), wobei der zweite Auslaßabschnitt (6) zusammen mit der Außenluft ein Nebenprodukt, welches auf einer Innenwand der Reaktionskammer (1) abgeschieden war, aus der Reaktionskammer (1) ausstößt.
2. Halbleiterherstellungssystem gemäß Anspruch 1, weiter umfassend:
ein erstes Auslaßventil (5), welches in dem ersten Auslaßabschnitt (4) bereitgestellt ist;
ein zweites Auslaßventil (7), welches in dem zweiten Auslaßabschnitt (6) bereitgestellt ist;
ein Lufteinlaßventil (9), welches in dem Lufteinlaßabschnitt (8) bereitgestellt ist; und
ein Steuerabschnitt (10) zum Steuern von Öffnungs/Schließ- Maßnahmen des ersten Auslaßventils (5), des zweiten Auslaßventils (7) und des Lufteinlaßventils (9).
3. Halbleiterherstellungssystem gemäß Anspruch 2, ferner umfassend:
ein Zufuhrvolumen-Detektionsabschnitt (13), der mit dem Zufuhrabschnitt (12) verbunden ist und zum Detektieren eines Zufuhrvolumens des Reaktivgases dient,
wobei der Steuerabschnitt (10) die Öffnungs/Schließ- Maßnahmen des ersten Auslaßventils (5), jene des zweiten Auslaßventils (7) und jene des Lufteinlaßventils (9) auf der Basis eines Ergebnisses steuert, welches durch den Zufuhrvolumen-Detektionsabschnitt (13) detektiert worden ist.
4. Halbleiterherstellungssystem gemäß Anspruch 2 oder 3, weiter umfassend:
ein Abscheidungsvolumen-Detektionsabschnitt (14) zum Detektieren eines Volumens des Nebenprodukts, welches auf der Innenwandoberfläche der Reaktionskammer (1) abgeschieden ist, wobei der Steuerabschnitt (10) die Öffnungs/Schließ-Maßnahmen des ersten Auslaßventils (5), jene des zweiten Auslaßventils (7) und jene des Lufteinlaßventils (9) auf der Basis eines Ergebnisses steuert, welches durch den Abscheidungsvolumen-Detektionsabschnitt (14) detektiert worden ist.
5. Halbleiterherstellungssystem gemäß irgendeinem der Ansprüche 1 bis 4, wobei eine Vielzahl von zweiten Auslaßabschnitten (6a, 6b) bereitgestellt werden.
6. Halbleiterherstellungssystem gemäß irgendeinem der Ansprüche 1 bis 5, ferner umfassend:
einen Drucksensor (11, 11a, 11b) zum Messen eines Innendrucks des zweiten Auslaßabschnitts (6, 6a, 6b).
7. Halbleiterherstellungssystem gemäß irgendeinem der Ansprüche 1 bis 6, wobei der Lufteinlaßabschnitt (8) ein Inertgas anstelle der Außenluft hereinzieht.
8. Halbleiterherstellungssystem gemäß irgendeinem der Ansprüche 1 bis 7, wobei der zweite Auslaßabschnitt (6; 6a, 6b) so gebildet ist, daß er von dem ersten Auslaßabschnitt (4), der mit der Reaktionskammer (1) verbunden ist, abzweigt und ferner ein Nebenprodukt ausstößt, welches auf einer Innenwand des ersten Auslaßabschnitts (4) abgeschieden worden ist.
9. Halbleiterherstellungssystem gemäß Anspruch 8, wobei der Lufteinlaßabschnitt (8) und der erste Auslaßabschnitt (4) bei einander gegenüberliegenden Stellen mit der Reaktionskammer (1) verbunden sind.
10. Abscheidungseliminierungsverfahren zum Gebrauch mit einem Halbleiterherstellungssystem, umfassend:
einen ersten Absaugschritt des Absaugens eines Reaktivgases aus einer Reaktionskammer (1) nach der Bildung eines Dünnfilms auf einem Substrat in der Reaktionskammer (1) des Halbleiterherstellungssystems; und
einen zweiten Absaugschritt des Hereinziehens von Außenluft in die Reaktionskammer (1) nach dem ersten Absaugschritt und des Absaugens der Außenluft aus der Reaktionskammer (1) zur selben Zeit,
wobei der zweite Absaugschritt bei einer höheren Absaugrate durchgeführt wird als der erste Absaugschritt.
11. Abscheidungseliminierungsverfahren gemäß Anspruch 10, wobei der zweite Absaugschritt gleichzeitig die Außenluft und ein Nebenprodukt absaugt, welches auf einer Innenwand der Reaktionskammer (1) abgeschieden wurde.
12. Abscheidungseliminierungsverfahren gemäß Anspruch 11, ferner umfassend:
einen Abscheidungsvolumen-Detektionsschritt zum Detektieren des Volumens des Nebenprodukts, welches auf der Innenwandoberfläche der Reaktionskammer (1) abgeschieden wurde, vor dem zweiten Absaugschritt,
wobei der zweite Absaugschritt auf der Basis eines Ergebnisses ausgeführt wird, welches bei dem Abscheidungsvolumen- Dektektionsprozeß detektiert wurde.
13. Abscheidungseliminierungsverfahren gemäß irgendeinem der Ansprüche 10 und 11, ferner umfassend:
einen Zufuhrvolumen-Detektionsschritt zum Detektieren eines Zufuhrvolumens des Reaktivgases in die Reaktionskammer (1) vor dem zweiten Absaugschritt,
wobei der zweite Absaugschritt auf der Basis eines Ergebnisses ausgeführt wird, welches bei dem Zufuhrvolumen-Detektionsschritt detektiert wurde.
14. Abscheidungselemiminierungsverfahren gemäß irgendeinem der Ansprüche 10 bis 13, wobei der zweite Absaugschritt durch die Verwendung einer Vielzahl von Absaugrohren (6) ausgeführt wird.
15. Abscheidungseliminierungsverfahren gemäß irgendeinem der Ansprüche 10 bis 14, wobei im zweiten Absaugschritt anstelle der Außenluft Inertgas in die Reaktionskammer (1) hereingezogen wird.
16. Verfahren zur Herstellung einer Halbleitervorrichtung durch das Halbleiterherstellungssystem gemäß irgendeinem der Ansprüche 1 bis 9.
DE10223765A 2001-11-22 2002-05-28 Halbleiterherstellungssystem mit Auslaßrohr, Abscheidungseliminierungsverfahren zum Gebrauch mit dem Halbleiterherstellungssystem und Verfahren zur Herstellung einer Halbleitervorrichtung Ceased DE10223765A1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001357255A JP2003158080A (ja) 2001-11-22 2001-11-22 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法

Publications (1)

Publication Number Publication Date
DE10223765A1 true DE10223765A1 (de) 2003-06-12

Family

ID=19168625

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10223765A Ceased DE10223765A1 (de) 2001-11-22 2002-05-28 Halbleiterherstellungssystem mit Auslaßrohr, Abscheidungseliminierungsverfahren zum Gebrauch mit dem Halbleiterherstellungssystem und Verfahren zur Herstellung einer Halbleitervorrichtung

Country Status (4)

Country Link
US (1) US20030094134A1 (de)
JP (1) JP2003158080A (de)
DE (1) DE10223765A1 (de)
TW (1) TW554394B (de)

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6907892B2 (en) * 2001-02-07 2005-06-21 Matsushita Electric Industrial Co., Ltd. Exhaust apparatus, semiconductor device manufacturing system and method for manufacturing semiconductor device
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
KR100479308B1 (ko) * 2002-12-23 2005-03-28 삼성전자주식회사 기판상의 불순물을 포집하기 위한 장치 및 이를 이용한불순물 포집방법
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
KR100938534B1 (ko) * 2003-09-19 2010-01-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP2010284592A (ja) * 2009-06-11 2010-12-24 Sharp Corp 真空処理装置
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
KR101401310B1 (ko) 2011-03-29 2014-05-29 가부시키가이샤 사무코 반도체 결정 제조 장치용 배기 통로의 클리닝 장치 및 그 클리닝 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6482972B2 (ja) * 2015-07-08 2019-03-13 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP6458677B2 (ja) 2015-08-05 2019-01-30 三菱電機株式会社 炭化珪素エピタキシャルウエハの製造方法及び製造装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP6964515B2 (ja) * 2017-12-27 2021-11-10 東京エレクトロン株式会社 サセプターのクリーニング方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112858539A (zh) * 2021-01-07 2021-05-28 云南电网有限责任公司电力科学研究院 一种可消除背景干扰的脱氢气体产物收集处理系统和方法
CN115389096A (zh) * 2022-08-26 2022-11-25 江苏微导纳米科技股份有限公司 气体压力探测装置及沉积设备

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2670515B2 (ja) * 1988-08-26 1997-10-29 東京エレクトロン株式会社 縦型熱処理装置
US5303671A (en) * 1992-02-07 1994-04-19 Tokyo Electron Limited System for continuously washing and film-forming a semiconductor wafer
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
JP3098093B2 (ja) * 1992-02-20 2000-10-10 三菱電機株式会社 化学気相成長装置
JP3501524B2 (ja) * 1994-07-01 2004-03-02 東京エレクトロン株式会社 処理装置の真空排気システム
US5985032A (en) * 1995-05-17 1999-11-16 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing apparatus
US5954911A (en) * 1995-10-12 1999-09-21 Semitool, Inc. Semiconductor processing using vapor mixtures
JPH1050620A (ja) * 1996-08-01 1998-02-20 Hitachi Ltd 半導体製造方法および装置
JPH118197A (ja) * 1997-06-17 1999-01-12 Kokusai Electric Co Ltd 保守時期検知装置
JP2000269108A (ja) * 1999-03-15 2000-09-29 Sharp Corp 半導体製造装置の管理システム
JP2000353697A (ja) * 1999-06-14 2000-12-19 Mitsubishi Electric Corp 半導体処理装置およびそれを用いて製造された半導体装置

Also Published As

Publication number Publication date
US20030094134A1 (en) 2003-05-22
TW554394B (en) 2003-09-21
JP2003158080A (ja) 2003-05-30

Similar Documents

Publication Publication Date Title
DE10223765A1 (de) Halbleiterherstellungssystem mit Auslaßrohr, Abscheidungseliminierungsverfahren zum Gebrauch mit dem Halbleiterherstellungssystem und Verfahren zur Herstellung einer Halbleitervorrichtung
DE112008000561B4 (de) Heizofen und Heizverfahren unter Verwendung eines Heizofens
DE102006003100B4 (de) Vorrichtung und Verfahren zur Herstellung eines Halbleiterbauelements
DE69928047T2 (de) Fluidzufuhrvorrichtung
DE69128861T3 (de) Vakuumsbehandlungsvorrichtung und Reinigungsverfahren dafür
AT515623B1 (de) Vorrichtung und Verfahren zur Messung der Feuchtigkeit in Druckgiessformen
DE60129380T2 (de) Vorrichtung und Verfahren zum Auftragen einer Dünnschicht auf einen Wafer durch Abscheidung von atomaren Schichten
DE60217317T2 (de) Wärmebehandlungsverfahren
EP1630848A1 (de) Verfahren und Vorrichtung zur Plasmabeschichtung von Werkstücken mit spektraler Auswertung der Prozessparameter
EP2558615B1 (de) Vorrichtung und verfahren zum gleichzeitigen abscheiden mehrerer halbleiterschichten in mehreren prozesskammern
DE10019472A1 (de) Reinigungsvorrichtung
DE102008042817B4 (de) Vorrichtung zur Behandlung von Abfallarbeitsflüssigkeit
DE60223710T2 (de) Flüssigkeitsversorgungsvorrichtung mit reinigungsfunktion
DE112005000485T5 (de) System und Verfahren zur Aufteilung einer Gasströmung bei der Halbleiter-Herstellung
DE102009000293A1 (de) Bearbeitungsflüssigkeitsmischvorrichtung und Verfahren, Substratbearbeitungsvorrichtung und Speichermedium
DE102015115932A1 (de) Beladungsöffnungsanschluss mit Spülfunktion
DE10042881A1 (de) CVD-Einrichtung, zugehöriges Spülverfahren, Verfahren zur Beurteilung der Wartungszeiten von Halbbleiterherstellungseinrichtungen, Feuchteüberwachungseinrichtung und hiermit versehene Halbleiterherstellungseinrichtung
DE69937304T2 (de) Verfahren und vorrichtung zur vakuumbehandlung
DE3241544A1 (de) Verfahren zum ueberwachen und/oder steuern bei trocknungs-, granulier-, instantisier-, dragier- und filmcoating-prozessen sowie vorrichtung zur durchfuehrung des verfahrens
DE102008024543A1 (de) Verfahren zum Betreiben einer Spülmaschine sowie Spülmaschine
DE19831757A1 (de) Halbleiterwaferbearbeitungsgerät und Verfahren des Steuerns eines Halbleiterwaferbearbeitungsgerätes
DE102009032964A1 (de) Verfahren zum Betrieb einer Mehrtankgeschirrspülmaschine
DE112019004100T5 (de) Vakuumbeschichtungseinrichtung, Verfahren zur Vakuumbeschichtung und Verfahren zur Herstellung einer Filmschicht in einer Filterkammer
DE60027935T2 (de) Vorrichtung und Verfahren zur Herstellung einer Wolframnitridschicht
DE102016205597B4 (de) Purge-Messsystem für FOUPs

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection