DE10223765A1 - Exhaust tube semiconductor manufacturing system, deposition elimination method for use with the semiconductor manufacturing system, and method of manufacturing a semiconductor device - Google Patents

Exhaust tube semiconductor manufacturing system, deposition elimination method for use with the semiconductor manufacturing system, and method of manufacturing a semiconductor device

Info

Publication number
DE10223765A1
DE10223765A1 DE10223765A DE10223765A DE10223765A1 DE 10223765 A1 DE10223765 A1 DE 10223765A1 DE 10223765 A DE10223765 A DE 10223765A DE 10223765 A DE10223765 A DE 10223765A DE 10223765 A1 DE10223765 A1 DE 10223765A1
Authority
DE
Germany
Prior art keywords
reaction chamber
manufacturing system
semiconductor manufacturing
section
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE10223765A
Other languages
German (de)
Inventor
Toshihiko Minami
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Publication of DE10223765A1 publication Critical patent/DE10223765A1/en
Ceased legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Ein Reaktivgas wird in eine Reaktionskammer über ein Reaktivgaszufuhrrohr zugeführt. Das Reaktivgas wird über ein Hauptauslaßrohr aus der Reaktionskammer ausgestoßen bzw. abgesaugt. Außenluft wird in die Reaktionskammer über ein Lufteinlaßrohr mittels des Öffnens eines Lufteinlaßventils hereingezogen. Ferner wird ein Hauptauslaßventil geschlossen, und ein Schmutzsammelauslaßventil wird geöffnet. Im Ergebnis wird ein Nebenprodukt, welches auf einer Innenwand der Reaktionskammer und im Hauptauslaßrohr abgeschieden worden war, mittels eines Schmutzsammelauslaßrohrs ausgestoßen bzw. abgesaugt, welches eine höhere Absaugstärke besitzt als das Hauptauslaßrohr.A reactive gas is supplied to a reaction chamber through a reactive gas supply pipe. The reactive gas is expelled or sucked out of the reaction chamber via a main outlet pipe. Outside air is drawn into the reaction chamber through an air inlet pipe by opening an air inlet valve. Furthermore, a main outlet valve is closed and a dirt collecting outlet valve is opened. As a result, a by-product which has been deposited on an inner wall of the reaction chamber and in the main outlet pipe is exhausted by a dirt collecting outlet pipe which has a higher suction strength than the main outlet pipe.

Description

Die vorliegende Erfindung bezieht sich auf ein Halbleiterherstellungssystem und insbesondere auf ein chemisches Dampfabscheidungssystem. The present invention relates to a Semiconductor manufacturing system and in particular on a chemical Vapor deposition system.

Fig. 7 ist eine schematische Querschnittsansicht zum Beschreiben eines der Anmelderin bekannten Halbleiterherstellungssystems (chemisches Dampfabscheidungssystem). Fig. 7 is a schematic cross-sectional view for describing a semiconductor manufacturing system (chemical vapor deposition system) known to the applicant.

Wie in Fig. 7 gezeigt, bezeichnet Bezugsziffer 1 eine Reaktionskammer; 2 bezeichnet eine Plattform, die in der Reaktionskammer angeordnet ist und ein Substrat A hält; 3 bezeichnet ein Reaktivgaszufuhrrohr, welches mit der Reaktionskammer verbunden ist; 4 bezeichnet ein Hauptauslaßrohr, welches mit der Reaktionskammer 1 verbunden ist; und 5 bezeichnet ein Hauptauslaßventil, welches auf dem Hauptauslaßrohr 4 vorgesehen ist. As shown in Fig. 7, reference numeral 1 denotes a reaction chamber; 2 denotes a platform arranged in the reaction chamber and holding a substrate A; 3 denotes a reactive gas supply pipe connected to the reaction chamber; 4 denotes a main outlet pipe which is connected to the reaction chamber 1 ; and 5 denotes a main exhaust valve provided on the main exhaust pipe 4 .

Als nächstes wird der Betrieb des Halbleiterherstellungssystems beschrieben; das heißt ein Verfahren zum Bilden eines Dünnfilms in dem Halbleiterherstellungssystem. Next, the operation of the Semiconductor manufacturing system described; that is, a method of forming one Thin film in the semiconductor manufacturing system.

Zuerst wird das Substrat A in die Reaktionskammer 1 eingebracht. Das Substrat A wird auf der Plattform 2 gehalten, welche zuvor auf eine vorbestimmte Temperatur erhitzt worden war. First, the substrate A is placed in the reaction chamber 1 . The substrate A is held on the platform 2 , which had previously been heated to a predetermined temperature.

Eine Vielzahl von Arten von Reaktivgasen werden in die Reaktionskammer 1 mittels des Reaktivgaszufuhrrohrs 3 eingefüllt, danach wird Plasma wie erforderlich induziert. Im Ergebnis wird ein Dünnfilm auf der Oberfläche des Substrats A über die chemische Dampfabscheidung gebildet. A variety of types of reactive gases are introduced into the reaction chamber 1 by means of the reactive gas supply pipe 3 , after which plasma is induced as required. As a result, a thin film is formed on the surface of the substrate A through chemical vapor deposition.

Nach der Bildung des Dünnfilms wird das Reaktivgas, welches noch in der Reaktionskammer 1 verbleibt (nachfolgend als "verbleibendes Gas" bezeichnet) nach außen aus der Reaktionskammer 1 mittels dem Hauptauslaßrohr 4 her ausgelassen bzw. abgeführt. Zu diesem Zeitpunkt entwickelt ein Teil des verbleibenden Gases auf der Innenwand der Reaktionskammer 1 oder im Inneren des Hauptauslaßrohrs 4 ein Nebenprodukt (insbesondere ein pulverförmiges Nebenprodukt). After the formation of the thin film, the reactive gas, which still remains in the reaction chamber 1 (hereinafter referred to as "remaining gas"), is discharged or discharged outside of the reaction chamber 1 by means of the main outlet pipe 4 . At this time, a part of the remaining gas on the inner wall of the reaction chamber 1 or inside the main outlet pipe 4 develops a by-product (especially a powdery by-product).

Nach dem Auslaß des verbleibenden Gases wird das Substrat A, welches darauf gebildet einen Dünnfilm aufweist, aus der Reaktionskammer 1 herausgenommen. After the remaining gas is discharged, the substrate A, which has a thin film formed thereon, is taken out of the reaction chamber 1 .

Wie oben beschrieben baut sich, wenn das verbleibende Gas nach der Bildung eines Dünnfilms aus der Reaktionskammer 1 ausgegeben wird, ein Teil des pulverförmigen Nebenprodukts auf der Innenwandoberfläche der Reaktionskammer 1 oder in dem Hauptauslaßrohr 4 auf. Die Menge der Nebenproduktbildung steigt mit einer Erhöhung der Anzahl der zu verarbeitenden Wafer an. As described above, when the remaining gas is discharged from the reaction chamber 1 after the formation of a thin film, part of the powdery by-product builds up on the inner wall surface of the reaction chamber 1 or in the main outlet pipe 4 . The amount of by-product formation increases with an increase in the number of wafers to be processed.

Wenn die Menge der Nebenproduktbildung (nachfolgend als "Abscheidung" bezeichnet) sich erhöht, beeinträchtigt somit die Abscheidung einen Luftstrom in der Reaktionskammer 1 und stört diesen. Folglich wird die Gleichförmigkeit in der Ebene hinsichtlich der Dicke des auf dem Substrat A gebildeten Dünnfilms verschlechtert. Thus, when the amount of by-product formation (hereinafter referred to as "separation") increases, the separation affects an air flow in the reaction chamber 1 and disturbs it. As a result, in-plane uniformity is deteriorated in the thickness of the thin film formed on the substrate A.

Die in der Reaktionskammer 1 hängende Abscheidung scheidet sich in Form von Partikeln auf dem Substrat A ab, wodurch die Herstellungsausbeute erniedrigt wird. The deposit hanging in the reaction chamber 1 is deposited in the form of particles on the substrate A, as a result of which the production yield is reduced.

Die Menge an Nebenprodukt, welches sich bildet, nimmt stark zu im Zuge der Anzahl der zu verarbeitenden Wafer. Aus diesem Grund war es bisher erforderlich, die Reaktionskammer 1 und das Hauptauslaßrohr 4 in regelmäßigen Zeitabständen einer Naßreinigung zu unterziehen. Dies führt wiederum zu einer Erniedrigung der Verfügbarkeit des Halbleiterherstellungssystems. The amount of by-product that forms increases sharply with the number of wafers to be processed. For this reason, it has previously been necessary to wet-clean the reaction chamber 1 and the main outlet pipe 4 at regular intervals. This in turn leads to a reduction in the availability of the semiconductor manufacturing system.

Die vorliegende Erfindung wurde entwickelt, um die zuvor bezeichneten Probleme zu lösen. The present invention was developed to overcome the foregoing to solve designated problems.

Es ist eine Aufgabe der vorliegenden Erfindung, eine leichte Eliminierung von Nebenprodukten zu ermöglichen, welche sich auf einer Innenwand einer Reaktionskammer oder in einem Hauptauslaßrohr aufbauen. It is an object of the present invention to be an easy one To enable elimination of by-products which are on an inner wall of a reaction chamber or in one Install the main outlet pipe.

Eine andere Aufgabe der Erfindung ist es, die Verfügbarkeit eines Halbleiterherstellungssystems zu verbessern durch das Verringern der Häufigkeit der Naßreinigung. Another object of the invention is availability to improve a semiconductor manufacturing system by Reduce the frequency of wet cleaning.

Eine weitere Aufgabe der Erfindung ist es, einen Dünnfilm hoher Qualität zu erzeugen, welcher eine überlegene Gleichförmigkeit in der Ebene besitzt und eine geringere Menge an Teilchenabscheidung einschließt. Another object of the invention is a thin film to produce high quality, which is a superior Has uniformity in the plane and a smaller amount of Includes particle separation.

Die obigen Aufgaben der vorliegenden Erfindung werden erzielt durch das nachfolgende Halbleiterherstellungssystem und durch das nachfolgende Abscheidungseliminierungsverfahren zum Gebrauch mit dem Halbleiterherstellungssystem. The above objects of the present invention are achieved through the subsequent semiconductor manufacturing system and through the subsequent deposition elimination process for Use with the semiconductor manufacturing system.

Gemäß einem Gegenstand der vorliegenden Erfindung umfaßt das Halbleiterherstellungssystem einen Zufuhrabschnitt zur Zufuhr eines Reaktivgases zu einer Reaktionskammer. Ein erster Auslaßabschnitt gibt das Reaktivgas aus der Reaktionskammer aus. Ein Lufteinlaßabschnitt zieht Außenluft in die Reaktionskammer herein. Ein zweiter Auslaßabschnitt, welcher eine stärkere Absaugstärke aufweist als diejenige des ersten Auslaßabschnitts, stößt ein Nebenprodukt, welches sich an einer Innenwand der Reaktionskammer abgeschieden hat, aus der Reaktionskammer mit der Außenluft aus. According to an object of the present invention, this includes Semiconductor manufacturing system a feed section for feed of a reactive gas to a reaction chamber. A first one The outlet section discharges the reactive gas from the reaction chamber. An air inlet section draws outside air into the reaction chamber in. A second outlet section, which is a stronger one Suction strength than that of the first outlet section, comes across a by-product, which is on an inner wall of the Reaction chamber has separated from the reaction chamber with the outside air.

Gemäß einem weiteren Gegenstand der vorliegenden Erfindung wird in dem Abscheidungselimierungsverfahren zum Gebrauch mit einem Halbleiterherstellungssystem nach der Bildung eines Dünnfilms auf einem Substrat in der Reaktionskammer des Halbleiterherstellungssystems ein Reaktivgas zuerst aus einer Reaktionskammer ausgestoßen. Außenluft wird nach dem Auslaß des Reaktivgases in die Reaktionskammer eingezogen, und die Außenluft wird gleichzeitig aus der Reaktionskammer abgezogen. Wobei der Auslaß des Reaktivgases bei einer höheren Absauggeschwindigkeit durchgeführt wird als der Auslaß der Außenluft. According to a further object of the present invention is used in the deposition elimination process with a semiconductor manufacturing system after the formation of a Thin film on a substrate in the reaction chamber of the A reactive gas first from a semiconductor manufacturing system Reaction chamber ejected. Outside air is released after the Reactive gas drawn into the reaction chamber, and the Outside air is drawn out of the reaction chamber at the same time. With the outlet of the reactive gas at a higher Suction speed is carried out as the outlet of the outside air.

Andere Aufgaben und weitere Merkmale der vorliegenden Erfindung werden aus der nachfolgenden detaillierten Beschreibung beim Lesen in Verbindung mit den beigefügten Zeichnungen deutlich. Other tasks and other features of the present Invention will become apparent from the detailed description that follows when reading in conjunction with the accompanying drawings clear.

Fig. 1 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der ersten Ausführungsform; Fig. 1 is a schematic sectional view for describing a semiconductor manufacturing system according to the first embodiment;

Fig. 2 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der zweiten Ausführungsform; Fig. 2 is a schematic sectional view for describing a semiconductor manufacturing system according to the second embodiment;

Fig. 3 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der dritten Ausführungsform; Fig. 3 is a schematic sectional view for describing a semiconductor manufacturing system according to the third embodiment;

Fig. 4 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der vierten Ausführungsform; Fig. 4 is a schematic sectional view for describing a semiconductor manufacturing system according to the fourth embodiment;

Fig. 5 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der fünften Ausführungsform; Fig. 5 is a schematic sectional view for describing a semiconductor manufacturing system according to the fifth embodiment;

Fig. 6 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der sechsten Ausführungsform; und Fig. 6 is a schematic sectional view for describing a semiconductor manufacturing system according to the sixth embodiment; and

Fig. 7 ist eine schematische Schnittansicht zum Beschreiben eines der Anmelderin bekannten Halbleiterherstellungssystems. Fig. 7 is a schematic sectional view for describing a semiconductor manufacturing system known to the applicant.

Nachfolgend werden die Prinzipien und Ausführungsformen der vorliegenden Erfindung unter Bezugnahme auf die beigefügten Zeichnungen erläutert. Den Elementen und Schritten, die einigen der Zeichnungen gemein sind, werden dieselben Bezugsziffern gegeben, und wiederholende Beschreibungen davon werden weggelassen. The principles and embodiments of the present invention with reference to the accompanying Drawings explained. The elements and steps that common to some of the drawings will be the same Reference numerals are given, and repetitive descriptions thereof omitted.

Erste AusführungsformFirst embodiment

Fig. 1 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems (d. h. einem chemischen Dampfabscheidungssystem) gemäß der ersten Ausführungsform. Fig. 1 is a schematic sectional view for describing a semiconductor manufacturing system (ie, a chemical vapor deposition system) according to the first embodiment.

Wie in Fig. 1 gezeigt, bezeichnet Bezugsziffer 1 eine Reaktionskammer; 2 bezeichnet eine Plattform, die in der Reaktionskammer 1 vorgesehen ist und ein Substrat A trägt; und 3 bezeichnet ein Reaktivgaszufuhrrohr, welches mit der Reaktionskammer 1 verbunden ist und ein Reaktivgas in die Reaktionskammer 1 einführt. Bezugsziffer 4 bezeichnet ein Hauptauslaßrohr, welches mit der Reaktionskammer 1 verbunden ist und als einem ersten Auslaßabschnitt zum Auslaß bzw. Ausstoßen des Reaktivgases aus der Reaktionskammer 1 dient; 5 bezeichnet ein Hauptauslaßventil, welches auf dem Hauptauslaßrohr 4 vorgesehen ist und als einem ersten Auslaßventil dient; 6 bezeichnet ein Schmutzsammelauslaßrohr, welches so ausgestaltet ist, daß es von dem Hauptauslaßrohr 4 abzweigt und als einem zweiten Auslaßabschnitt dient mit einer höheren Ausstoß- bzw. Absaugstärke als dasjenige des Hauptauslaßrohrs 4; 7 bezeichnet ein Schmutzsammelauslaßventil, welches auf dem Schmutzsammelauslaßrohr 6 vorgesehen ist und als ein zweites Auslaßventil dient; 8 bezeichnet ein Lufteinlaßrohr (ebenso als "Lufteinlaß" bezeichnet), welches mit der Reaktionskammer 1 verbunden ist und als einem Lufteinlaßabschnitt zum Einziehen von Außenluft in die Reaktionskammer 1 unter Saugwirkung dient; und 9bezeichnet ein Lufteinlaßventil, welches auf dem Lufteinlaßrohr 8 vorgesehen ist. As shown in Fig. 1, reference numeral 1 denotes a reaction chamber; 2 denotes a platform provided in the reaction chamber 1 and supporting a substrate A; and 3 denotes a reactive gas supply pipe which is connected to the reaction chamber 1 and introduces a reactive gas into the reaction chamber 1 . Reference numeral 4 denotes a main outlet pipe which is connected to the reaction chamber 1 and serves as a first outlet section for discharging the reactive gas from the reaction chamber 1 ; 5 denotes a main exhaust valve which is provided on the main exhaust pipe 4 and serves as a first exhaust valve; 6 denotes a dirt collecting outlet pipe which is configured to branch off from the main outlet pipe 4 and serves as a second outlet section with a higher discharge or suction strength than that of the main outlet pipe 4 ; 7 denotes a dirt collecting outlet valve which is provided on the dirt collecting outlet pipe 6 and serves as a second outlet valve; 8 denotes an air inlet pipe (also referred to as "air inlet") which is connected to the reaction chamber 1 and serves as an air inlet section for drawing outside air into the reaction chamber 1 under suction; and 9 denotes an air intake valve provided on the air intake pipe 8 .

Die Plattform 2 wird hier auf eine vorbestimmte Temperatur z. B. mittels eines Aufheizmechanismusses (nicht gezeigt) wie einem Heizer aufgeheizt. The platform 2 is here at a predetermined temperature z. B. heated by means of a heating mechanism (not shown) like a heater.

Das Schmutzsammelauslaßrohr 6 dient zur Eliminierung unter Saugwirkung eines Nebenprodukts, welches sich an der Innenwand der Reaktionskammer 1 oder dem Hauptauslaßrohr 4 (insbesondere einem pulverförmigen Nebenprodukt) gebildet hat, zusammen mit der Außenluft, die in die Reaktionskammer 1 mittels des Lufteinlaßrohrs 8 eingesaugt wurde. The dirt collecting outlet pipe 6 serves for the elimination under suction of a by-product which has formed on the inner wall of the reaction chamber 1 or the main outlet pipe 4 (in particular a powdery by-product), together with the outside air which has been sucked into the reaction chamber 1 by means of the air inlet pipe 8 .

Das Lufteinlaßrohr 8 und das Reaktivgaszufuhrrohr 3 sind voneinander getrennt und sind an verschiedenen Stellen mit der Reaktionskammer 1 verbunden. The air inlet pipe 8 and the reactive gas supply pipe 3 are separated from one another and are connected to the reaction chamber 1 at different points.

In der ersten Ausführungsform ist das Hauptauslaßrohr 4 mit einer Seitenwand der Reaktionskammer 1 verbunden, und das Lufteinlaßrohr 8 ist mit einer oberen Oberfläche der Reaktionskammer 1 verbunden. Die Stellen zum Verbinden sind jedoch nicht auf diese Stellen beschränkt. Das Hauptauslaßrohr 4 kann mit einer oberen oder unteren Oberfläche der Reaktionskammer 1 verbunden sein, und das Lufteinlaßrohr 8 kann mit einer Seitenwand oder unteren Oberfläche der Reaktionskammer 1 verbunden sein. In jedem Fall sind das Lufteinlaßrohr 8 und das Luftauslaßrohr 4 vorzugsweise bei einander gegenüberliegenden Positionen (oder bei Positionen, die voneinander getrennt sind) an der Reaktionskammer 1 gebildet. Mittels eines solchen Aufbaus der Verbindungen verbleibt der Luftstrom (welcher später beschrieben wird) für eine längere Zeitdauer in der Reaktionskammer 1 im Vergleich zu dem Fall, bei dem das Lufteinlaßrohr 8 und das Hauptauslaßrohr 4 nahe beieinander gebildet sind. In the first embodiment, the main outlet pipe 4 is connected to a side wall of the reaction chamber 1 , and the air inlet pipe 8 is connected to an upper surface of the reaction chamber 1 . However, the places for connecting are not limited to these places. The main outlet pipe 4 may be connected to an upper or lower surface of the reaction chamber 1 , and the air inlet pipe 8 may be connected to a side wall or lower surface of the reaction chamber 1 . In any case, the air inlet pipe 8 and the air outlet pipe 4 are preferably formed at the opposite positions (or at positions separated from each other) on the reaction chamber 1 . With such a structure of the connections, the air flow (which will be described later) remains in the reaction chamber 1 for a longer period of time compared to the case where the air inlet pipe 8 and the main outlet pipe 4 are formed close to each other.

Nun wird ein Dünnfilmbildungsverfahren zum Gebrauch in dem oben beschriebenen Halbleiterherstellungssystem beschrieben. Now a thin film formation method for use in the semiconductor manufacturing system described above.

Zuerst wird ein Substrat A in die Reaktionskammer 1 eingebracht und auf der Plattform 2 gehalten, welche zuvor auf eine vorbestimmte Temperatur erhitzt worden war. First, a substrate A is introduced into the reaction chamber 1 and held on the platform 2 , which has previously been heated to a predetermined temperature.

Zum Beispiel werden SiH4 und O2 als Reaktivgase mittels des Reaktivgaszufuhrrohrs 3 der Reaktionskammer 1 zugeführt, wonach Plasma je nach Erfordernis induziert wird. Im Ergebnis wird z. B. ein Siliciumoxidfilm (als einem Dünnfilm) auf der Oberfläche des Substrats A über die chemische Dampfabscheidung gebildet. For example, SiH 4 and O 2 are supplied as reactive gases to the reaction chamber 1 by means of the reactive gas supply pipe 3 , after which plasma is induced as required. As a result, e.g. B. a silicon oxide film (as a thin film) is formed on the surface of the substrate A via chemical vapor deposition.

Nach der Bildung des Siliciumoxidfilms wird das noch in der Reaktionskammer 1 verbleibende Reaktivgas (nachfolgend als "verbleibendes Gas" bezeichnet) mittels dem Hauptauslaßrohr 4 aus der Reaktionskammer 1 abgegeben. Zu diesem Zeitpunkt entwickelt ein Teil des verbleibenden Gases auf einer Innenwand der Reaktionskammer 1 oder im Inneren des Hauptauslaßrohrs 4 ein Nebenprodukt (nachfolgend als "Abscheidung" bezeichnet). Die Menge an Abscheidung nimmt mit einer Erhöhung der Häufigkeit der Ausführung des Verfahrens zu. After the formation of the silicon oxide, the remaining in the reaction chamber 1 reactive gas (hereinafter referred to as "residual gas") discharged from the reaction chamber 1 by means of the main exhaust pipe. 4 At this time, a part of the remaining gas on a inner wall of the reaction chamber 1 or inside the main outlet pipe 4 develops a by-product (hereinafter referred to as "separation"). The amount of deposition increases as the frequency of performing the process increases.

Nach dem Ausgeben des verbleibenden Gases wird das Substrat A mit dem darauf gebildeten Dünnfilm aus der Reaktionskammer 1 herausgenommen. After the remaining gas has been discharged, the substrate A with the thin film formed thereon is taken out of the reaction chamber 1 .

Das nächste Substrat und nachfolgende Substrate werden den vorstehenden Prozessen unterworfen, wodurch ein Dünnfilm auf jedem der Substrate gebildet wird. The next substrate and subsequent substrates will be the subjected to the above processes, thereby forming a thin film each of the substrates is formed.

Nun wird ein Niederschlagseliminierungsverfahren zum Gebrauch mit dem Halbleiterherstellungssystem beschrieben. A precipitation elimination process is now in use described with the semiconductor manufacturing system.

Wie oben erwähnt, nimmt die Menge an Nebenprodukt-Entwicklung an der Innenwand der Reaktionskammer 1 und dem Hauptauslaßrohr 4 zu, wenn die Häufigkeit des Prozessierens beim Ausführen zur Bildung eines Dünnfilms zunimmt (d. h. die Anzahl der zu prozessierenden Substrate nimmt zu). Bevor sich das Nebenprodukt bis zu einer gewissen Menge entwickelt hat, wird das Substrat mit einem darauf gebildeten Dünnfilm entnommen. Anschließend wird die Zufuhr des Reaktivgases zur Reaktionskammer 1 aus dem Reaktivgaszufuhrrohr 3 abgebrochen. Das Hauptauslaßventil 5 wird geschlossen, und das Schmutzsammelauslaßventil und das Lufteinlaßventil 9 werden geöffnet. As mentioned above, the amount of by-product development on the inner wall of the reaction chamber 1 and the main outlet pipe 4 increases as the frequency of processing when performing to form a thin film increases (ie, the number of substrates to be processed increases). Before the by-product has developed to a certain amount, the substrate with a thin film formed thereon is removed. Then the supply of the reactive gas to the reaction chamber 1 from the reactive gas supply pipe 3 is stopped. The main exhaust valve 5 is closed, and the dirt collecting exhaust valve and the air intake valve 9 are opened.

"Eine gewisse Menge" bedeutet hier die Menge an Abscheidung, die eine Luftturbulenz in der Reaktionskammer 1 induziert, wobei dadurch die Bildung eines Dünnfilms nachteilig beeinträchtigt wird (z. B. ein Abfall in der Gleichförmigkeit der Dicke in der Ebene), oder die Menge an Abscheidung, bei welcher ein Teil der Abscheidung schwebend gehalten wird und ein zulässiges Teilchenmaß für ein Substrat übersteigt. In der ersten Ausführungsform erfolgt die Bestimmung, ob oder ob nicht eine gewisse Menge erfüllt ist, in bezug auf die Anzahl der zu prozessierenden Substrate in der Reaktionskammer 1 bzw. einer RF- AN-Dauer. "A certain amount" here means the amount of deposition which induces air turbulence in the reaction chamber 1 , thereby adversely affecting the formation of a thin film (e.g., a decrease in the uniformity of the thickness in the plane), or the amount deposition in which a part of the deposition is kept suspended and exceeds a permissible particle size for a substrate. In the first embodiment, the determination is made as to whether or not a certain amount is satisfied in relation to the number of substrates to be processed in the reaction chamber 1 or an RF-AN duration.

Mittels der Öffnungs- und Schließmaßnahmen der Ventile wird der Nebenprodukt-(Abscheidungs-)aufbau auf der Innenwand der Reaktionskammer 1 und dem Hauptauslaßrohr 4 unter Absaugen eliminiert. Speziell baut sich ein Luftstrom als Ergebnis dessen auf, daß die Außenluft, die durch das Lufteinlaßrohr 8 in die Reaktionskammer 1 hereingezogen worden war, durch das Schmutzsammelauslaßrohr 6 abgesaugt bzw. abgezogen wird. Durch den Luftstrom wird die Abscheidung eliminiert. By means of the opening and closing measures of the valves, the by-product (separation) structure on the inner wall of the reaction chamber 1 and the main outlet pipe 4 is eliminated with suction. Specifically, an air flow builds up as a result of the outside air drawn into the reaction chamber 1 through the air inlet pipe 8 being sucked out through the dirt collecting outlet pipe 6 . The separation is eliminated by the air flow.

Die Schließmaßnahme des Hauptauslaßventils 5, die Öffnungsmaßnahme des Schmutzsammelauslaßventils 7 und die Öffnungsmaßnahme des Lufteinlaßventils 9 können in beliebiger Reihenfolge ausgeführt werden. Ein geschlossener Zustand des Hauptauslaßventils 5, ein geöffneter Zustand des Schmutzsammelauslaßventils 6 und ein geöffneter Zustand des Lufteinlaßventils 9 müssen jedoch gleichzeitig erreicht werden, wodurch die Wirkung der Eliminierung der Abscheidung aus dem Schmutzsammelauslaßrohr 6 unter Saugwirkung verstärkt wird. Speziell kann die Abscheidung effizient eliminiert werden. The closing measure of the main outlet valve 5 , the opening measure of the dirt collecting outlet valve 7 and the opening measure of the air inlet valve 9 can be carried out in any order. However, a closed state of the main exhaust valve 5 , an opened state of the dirt collecting outlet valve 6 and an opened state of the air inlet valve 9 must be achieved at the same time, whereby the effect of eliminating the deposit from the dirt collecting outlet pipe 6 under suction is enhanced. In particular, the deposition can be efficiently eliminated.

Nachdem die Eliminierung der Abscheidung unter Saugwirkung abgeschlossen ist, wird das Lufteinlaßventil 9 geschlossen, und das Schmutzsammelauslaßventil 7 wird ebenso geschlossen. Ferner wird das Hauptauslaßventil 5 geöffnet, wodurch die Reaktionskammer 1 in einen Zustand gebracht wird, bei dem ein Dünnfilm gebildet werden kann. After the elimination of the suction deposit is completed, the air inlet valve 9 is closed and the dirt collecting outlet valve 7 is also closed. Further, the main exhaust valve 5 is opened, whereby the reaction chamber 1 is brought into a state in which a thin film can be formed.

Bezüglich des Halbleiterherstellungssystems und des Abscheidungseliminierungsverfahrens gemäß der vorliegenden Erfindung wird, wie beschrieben, das Schmutzsammelauslaßrohr 6 mit einer Absaugstärke, die höher ist als diejenige des Hauptauslaßrohrs 4, vom Hauptauslaßrohr 4 abzweigend bereitgestellt. Neben dem Reaktivgaszufuhrrohr 3 wird ein Lufteinlaßrohr 8 zum Hereinziehen von Außenluft in die Reaktionskammer 1 unter Saugwirkung bereitgestellt. Bevor das Nebenprodukt, welches auf der Innenwand der Reaktionskammer 1 oder im Inneren des Hauptauslaßrohrs 8 abgeschieden ist, den Filmabscheidungsprozeß beeinträchtigt, wird die Außenluft, die in die Reaktionskammer durch das Lufteinlaßrohr 8 unter Saugwirkung hereingezogen worden war, durch das Schmutzsammelauslaßrohr 6 abgezogen, wodurch ein Luftstrom hervorgerufen wird. Mittels des Luftstroms wird die Abscheidung unter Saugwirkung eliminiert. With respect to the semiconductor manufacturing system and the deposition elimination method according to the present invention, as described, the Schmutzsammelauslaßrohr 6 with a of suction, which is higher than that provided by the main exhaust pipe 4 of the Hauptauslaßrohrs 4 branching. In addition to the reactive gas supply pipe 3 , an air inlet pipe 8 for drawing outside air into the reaction chamber 1 under suction is provided. Before the by-product deposited on the inner wall of the reaction chamber 1 or inside the main outlet pipe 8 interferes with the film deposition process, the outside air which has been drawn into the reaction chamber through the air inlet pipe 8 under suction is drawn out through the dirt collecting outlet pipe 6 , thereby a Air flow is caused. The airflow eliminates the suction.

Folglich kann die Abscheidung ohne weiteres eliminiert werden, wodurch das Auftreten einer Verwirbelung des Luftstroms in der Reaktionskammer 1 verhindert wird. Somit kann die Aufschwemmung von Teilchen aus der Abscheidung sowie der Niederschlag von Teilchen auf dem Substrat A gehemmt werden. Deshalb kann ein Dünnfilm hoher Qualität, welcher in der Gleichförmigkeit der Dicke in der Ebene überlegen ist und lediglich die Abscheidung von einigen Teilchen einschließt, gebildet werden. Die Menge an sich entwickelndem Nebenprodukt wird durch wiederholte Eliminierung der Abscheidung unter Absaugen bei einem vernachlässigbaren Niveau gehalten. Somit kann der Zyklus der Naßreinigung der Reaktionskammer 1 länger gemacht werden, wodurch die Verfügbarkeit des Halbleiterherstellungssysteme verbessert wird. As a result, the deposition can be easily eliminated, thereby preventing the air flow from swirling in the reaction chamber 1 . The suspension of particles from the deposition and the precipitation of particles on the substrate A can thus be inhibited. Therefore, a high quality thin film which is superior in in-plane thickness uniformity and includes only the deposition of some particles can be formed. The amount of by-product evolving is kept at a negligible level by repeated elimination of the suction removal. Thus, the cycle of wet cleaning the reaction chamber 1 can be made longer, thereby improving the availability of the semiconductor manufacturing system.

In der ersten Ausführungsform wird das Schmutzsammelauslaßrohr 6 so bereitgestellt, daß es sich vom Hauptauslaßrohr 4 abzweigt. Die Stelle, bei welcher das Schmutzsammelauslaßrohr 6 zu verbinden ist, ist jedoch nicht darauf beschränkt. Das Schmutzsammelauslaßrohr 6 kann direkt an der Reaktionskammer 1 bereitgestellt werden (dies trifft ebenso auf die zweite bis sechste Ausführungsform zu, die später beschrieben werden). In the first embodiment, the dirt collecting outlet pipe 6 is provided so that it branches off from the main outlet pipe 4 . However, the place where the dirt collecting outlet pipe 6 is to be connected is not limited to this. The dirt collecting outlet pipe 6 can be provided directly on the reaction chamber 1 (this also applies to the second to sixth embodiments, which will be described later).

In der ersten Ausführungsform wird Außenluft durch das Lufteinlaßrohr 8 unter Saugwirkung hereingezogen. In Abhängigkeit von der Art des zu erzeugenden Dünnfilms kann jedoch Inertgas wie N2-Gas (Stickstoffgas) oder Ar-Gas (Argongas) durch das Lufteinlaßrohr 8 hereingezogen werden (dasselbe trifft auf die zweite bis sechste Ausführungsform zu, die später beschrieben werden). Im Ergebnis kann die Menge an auf dem Substrat A abgeschiedenen Partikeln weiter reduziert werden. In the first embodiment, outside air is drawn in through the air intake pipe 8 under suction. However, depending on the kind of the thin film to be produced, inert gas such as N 2 gas (nitrogen gas) or Ar gas (argon gas) may be drawn in through the air inlet pipe 8 (the same applies to the second to sixth embodiments, which will be described later). As a result, the amount of particles deposited on the substrate A can be further reduced.

Zweite AusführungsformSecond embodiment

Fig. 2 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der zweiten Ausführungsform. Fig. 2 is a schematic sectional view for describing a semiconductor manufacturing system according to the second embodiment.

Die Halbleiterherstellungsvorrichtung gemäß der zweiten Ausführungsform ist dadurch gekennzeichnet, daß das Halbleiterherstellungssystems gemäß der ersten Ausführungsform mit einem Steuerabschnitt 10 zum Steuern der Öffnungs/Schließ-Maßnahmen des Hauptauslaßventils 5, jene des Schmutzsammelauslaßventils 7 und jene des Lufteinlaßventils 9 bereitgestellt wird. The semiconductor manufacturing apparatus according to the second embodiment is characterized in that the semiconductor manufacturing system according to the first embodiment is provided with a control section 10 for controlling the opening / closing operations of the main exhaust valve 5 , that of the dirt collecting exhaust valve 7 and that of the air intake valve 9 .

Der Steuerabschnitt 10 wird hier mit dem Hauptauslaßventil 5, dem Schmutzsammelauslaßventil 7 und dem Lufteinlaßventil 9 verbunden. Der Steuerabschnitt 10 steuert automatisch die Öffnungs/Schließ-Maßnahmen der jeweiligen Ventile 5, 7 und 9 bei gewünschten Zeiteinteilungen; d. h. bei einem Timing, bei dem eine hohe Wirkung der Eliminierung einer Abscheidung unter Saugwirkung erzielt wird. The control section 10 is connected here to the main outlet valve 5 , the dirt collecting outlet valve 7 and the air inlet valve 9 . The control section 10 automatically controls the opening / closing measures of the respective valves 5 , 7 and 9 at the desired timing; that is, at a timing in which a high effect of eliminating a suction deposit is obtained.

Das Dünnfilmbildungsverfahren, welches in dem Halbleiterherstellungssystem zu verwenden ist, ist gleich dem in Verbindung mit der ersten Ausführungsform beschriebenen, und deshalb erübrigt sich eine Erläuterung davon. The thin film formation process, which in the Semiconductor manufacturing system to be used is equal to that in connection described with the first embodiment, and therefore there is no need to explain this.

Ein Abscheidungseliminierungsverfahren zur Verwendung mit dem Halbleiterherstellungssystem wird nun beschrieben. A deposition elimination process for use with the Semiconductor manufacturing system will now be described.

Wie im Fall der ersten Ausführungsform bricht der Steuerabschnitt 10 die Zufuhr von Reaktivgas in die Reaktionskammer 1 durch das Reaktivgaszufuhrrohr 3 ab, bevor ein Nebenprodukt sich zu einer gewissen Menge auf der Innenwand der Reaktionskammer 1 und im Hauptauslaßrohr 4 nach der Bildung eines Dünnfilms entwickelt hat. Der Steuerabschnitt 10 schließt ferner das Hauptauslaßventil 5 und öffnet das Schmutzsammelventil 7 und das Lufteinlaßventil 9. Mittels der Öffnungs/Schließ- Maßnahmen des Steuerabschnitts 10 wird Außenluft, die durch das Lufteinlaßrohr 8 in die Reaktionskammer 1 hereingezogen wurde, nach außen durch das Schmutzsammelauslaßrohr 6 abgezogen, wodurch ein Luftstrom erzeugt wird. Mittels des Luftstroms wird die Abscheidung unter Saugwirkung eliminiert. As in the case of the first embodiment, the control section 10 stops supplying reactive gas into the reaction chamber 1 through the reactive gas supply pipe 3 before a by-product has developed to a certain amount on the inner wall of the reaction chamber 1 and in the main outlet pipe 4 after the formation of a thin film. The control section 10 also closes the main exhaust valve 5 and opens the dirt collecting valve 7 and the air intake valve 9 . By means of the opening / closing measures of the control section 10 , outside air drawn into the reaction chamber 1 through the air inlet pipe 8 is drawn out through the dirt collecting outlet pipe 6 , whereby an air flow is generated. The airflow eliminates the suction.

Nach Beenden der Eliminierung der Abscheidung unter Absaugen schließt der Steuerabschnitt 10 das Lufteinlaßventil 9 und das Schmutzsammelventil 7 und öffnet das Hauptauslaßventil 5, wodurch die Reaktionskammer 1 wieder in einen Zustand versetzt wird, bei dem ein Dünnfilm gebildet werden kann. After the elimination of the deposition under suction is completed, the control section 10 closes the air inlet valve 9 and the dirt collecting valve 7 and opens the main outlet valve 5 , whereby the reaction chamber 1 is returned to a state in which a thin film can be formed.

Folglich erzielt die zweite Ausführungsform denselben Vorteil wie den, der in der ersten Ausführungsform erzielt wurde. Consequently, the second embodiment achieves the same advantage like that achieved in the first embodiment.

Ferner kann der Steuerabschnitt 10 die Ventile bei gewünschten Zeiteinteilungen öffnen und schließen. Folglich kann die Abscheidung unter Saugwirkung bei Bedarf automatisch eliminiert werden durch ein vorbestimmtes Programm. Folglich kann die Abscheidung zu einem Zeitpunkt mit maximalem Eliminierungseffekt abgesaugt werden. Ferner kann das Reinigen der Innenwand der Reaktionskammer 1 und dasjenige im Inneren des Hauptauslaßrohrs 4, was bisher per Hand ausgeführt wurde, automatisiert werden. Furthermore, the control section 10 can open and close the valves at desired times. As a result, the suction separation can be automatically eliminated if necessary by a predetermined program. As a result, the deposition can be sucked off at a time with maximum elimination effect. Furthermore, the cleaning of the inner wall of the reaction chamber 1 and that inside the main outlet pipe 4 , which was previously carried out by hand, can be automated.

Dritte AusführungsformThird embodiment

Fig. 3 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der dritten Ausführungsform. Fig. 3 is a schematic sectional view for describing a semiconductor manufacturing system according to the third embodiment.

Das Halbleiterherstellungssystem gemäß der dritten Ausführungsform ist dadurch gekennzeichnet, daß das Halbleiterherstellungssystem, welches in Verbindung mit der zweiten Ausführungsform beschrieben wurde, mit einem Drucksensor 11 zum Messen eines Innendrucks des Schmutzsammelauslaßrohrs 6 ausgestattet ist. The semiconductor manufacturing system according to the third embodiment is characterized in that the semiconductor manufacturing system described in connection with the second embodiment is equipped with a pressure sensor 11 for measuring an internal pressure of the dirt collecting outlet pipe 6 .

Der Drucksensor 11 ist hier eher bei einer Stelle auf dem Schmutzsammelauslaßrohr 6 in der Nähe der Reaktionskammer 1 als bei einer Stelle in der Nähe des Schmutzsammelauslaßventils 7 angeordnet. Der Drucksensor 11 dient zum Messen des Innendrucks des Schmutzsammelauslaßrohrs 6, d. h. zum Messen,. bzw. Abtasten der Absaugstärke des Schmutzsammelauslaßrohrs 6. Der Drucksensor 11 ist mit dem Steuerabschnitt 10 verbunden, wodurch ein Ergebnis der Bestimmung an den Steuerabschnitt 10 ausgegeben wird. The pressure sensor 11 is arranged here at a location on the dirt collecting outlet pipe 6 in the vicinity of the reaction chamber 1 rather than at a location near the dirt collecting outlet valve 7 . The pressure sensor 11 is used to measure the internal pressure of the dirt collecting outlet pipe 6 , ie to measure. or scanning the suction strength of the dirt collecting outlet pipe 6 . The pressure sensor 11 is connected to the control section 10 , whereby a result of the determination is output to the control section 10 .

Das Dünnfilmbildungsverfahren bei dem Halbleiterherstellungssystem ist ebenso dasselbe wie das in Verbindung mit der ersten Ausführungsform beschriebene. Aus diesem Grund erübrigt sich eine Erläuterung des Verfahrens für die dritte Ausführungsform. The thin film formation process in the Semiconductor manufacturing system is the same as that in connection with the first embodiment described. For this reason, unnecessary get an explanation of the procedure for the third Embodiment.

Ein Abscheidungseliminierungsverfahren zum Gebrauch mit dem Halbleiterherstellungssystem wird nun beschrieben. A deposition elimination process for use with the Semiconductor manufacturing system will now be described.

Das Verfahren zur Eliminierung von Abscheidungen unter Saugwirkung ist dasselbe wie das in Verbindung mit der zweiten Ausführungsform beschriebene. The process of eliminating deposits under Suction is the same as that associated with the second Embodiment described.

In der dritten Ausführungsform detektiert der Drucksensor 11 den Innendruck des Schmutzsammelauslaßrohrs 6 im Laufe des Betriebs, welcher zur Eliminierung einer Abscheidung unter Saugwirkung nach Bildung eines Dünnfilms auszuführen ist. Ein Meßergebnis (Druckwert) wird an den Steuerabschnitt 10 ausgegeben. Wenn ein Innendruckniveau des Schmutzsammelauslaßrohrs 6 über ein bestimmtes Druckniveau im Verlauf des Betriebs zur Eliminierung einer Abscheidung unter Saugwirkung zugenommen hat, speziell wenn ein beträchtlicher Abfall bei der Saugstärke (d. h. dem Absaugvermögen) des Schmutzsammelauslaßrohrs 6 entstanden ist, gibt als Ergebnis der Steuerabschnitt 10, an den ein Meßergebnis (d. h. ein abnormales Druckniveau) aus dem Drucksensor 11 ausgegeben worden ist, einen Alarm. Somit kann ein Betreiber (Arbeiter) einen anormalen Innendruck des Schmutzsammelauslaßrohrs 6 feststellen. Folglich wird zusätzlich zu dem in der zweiten Ausführungsform erzielten Vorteil ebenso ein Vorteil der Verbesserung der Zuverlässigkeit des Halbleiterherstellungssystems erzielt. In the third embodiment, the pressure sensor 11 detects the internal pressure of the dirt collecting outlet pipe 6 in the course of the operation, which is to be carried out to eliminate a deposit under suction after formation of a thin film. A measurement result (pressure value) is output to the control section 10 . As a result, when an internal pressure level of the dirt collecting outlet pipe 6 has increased above a certain pressure level in the course of the operation to eliminate a suction deposit, particularly when there has been a significant drop in the suction strength (ie, the absorbency) of the dirt collecting outlet pipe 6 , the control section 10 indicates which a measurement result (ie an abnormal pressure level) has been output from the pressure sensor 11 , an alarm. Thus, an operator (worker) can detect an abnormal internal pressure of the dirt collecting outlet pipe 6 . Consequently, in addition to the advantage obtained in the second embodiment, an advantage of improving the reliability of the semiconductor manufacturing system is also obtained.

In der dritten Ausführungsform überwacht der Steuerabschnitt 10 ein Meßergebnis, welches aus dem Drucksensor ausgegeben wurde, zu jeder Zeit. Der Drucksensor 11 kann jedoch so ausgestaltet werden, daß lediglich ein anormales Signal an den Steuerabschnitt 10 ausgegeben wird, wenn ein anormaler Druck festgestellt wird. In the third embodiment, the control section 10 monitors a measurement result output from the pressure sensor at all times. However, the pressure sensor 11 can be configured so that only an abnormal signal is output to the control section 10 when an abnormal pressure is detected.

Der Drucksensor 11 kann stromabwärts von dem Schmutzsammelauslaßventil 7 angeordnet werden, um dadurch den Druck des Schmutzsammelauslaßrohrs 6 zu detektieren. The pressure sensor 11 can be arranged downstream of the dirt collecting outlet valve 7 , to thereby detect the pressure of the dirt collecting outlet pipe 6 .

Vierte AusführungsformFourth embodiment

Fig. 4 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der vierten Ausführungsform. Fig. 4 is a schematic sectional view for describing a semiconductor manufacturing system according to the fourth embodiment.

Bei dem Halbleiterherstellungssystem gemäß der vierten Ausführungsform werden eine Vielzahl von Schmutzsammelauslaßrohren 6a, 6b mit Absaugstärken, die höher als diejenige des Hauptauslaßrohrs 4 sind, so bereitgestellt, daß sie von dem Hauptauslaßrohr 4 abzweigen. Ein Schmutzsammelauslaßventil 7a und ein Drucksensor 11a werden bei dem Schmutzsammelauslaßrohr 6a bereitgestellt, und ein Schmutzsammelauslaßventil 7b und ein Drucksensor 11b werden bei dem Schmutzsammelauslaßrohr 6b bereitgestellt. In the semiconductor manufacturing system according to the fourth embodiment, a plurality of dirt collecting outlet pipes 6 a, 6 b with suction strengths that are higher than that of the main outlet pipe 4 are provided so that they branch off from the main outlet pipe 4 . A dirt collecting outlet valve 7 a and a pressure sensor 11 a are provided in the dirt collecting outlet pipe 6 a, and a dirt collecting outlet valve 7 b and a pressure sensor 11 b are provided in the dirt collecting outlet pipe 6 b.

Das Dünnfilmbildungsverfahren bei dem Halbleiterherstellungssystem ist dasselbe wie das in Verbindung mit der ersten Ausführungsform beschriebene. Aus diesem Grund erübrigt sich eine Erläuterung des Verfahrens bei der vierten Ausführungsform. The thin film formation process in the Semiconductor manufacturing system is the same as that in connection with the first Embodiment described. For this reason, one is unnecessary Explanation of the method in the fourth embodiment.

Ein Abscheidungseliminierungsverfahren zum Gebrauch im Halbleiterherstellungssystem wird nun beschrieben. A deposition elimination process for use in the Semiconductor manufacturing system will now be described.

Wie im Fall der ersten Ausführungsform bricht, bevor sich ein Nebenprodukt auf der Innenwand der Reaktionskammer 1 und im Hauptauslaßrohr 4 bis zu einer gewissen Menge (d. h. einer Menge, die das Auftreten eines Turbulenzluftstroms mit sich bringt, welcher die Bildung eines Dünnfilms nachteilig beeinträchtigt) entwickelt, der Steuerabschnitt 10 die Zufuhr eines Reaktivgases zur Reaktionskammer 1 über das Reaktivgaszufuhrrohr 3 ab, schließt das Hauptauslaßventil 5 und öffnet das Schmutzsammelauslaßventil 7a und das Lufteinlaßventil 9. Als Ergebnis wird die Abscheidung aus dem Schmutzsammelauslaßrohr 6a unter Saugwirkung eliminiert. Zu diesem Zeitpunkt bleibt das Schmutzsammelauslaßventil 7b geschlossen. Speziell wird lediglich das Schmutzsammelauslaßrohr 6a zur Eliminierung der Abscheidung unter Saugwirkung benutzt, und das Schmutzsammelauslaßrohr 6b wird nicht gebraucht. As in the case of the first embodiment, before a by-product develops on the inner wall of the reaction chamber 1 and in the main outlet pipe 4 to a certain amount (that is, an amount that entails the occurrence of a turbulent air flow which adversely affects the formation of a thin film) , The control section 10, the supply of a reactive gas to the reaction chamber 1 from the reactive gas supply pipe 3 , closes the main outlet valve 5 and opens the dirt collecting outlet valve 7 a and the air inlet valve 9 . As a result, the deposition from the dirt collecting outlet pipe 6 a is eliminated under suction. At this time, the dirt collecting outlet valve 7 b remains closed. Specifically, only the dirt collecting outlet pipe 6 a is used for eliminating the deposit under suction, and the dirt collecting outlet pipe 6 b is not used.

Wenn der Druck des Schmutzsammelauslaßrohrs 6a über ein voreingestelltes Druckniveau im Verlauf des Betriebs zur Eliminierung der Abscheidung unter Saugwirkung ansteigt, d. h. wenn ein Abfall in der Absaugstärke (oder Saugwirkung) auftritt, bestimmt der Steuerabschnitt 10 aus einem Signal, welches von dem Drucksensor 11a, der in dem Schmutzsammelauslaßrohr 6a bereitgestellt ist, ausgegeben wurde, daß ein Abfall in der Absaugstärke des Schmutzsammelauslaßrohrs 6a aufgetreten ist. Gleichzeitig mit dieser Bestimmung schließt der Steuerabschnitt 10 das Schmutzsammelauslaßventil 7a und öffnet das Schmutzsammelauslaßventil 7b. Als Ergebnis kann der Betrieb zur Eliminierung einer Abscheidung unter Saugwirkung ohne Unterbrechung ausgeführt werden. If the pressure of the dirt collecting outlet pipe 6 a rises above a preset pressure level in the course of operation to eliminate the separation under suction, ie when a decrease in the suction strength (or suction) occurs, the control section 10 determines from a signal which is sent from the pressure sensor 11 a , which is provided in the dirt collecting outlet pipe 6 a, was issued that a drop in the suction strength of the dirt collecting outlet pipe 6 a has occurred. Simultaneously with this determination, the control section 10 closes the dirt collecting outlet valve 7 a and opens the dirt collecting outlet valve 7 b. As a result, the operation for eliminating a suction deposit can be carried out without interruption.

Gemäß der vierten Ausführungsform kann, selbst wenn ein anormaler Druck im Verlauf der Eliminierung einer Abscheidung unter Saugwirkung bei irgend einem der Vielzahl von Schmutzsammelauslaßrohren aufgetreten ist, das Umschalten zu einem anderen Schmutzsammelauslaßrohr bewirkt werden, wodurch ein ununterbrochener, kontinuierlicher Eliminierungs- und Saugbetrieb ermöglicht wird. Während des Betriebs des anderen Schmutzsammelauslaßrohrs kann das Schmutzsammelauslaßrohr, in dem ein anormaler Druck aufgetreten ist, in einen normalen Zustand zurückgesetzt werden. Folglich kann zusätzlich zum in der dritten Ausführungsform erzielten Vorteil die Verfügbarkeit des Halbleiterherstellungssystems zu einem größeren Ausmaß verbessert werden. According to the fourth embodiment, even if a abnormal pressure in the course of removal of a deposit under suction on any of the variety of Dirt collection outlet pipes has occurred, switching to one other dirt collecting outlet pipe can be caused, causing a uninterrupted, continuous elimination and suction operation is made possible. While the other is operating Dirt collecting outlet pipe can be the dirt collecting outlet pipe in which a abnormal pressure has occurred, in a normal state be reset. Consequently, in addition to that in the third embodiment achieved the availability of the advantage Semiconductor manufacturing system to a larger extent be improved.

Die vierte Ausführungsform hat einen Fall beschrieben, bei dem zwei Schmutzsammelauslaßrohre 6a, 6b verwendet werden. Die vorliegende Erfindung ist jedoch nicht auf einen solchen Fall beschränkt, und es können drei oder mehr Schmutzsammelauslaßrohre verwendet werden. Selbst in einem solchen Fall wird derselbe Vorteil erzielt wie derjenige, der in einem Fall erzielt wurde, bei dem zwei Schmutzsammelauslaßrohre 6a, 6b verwendet werden. The fourth embodiment has described a case in which two dirt collecting outlet pipes 6 a, 6 b are used. However, the present invention is not limited to such a case, and three or more dirt collecting outlet pipes can be used. Even in such a case, the same advantage is achieved as that obtained in a case in which two dirt collecting outlet pipes 6 a, 6 b are used.

Die Schmutzsammelauslaßrohre 6a, 6b können sich voneinander hinsichtlich der Absaugstärke unterscheiden, solange jedes von ihnen eine höhere Absaugstärke als diejenige des Hauptauslaßrohrs 4 aufweist. The dirt collecting outlet pipes 6 a, 6 b can differ from one another with regard to the suction strength, as long as each of them has a higher suction strength than that of the main outlet pipe 4 .

In der vierten Ausführungsform überwacht der Steuerabschnitt 10 ein Signal, welches aus dem Drucksensor 11 ausgegeben wurde, zu jedem Zeitpunkt. Der Drucksensor 11a kann jedoch so ausgestaltet werden, daß lediglich ein anormales Signal ausgegeben wird, wenn ein anormaler Druck aufgetreten ist. Beim Erhalt eines Signals eines anormalen Drucks von dem Drucksensor 11a schließt in diesem Fall der Steuerabschnitt 10 das Schmutzsammelauslaßventil 7a und öffnet das Schmutzsammelauslaßventil 7b. In the fourth embodiment, the control section 10 monitors a signal output from the pressure sensor 11 at all times. However, the pressure sensor 11 a can be configured so that only an abnormal signal is output when an abnormal pressure has occurred. Upon receiving a signal of an abnormal pressure from the pressure sensor 11 a in this case, the control section 10 closes the dirt collecting outlet valve 7 a and opens the dirt collecting outlet valve 7 b.

Fünfte AusführungsformFifth embodiment

Fig. 5 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der fünften Ausführungsform. Fig. 5 is a schematic sectional view for describing a semiconductor manufacturing system according to the fifth embodiment.

Das Halbleiterherstellungssystem gemäß der fünften Ausführungsform ist dadurch gekennzeichnet, daß das Halbleiterherstellungssystem gemäß der dritten Ausführungsform mit einer Reaktivgaszufuhrvorrichtung 12 für die Zufuhr eines Reaktivgases zum Reaktivgaszufuhrrohr 3 und einem Rohstoffmengendetektionsabschnitt (d. h. einem Zufuhrvolumen-Detektionsabschnitt) 13 zum Detektieren der Menge an Rohstoff, welches durch die Reaktivgaszufuhrvorrichtung 12 (d. h. einem Zufuhrvolumen des Reaktivgases) verbraucht wurde, ausgestattet ist. The semiconductor manufacturing system according to the fifth embodiment is characterized in that the semiconductor manufacturing system according to the third embodiment has a reactive gas supply device 12 for supplying a reactive gas to the reactive gas supply pipe 3 and a raw material quantity detection section (ie, a supply volume detection section) 13 for detecting the amount of raw material caused by the Reactive gas supply device 12 (ie a supply volume of the reactive gas) has been used.

Die Reaktivgaszufuhrvorrichtung 12 ist ein Flüssigkeits- Rohrstofftank zum Aufbewahren einer Flüssigkeit bzw. eines Fluids, wovon ein Reaktivgas stammt, und kann in der vorliegenden Ausführungsform als ein Flüssigkeits-Rohstofftank 12 bezeichnet werden. The reactive gas supply means 12 is a liquid pipe fuel tank for storing a liquid or a fluid, in which a reactive gas originates and, in the present embodiment as a raw material liquid tank 12 are referred to.

Der Rohstroffverbrauchsmengen-Detektionsabschnitt 13 detektiert eine Schwankung in der Flüssigkeitsmenge des Flüssigkeits-Rohstofftanks 12 und gibt ein Ergebnis der Detektion an den Steuerabschnitt 10 aus. The raw material consumption amount detection section 13 detects a fluctuation in the liquid amount of the liquid raw material tank 12 and outputs a result of the detection to the control section 10 .

Das Dünnfilmbildungsverfahren zum Gebrauch in dem Halbleiterherstellungssystem ist dasselbe wie das in Verbindung mit der ersten Ausführungsform beschriebene. Aus diesem Grund erübrigt sich eine Erläuterung des Verfahrens in der fünften Ausführungsform. The thin film formation method for use in the Semiconductor manufacturing system is the same as that in connection with the first embodiment described. For this reason, unnecessary get an explanation of the procedure in the fifth Embodiment.

Das Abscheidungseliminierungsverfahren zum Gebrauch im Halbleiterherstellungssystem wird nun beschrieben. The deposition elimination process for use in Semiconductor manufacturing system will now be described.

Wie oben erwähnt, ist das Dünnfilmbildungsverfahren identisch mit dem in Verbindung mit der ersten Ausführungsform beschriebenen. In der fünften Ausführungsform detektiert der Rohstoffverbrauchsmengen-Detektionsabschnitt 13 zu jedem Zeitpunkt oder periodisch die Menge an Rohstoff (d. h. ein Reaktivgas oder eine Flüssigkeit bzw. ein Fluid), welcher zum Zeitpunkt der Bildung eines Dünnfilms verwendet wurde und gibt ein Ergebnis der Detektion an den Steuerabschnitt 10 aus. Wenn der Rohstoffverbrauchsmengen-Detektionsabschnitt 12 z. B. eine gegebene Schwankung in der Flüssigkeitsmenge des Flüssigkeits- Rohstofftanks 12 detektiert hat, bricht der Steuerabschnitt 10 die Zufuhr des Reaktivgases zur Reaktionskammer 1 aus dem Reaktivgaszufuhrrohr 3 nach dem Transport eines Substrats auf der Basis des Detektionsergebnisses, welches von dem Rohstoffverbrauchsmengen-Detektionsabschnitt 13 ausgegeben wurde, ab, schließt das Hauptauslaßventil 5 und öffnet das Schmutzsammelauslaßventil 7 und das Lufteinlaßventil 9. Als Ergebnis wird die Abscheidung, die auf der Innenwand der Reaktionskammer 1 und im Hauptauslaßrohr 4 sich niedergeschlagen hatte, aus dem Schmutzsammelauslaßrohr 6 unter Saugwirkung eliminiert. As mentioned above, the thin film formation method is identical to that described in connection with the first embodiment. In the fifth embodiment, the raw material consumption amount detection section 13 detects, at any time or periodically, the amount of raw material (ie, a reactive gas or a liquid or a fluid) used at the time of forming a thin film, and gives a result of the detection to the control section 10 out. When the raw material consumption amount detection section 12 e.g. For example, if a given fluctuation in the liquid amount of the liquid raw material tank 12 is detected, the control section 10 stops supplying the reactive gas to the reaction chamber 1 from the reactive gas supply pipe 3 after transporting a substrate based on the detection result output from the raw material consumption amount detection section 13 , closes the main exhaust valve 5 and opens the dirt collecting exhaust valve 7 and the air intake valve 9 . As a result, the deposit that had deposited on the inner wall of the reaction chamber 1 and in the main outlet pipe 4 is eliminated from the dirt collecting outlet pipe 6 by suction.

Nach Abschluß der Eliminierung und des Absaugens der Abscheidung schließt als nächstes der Steuerabschnitt 10 das Lufteinlaßventil 9 und das Schmutzsammelauslaßventil 7 und öffnet das Hauptauslaßventil 5, wodurch die Reaktionskammer 1 in einen Zustand zurückkehrt, bei dem ein Dünnfilm gebildet werden kann. After completion of the elimination and the suction of the deposition, the control section 10 next closes the air inlet valve 9 and the dirt collecting outlet valve 7 and opens the main outlet valve 5 , whereby the reaction chamber 1 returns to a state in which a thin film can be formed.

Gemäß der fünften Ausführungsform wird immer dann, wenn eine bestimmte Menge an Rohstoff verbraucht worden ist, eine Abscheidung unter Saugwirkung eliminiert. Deshalb kann ohne Ausfall die Abscheidung eliminiert werden, bevor die Abscheidung einen Prozeß zur Abscheidung eines Films beeinträchtigt. Folglich wird die Eliminierung einer Abscheidung unter Saugwirkung periodisch wiederholt. Daher wird zusätzlich zu dem in der ersten Ausführungsform erzielten Vorteil ebenso ein Vorteil erzielt, daß die Menge an abscheidendem Nebenprodukt zu jedem Zeitpunkt bei niedrigstem Niveau gehalten wird. According to the fifth embodiment, whenever one certain amount of raw material has been consumed, a Suction separation eliminated. Therefore, without Failure of the deposition to be eliminated before the deposition interferes with a film deposition process. Consequently, the elimination of a deposit under suction repeated periodically. Therefore, in addition to that in the advantage obtained in the first embodiment as well achieves that the amount of by-product deposited to each Time is kept at the lowest level.

In der fünften Ausführungsform wird der Reaktivgaszufuhrbereich 12 als ein Flüssigkeits-Rohstofftank herangezogen. Der Reaktivgaszufuhrbereich 12 kann jedoch als ein Gaszylinder ausgestaltet werden, der mit einem Reaktivgas gefüllt ist, oder in Form einer Gaszufuhrleitung, die als eine Hilfseinrichtung dient. In the fifth embodiment, the reactive gas supply area 12 is used as a liquid raw material tank. The reactive gas supply area 12 can, however, be designed as a gas cylinder which is filled with a reactive gas or in the form of a gas supply line which serves as an auxiliary device.

Ferner detektiert der Rohstoffverbrauchsmengen-Detektionsbereich 13 eine Flüssigkeitsmenge des flüssigen Rohstoffs. Die vorliegende Erfindung ist jedoch nicht auf diese Weise zur Detektion der Rohstoffverbrauchsmenge beschränkt. Die Menge an verbrauchtem Rohstoff kann über eine integrierte Durchflußrate des Reaktivgases, Variationen im Druck des Reaktivgases, eine integrierte Durchflußrate der Flüssigkeit oder Variationen im Gewicht der Flüssigkeit detektiert werden. Selbst dieser Fall erzielt denselben Vorteil wie den zuvor bezeichneten. Furthermore, the raw material consumption amount detection area 13 detects a liquid amount of the liquid raw material. However, the present invention is not so limited for the detection of the amount of raw material consumption. The amount of raw material consumed can be detected via an integrated flow rate of the reactive gas, variations in the pressure of the reactive gas, an integrated flow rate of the liquid or variations in the weight of the liquid. Even this case achieves the same advantage as that previously mentioned.

Sechste AusführungsformSixth embodiment

Fig. 6 ist eine schematische Schnittansicht zum Beschreiben eines Halbleiterherstellungssystems gemäß der sechsten Ausführungsform. Fig. 6 is a schematic sectional view for describing a semiconductor manufacturing system according to the sixth embodiment.

Das Halbleiterherstellungssystem gemäß der sechsten Ausführungsform der vorliegenden Erfindung ist dadurch gekennzeichnet, daß das Halbleiterherstellungssystem, welches im Zusammenhang mit der dritten Ausführungsform beschrieben wurde, mit einem Reaktivnebenprodukt-Abscheidungsvolumen-Detektionsabschnitt (nachfolgend als "Abscheidungsvolumen-Detektionsabschnitt") 14 zum Detektieren der Menge des auf der Innenwand der Reaktionskammer 1 und in dem Hauptauslaßrohr 4 abgeschiedenen Nebenprodukts bereitgestellt. The semiconductor manufacturing system according to the sixth embodiment of the present invention is characterized in that the semiconductor manufacturing system described in connection with the third embodiment has a reactive by-product deposition volume detection section (hereinafter referred to as "deposition volume detection section") 14 for detecting the amount of the the inner wall of the reaction chamber 1 and by-product separated in the main outlet pipe 4 .

Der Abscheidungsvolumen-Detektionsabschnitt 14 wird hier auf der Seitenwand der Reaktionskammer 1 und beim Hauptauslaßrohr 4 bereitgestellt. Der Abscheidungsvolumen-Detektionsabschnitt 14 ist mit dem Steuerabschnitt 10 verbunden. Der Abscheidungsvolumen-Detektionsabschnitt 14 ist so aufgebaut, daß die Menge des abgeschiedenen Nebenprodukts auf der Basis der Transmission oder Reflexion von Licht detektiert wird mittels des Einstrahlens von Licht auf einen Abschnitt des Hauptauslaßrohrs 4, welcher aus einem transparenten Element oder einem Fenster aus transparentem Material, welches auf der Seitenwand der Reaktionskammer 1 vorgesehen ist, zusammengesetzt ist. Der Abscheidungsvolumen-Detektionsabschnitt 14 detektiert die Menge von abgeschiedenem Nebenprodukt auf der Innenwand der Reaktionskammer 1 und im Hauptauslaßrohr 4 und gibt das Ergebnis der Detektion an den Steuerabschnitt 10 aus. The deposition volume detection section 14 is provided here on the side wall of the reaction chamber 1 and at the main outlet pipe 4 . The deposition volume detection section 14 is connected to the control section 10 . The deposition volume detection section 14 is constructed so that the amount of the by-product deposited is detected based on the transmission or reflection of light by irradiating light onto a portion of the main outlet pipe 4 , which is made of a transparent member or a window made of a transparent material, which is provided on the side wall of the reaction chamber 1 is assembled. The deposition volume detection section 14 detects the amount of by-product deposited on the inner wall of the reaction chamber 1 and in the main outlet pipe 4, and outputs the result of the detection to the control section 10 .

Das Dünnfilmbildungsverfahren zum Gebrauch im Halbleiterherstellungssystem ist dasselbe wie das in Verbindung mit der ersten Ausführungsform beschriebene. Aus diesem Grund erübrigt sich eine Erläuterung des Verfahrens in der sechsten Ausführungsform. The thin film formation process for use in Semiconductor manufacturing system is the same as that in connection with the first embodiment described. For this reason, unnecessary get an explanation of the procedure in the sixth Embodiment.

Ein Abscheidungseliminationsverfahren zum Gebrauch im Halbleiterherstellungssystem wird nun beschrieben. A deposition elimination process for use in Semiconductor manufacturing system will now be described.

Wie oben erwähnt ist das Dünnfilmbildungsverfahren identisch mit dem in Verbindung mit der ersten Ausführungsform beschriebenen. Der Abscheidungsvolumen-Detektionsabschnitt 14 detektiert zu jedem Zeitpunkt oder periodisch die Menge an auf der Innenwand der Reaktionskammer 1 und im Hauptauslaßrohr 4 abgeschiedenem Nebenprodukt und gibt ein Detektionsergebnis an den Steuerabschnitt 10 aus. Wenn der Abscheidungsvolumen-Detektionsabschnitt 14 zum Beispiel eine gewisse Menge an Abscheidung detektiert hat, bricht der Steuerabschnitt 14 die Zufuhr eines Reaktivgases zur Reaktionskammer 1 über das Reaktivgaszufuhrrohr 3 ab, schließt das Hauptauslaßventil 5 und öffnet das Schmutzsammelauslaßventil 7 und das Lufteinlaßventil 9. Im Ergebnis wird die Abscheidung, welche auf der Innenwand der Reaktionskammer 1 und im Hauptauslaßrohr 4 abgeschieden ist, unter Absaugung aus dem Schmutzsammelauslaßrohr 6 eliminiert. As mentioned above, the thin film formation method is identical to that described in connection with the first embodiment. The deposition volume detection section 14 detects the amount of by-product deposited on the inner wall of the reaction chamber 1 and in the main outlet pipe 4 at any time or periodically, and outputs a detection result to the control section 10 . For example, when the deposition volume detection section 14 has detected a certain amount of deposition, the control section 14 stops supplying a reactive gas to the reaction chamber 1 through the reactive gas supply pipe 3 , closes the main exhaust valve 5, and opens the dirt collecting exhaust valve 7 and the air intake valve 9 . As a result, the deposit which is deposited on the inner wall of the reaction chamber 1 and in the main outlet pipe 4 is eliminated under suction from the dirt collecting outlet pipe 6 .

Nach Abschluß der Eliminierung einer Abscheidung unter Absaugwirkung schließt der Steuerabschnitt 10 das Lufteinlaßventil 9 und das Schmutzsammelauslaßventil 7 und öffnet das Hauptauslaßventil 5. Als Ergebnis kehrt die Reaktionskammer 1 zu einem Zustand zurück, bei dem ein Dünnfilm gebildet werden kann. Upon completion of the elimination of a deposit under suction, the control section 10 closes the air inlet valve 9 and the dirt collecting outlet valve 7 and opens the main outlet valve 5 . As a result, the reaction chamber 1 returns to a state in which a thin film can be formed.

Gemäß der sechsten Ausführungsform wird die Abscheidung unter Saugwirkung eliminiert, wenn der Abscheidungsvolumen-Detektionsabschnitt 14 detektiert hat, daß ein Reaktivnebenprodukt bis zu einer gewissen Menge abgeschieden worden ist. Somit kann die Abscheidung ohne Ausfall eliminiert werden, bevor ein Filmabscheidungsprozeß beeinträchtigt wird. Folglich wird die Eliminierung und das Absaugen einer Abscheidung periodisch wiederholt, und daher wird der Vorteil der Fähigkeit erzielt, daß das Volumen des abgeschiedenen Nebenprodukts jederzeit bei einem winzigen Niveau gehalten wird. According to the sixth embodiment, suction deposition is eliminated when the deposition volume detection section 14 has detected that a reactive by-product has been deposited up to a certain amount. Thus, the deposition can be eliminated without failure before a film deposition process is affected. As a result, the elimination and aspiration of a deposit is repeated periodically, and therefore the advantage of the ability to keep the volume of the by-product deposited at a minute level is achieved.

In der sechsten Ausführungsform wird ein Lichtbestrahlungsverfahren zum Detektieren des Abscheidungsvolumens durch den Abscheidungsvolumen-Detektionsabschnitt 14 angewandt. Jedoch kann irgendein Verfahren, welches die Detektion des Abscheidungsvolumens ermöglicht, angewandt werden. In the sixth embodiment, a light irradiation method for detecting the deposition volume by the deposition volume detection section 14 is used. However, any method that allows the detection of the deposition volume can be used.

In der sechsten Ausführungsform wird der Abscheidungsvolumen- Detektionsabschnitt 14 außerhalb der Reaktionskammer 1 oder des Hauptauslaßrohrs 4 bereitgestellt. Der Abscheidungsvolumen-Detektionsabschnitt 14 kann jedoch in der Reaktionskammer 1 oder dem Hauptauslaßrohr 4 bereitgestellt werden. In the sixth embodiment, the deposition volume detection section 14 is provided outside the reaction chamber 1 or the main outlet pipe 4 . However, the deposition volume detection section 14 may be provided in the reaction chamber 1 or the main outlet pipe 4 .

Die Erfindung liefert beim beispielhaften Ausführen auf die oben beschriebene Weise die folgenden Hauptwirkungen: The invention provides exemplary execution of the Main effects described above have the following main effects:

Gemäß der vorliegenden Erfindung kann ein Nebenprodukt, welches auf der Innenwand einer Reaktionskammer oder in einem Hauptauslaßrohr abgeschieden ist, ohne weiteres eliminiert werden. Somit kann die Häufigkeit der Naßreinigung, die auszuführen ist, verringert werden, wodurch die Verfügbarkeit des Halbleiterherstellungssystems verbessert wird. Ferner kann ein Dünnfilm hoher Qualität, die eine überlegene Gleichförmigkeit in der Ebene aufweist und eine niedrigere Menge an Teilchenabscheidung mit sich bringt, gebildet werden. According to the present invention, a by-product, which is on the inner wall of a reaction chamber or in a Main outlet pipe is deposited, easily eliminated become. Thus, the frequency of wet cleaning, the is to be reduced, thereby reducing the availability of the Semiconductor manufacturing system is improved. Furthermore, a High quality thin film, which is superior uniformity has in the plane and a lower amount of Entails particle separation.

Die vorliegende Erfindung ist darüber hinaus nicht auf diese Ausführungsformen beschränkt, sondern es können Variationen und Modifikationen durchgeführt werden, ohne daß vom Umfang der vorliegenden Erfindung abgewichen wird. Furthermore, the present invention is not based on this Embodiments limited, but there may be variations and modifications are made without departing from the scope deviated from the present invention.

Die gesamte Offenbarung der am 22. November 2001 eingereichten japanischen Patentanmeldung Nr. 2001-357255 mit Beschreibung, Ansprüchen, Zeichnungen und Zusammenfassung wird voll inhaltlich unter Bezugnahme hier eingeschlossen. The entire disclosure of the filed on November 22, 2001 Japanese Patent Application No. 2001-357255 with description, Claims, drawings and summary become full included in content with reference here.

Claims (16)

1. Halbleiterherstellungssystem zum Bilden eines Dünnfilms auf einem Substrat mit:
einem Zufuhrabschnitt (3, 12) für die Zufuhr eines Reaktivgases zu einer Reaktionskammer (1);
einem ersten Auslaßabschnitt (4) zum Ausstoßen eines Reaktivgases aus der Reaktionskammer (1),
einem Lufteinlaßabschnitt (8) zum Hereinziehen von Außenluft in die Reaktionskammer (1); und
einem zweiten Auslaßabschnitt (6), welcher eine höhere Absaugstärke aufweist als der erste Auslaßabschnitt (4), wobei der zweite Auslaßabschnitt (6) zusammen mit der Außenluft ein Nebenprodukt, welches auf einer Innenwand der Reaktionskammer (1) abgeschieden war, aus der Reaktionskammer (1) ausstößt.
1. A semiconductor manufacturing system for forming a thin film on a substrate comprising:
a supply section ( 3 , 12 ) for supplying a reactive gas to a reaction chamber ( 1 );
a first outlet section ( 4 ) for ejecting a reactive gas from the reaction chamber ( 1 ),
an air inlet section ( 8 ) for drawing outside air into the reaction chamber ( 1 ); and
a second outlet section ( 6 ), which has a higher suction strength than the first outlet section ( 4 ), the second outlet section ( 6 ) together with the outside air being a by-product which was deposited on an inner wall of the reaction chamber ( 1 ) from the reaction chamber ( 1 ) ejects.
2. Halbleiterherstellungssystem gemäß Anspruch 1, weiter umfassend:
ein erstes Auslaßventil (5), welches in dem ersten Auslaßabschnitt (4) bereitgestellt ist;
ein zweites Auslaßventil (7), welches in dem zweiten Auslaßabschnitt (6) bereitgestellt ist;
ein Lufteinlaßventil (9), welches in dem Lufteinlaßabschnitt (8) bereitgestellt ist; und
ein Steuerabschnitt (10) zum Steuern von Öffnungs/Schließ- Maßnahmen des ersten Auslaßventils (5), des zweiten Auslaßventils (7) und des Lufteinlaßventils (9).
2. The semiconductor manufacturing system of claim 1, further comprising:
a first outlet valve ( 5 ) provided in the first outlet section ( 4 );
a second outlet valve ( 7 ) provided in the second outlet section ( 6 );
an air intake valve ( 9 ) provided in the air intake portion ( 8 ); and
a control section ( 10 ) for controlling opening / closing measures of the first exhaust valve ( 5 ), the second exhaust valve ( 7 ) and the air intake valve ( 9 ).
3. Halbleiterherstellungssystem gemäß Anspruch 2, ferner umfassend:
ein Zufuhrvolumen-Detektionsabschnitt (13), der mit dem Zufuhrabschnitt (12) verbunden ist und zum Detektieren eines Zufuhrvolumens des Reaktivgases dient,
wobei der Steuerabschnitt (10) die Öffnungs/Schließ- Maßnahmen des ersten Auslaßventils (5), jene des zweiten Auslaßventils (7) und jene des Lufteinlaßventils (9) auf der Basis eines Ergebnisses steuert, welches durch den Zufuhrvolumen-Detektionsabschnitt (13) detektiert worden ist.
3. The semiconductor manufacturing system of claim 2, further comprising:
a supply volume detection section ( 13 ) which is connected to the supply section ( 12 ) and serves to detect a supply volume of the reactive gas,
the control section ( 10 ) controls the opening / closing actions of the first exhaust valve ( 5 ), that of the second exhaust valve ( 7 ) and that of the air intake valve ( 9 ) based on a result detected by the supply volume detection section ( 13 ) has been.
4. Halbleiterherstellungssystem gemäß Anspruch 2 oder 3, weiter umfassend:
ein Abscheidungsvolumen-Detektionsabschnitt (14) zum Detektieren eines Volumens des Nebenprodukts, welches auf der Innenwandoberfläche der Reaktionskammer (1) abgeschieden ist, wobei der Steuerabschnitt (10) die Öffnungs/Schließ-Maßnahmen des ersten Auslaßventils (5), jene des zweiten Auslaßventils (7) und jene des Lufteinlaßventils (9) auf der Basis eines Ergebnisses steuert, welches durch den Abscheidungsvolumen-Detektionsabschnitt (14) detektiert worden ist.
4. The semiconductor manufacturing system according to claim 2 or 3, further comprising:
a separation volume detection section ( 14 ) for detecting a volume of the by-product deposited on the inner wall surface of the reaction chamber ( 1 ), the control section ( 10 ) opening / closing measures of the first exhaust valve ( 5 ), that of the second exhaust valve ( 7 ) and controls that of the air intake valve ( 9 ) based on a result detected by the separation volume detection section ( 14 ).
5. Halbleiterherstellungssystem gemäß irgendeinem der Ansprüche 1 bis 4, wobei eine Vielzahl von zweiten Auslaßabschnitten (6a, 6b) bereitgestellt werden. 5. Semiconductor manufacturing system according to any one of claims 1 to 4, wherein a plurality of second outlet sections ( 6 a, 6 b) are provided. 6. Halbleiterherstellungssystem gemäß irgendeinem der Ansprüche 1 bis 5, ferner umfassend:
einen Drucksensor (11, 11a, 11b) zum Messen eines Innendrucks des zweiten Auslaßabschnitts (6, 6a, 6b).
6. The semiconductor manufacturing system according to any one of claims 1 to 5, further comprising:
a pressure sensor ( 11 , 11 a, 11 b) for measuring an internal pressure of the second outlet section ( 6 , 6 a, 6 b).
7. Halbleiterherstellungssystem gemäß irgendeinem der Ansprüche 1 bis 6, wobei der Lufteinlaßabschnitt (8) ein Inertgas anstelle der Außenluft hereinzieht. A semiconductor manufacturing system according to any one of claims 1 to 6, wherein the air inlet section ( 8 ) draws an inert gas in place of the outside air. 8. Halbleiterherstellungssystem gemäß irgendeinem der Ansprüche 1 bis 7, wobei der zweite Auslaßabschnitt (6; 6a, 6b) so gebildet ist, daß er von dem ersten Auslaßabschnitt (4), der mit der Reaktionskammer (1) verbunden ist, abzweigt und ferner ein Nebenprodukt ausstößt, welches auf einer Innenwand des ersten Auslaßabschnitts (4) abgeschieden worden ist. 8. A semiconductor manufacturing system according to any one of claims 1 to 7, wherein the second outlet section ( 6 ; 6 a, 6 b) is formed so that it branches off from the first outlet section ( 4 ) which is connected to the reaction chamber ( 1 ) and also ejects a by-product which has been deposited on an inner wall of the first outlet section ( 4 ). 9. Halbleiterherstellungssystem gemäß Anspruch 8, wobei der Lufteinlaßabschnitt (8) und der erste Auslaßabschnitt (4) bei einander gegenüberliegenden Stellen mit der Reaktionskammer (1) verbunden sind. 9. A semiconductor manufacturing system according to claim 8, wherein the air inlet section ( 8 ) and the first outlet section ( 4 ) are connected to the reaction chamber ( 1 ) at opposite locations. 10. Abscheidungseliminierungsverfahren zum Gebrauch mit einem Halbleiterherstellungssystem, umfassend:
einen ersten Absaugschritt des Absaugens eines Reaktivgases aus einer Reaktionskammer (1) nach der Bildung eines Dünnfilms auf einem Substrat in der Reaktionskammer (1) des Halbleiterherstellungssystems; und
einen zweiten Absaugschritt des Hereinziehens von Außenluft in die Reaktionskammer (1) nach dem ersten Absaugschritt und des Absaugens der Außenluft aus der Reaktionskammer (1) zur selben Zeit,
wobei der zweite Absaugschritt bei einer höheren Absaugrate durchgeführt wird als der erste Absaugschritt.
10. A deposition elimination method for use with a semiconductor manufacturing system comprising:
a first suction step of sucking a reactive gas from a reaction chamber ( 1 ) after the formation of a thin film on a substrate in the reaction chamber ( 1 ) of the semiconductor manufacturing system; and
a second suction step of drawing outside air into the reaction chamber ( 1 ) after the first suction step and sucking the outside air out of the reaction chamber ( 1 ) at the same time,
wherein the second suction step is carried out at a higher suction rate than the first suction step.
11. Abscheidungseliminierungsverfahren gemäß Anspruch 10, wobei der zweite Absaugschritt gleichzeitig die Außenluft und ein Nebenprodukt absaugt, welches auf einer Innenwand der Reaktionskammer (1) abgeschieden wurde. 11. The deposition elimination method according to claim 10, wherein the second suction step simultaneously extracts the outside air and a by-product which has been deposited on an inner wall of the reaction chamber ( 1 ). 12. Abscheidungseliminierungsverfahren gemäß Anspruch 11, ferner umfassend:
einen Abscheidungsvolumen-Detektionsschritt zum Detektieren des Volumens des Nebenprodukts, welches auf der Innenwandoberfläche der Reaktionskammer (1) abgeschieden wurde, vor dem zweiten Absaugschritt,
wobei der zweite Absaugschritt auf der Basis eines Ergebnisses ausgeführt wird, welches bei dem Abscheidungsvolumen- Dektektionsprozeß detektiert wurde.
12. The deposition elimination method of claim 11, further comprising:
a separation volume detection step for detecting the volume of the by-product which has been deposited on the inner wall surface of the reaction chamber ( 1 ) before the second suction step,
wherein the second suction step is carried out on the basis of a result which was detected in the deposition volume detection process.
13. Abscheidungseliminierungsverfahren gemäß irgendeinem der Ansprüche 10 und 11, ferner umfassend:
einen Zufuhrvolumen-Detektionsschritt zum Detektieren eines Zufuhrvolumens des Reaktivgases in die Reaktionskammer (1) vor dem zweiten Absaugschritt,
wobei der zweite Absaugschritt auf der Basis eines Ergebnisses ausgeführt wird, welches bei dem Zufuhrvolumen-Detektionsschritt detektiert wurde.
13. The deposition elimination method according to any one of claims 10 and 11, further comprising:
a feed volume detection step for detecting a feed volume of the reactive gas into the reaction chamber ( 1 ) before the second suction step,
wherein the second suction step is carried out on the basis of a result which was detected in the supply volume detection step.
14. Abscheidungselemiminierungsverfahren gemäß irgendeinem der Ansprüche 10 bis 13, wobei der zweite Absaugschritt durch die Verwendung einer Vielzahl von Absaugrohren (6) ausgeführt wird. A deposition elimination method according to any one of claims 10 to 13, wherein the second suction step is carried out by using a plurality of suction pipes ( 6 ). 15. Abscheidungseliminierungsverfahren gemäß irgendeinem der Ansprüche 10 bis 14, wobei im zweiten Absaugschritt anstelle der Außenluft Inertgas in die Reaktionskammer (1) hereingezogen wird. 15. The deposition elimination method according to any one of claims 10 to 14, wherein in the second suction step, inert gas is drawn into the reaction chamber ( 1 ) instead of the outside air. 16. Verfahren zur Herstellung einer Halbleitervorrichtung durch das Halbleiterherstellungssystem gemäß irgendeinem der Ansprüche 1 bis 9. 16. A method of manufacturing a semiconductor device through the semiconductor manufacturing system according to any of the Claims 1 to 9.
DE10223765A 2001-11-22 2002-05-28 Exhaust tube semiconductor manufacturing system, deposition elimination method for use with the semiconductor manufacturing system, and method of manufacturing a semiconductor device Ceased DE10223765A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001357255A JP2003158080A (en) 2001-11-22 2001-11-22 Semiconductor manufacturing device, deposit removing method therein and manufacturing method for semiconductor device

Publications (1)

Publication Number Publication Date
DE10223765A1 true DE10223765A1 (en) 2003-06-12

Family

ID=19168625

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10223765A Ceased DE10223765A1 (en) 2001-11-22 2002-05-28 Exhaust tube semiconductor manufacturing system, deposition elimination method for use with the semiconductor manufacturing system, and method of manufacturing a semiconductor device

Country Status (4)

Country Link
US (1) US20030094134A1 (en)
JP (1) JP2003158080A (en)
DE (1) DE10223765A1 (en)
TW (1) TW554394B (en)

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6907892B2 (en) * 2001-02-07 2005-06-21 Matsushita Electric Industrial Co., Ltd. Exhaust apparatus, semiconductor device manufacturing system and method for manufacturing semiconductor device
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
KR100479308B1 (en) * 2002-12-23 2005-03-28 삼성전자주식회사 Apparatus and method for extracting impurities on a substrate
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
KR100938534B1 (en) * 2003-09-19 2010-01-25 가부시키가이샤 히다치 고쿠사이 덴키 Process for producing semiconductor device and substrate treating apparatus
JP2010284592A (en) * 2009-06-11 2010-12-24 Sharp Corp Vacuum treatment device
JP5257328B2 (en) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
JP5644861B2 (en) 2011-03-29 2014-12-24 株式会社Sumco Cleaning device for exhaust passage for semiconductor crystal manufacturing apparatus and cleaning method therefor
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6482972B2 (en) * 2015-07-08 2019-03-13 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JP6458677B2 (en) 2015-08-05 2019-01-30 三菱電機株式会社 Manufacturing method and manufacturing apparatus for silicon carbide epitaxial wafer
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP6964515B2 (en) * 2017-12-27 2021-11-10 東京エレクトロン株式会社 How to clean the susceptor
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112858539A (en) * 2021-01-07 2021-05-28 云南电网有限责任公司电力科学研究院 Dehydrogenation gas product collecting and processing system and method capable of eliminating background interference
CN115389096A (en) * 2022-08-26 2022-11-25 江苏微导纳米科技股份有限公司 Gas pressure detection device and deposition equipment

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2670515B2 (en) * 1988-08-26 1997-10-29 東京エレクトロン株式会社 Vertical heat treatment equipment
JPH05218176A (en) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk Heat treatment and transfer of article to be treated
US5303671A (en) * 1992-02-07 1994-04-19 Tokyo Electron Limited System for continuously washing and film-forming a semiconductor wafer
JP3098093B2 (en) * 1992-02-20 2000-10-10 三菱電機株式会社 Chemical vapor deposition equipment
JP3501524B2 (en) * 1994-07-01 2004-03-02 東京エレクトロン株式会社 Vacuum exhaust system for processing equipment
US5985032A (en) * 1995-05-17 1999-11-16 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing apparatus
US5954911A (en) * 1995-10-12 1999-09-21 Semitool, Inc. Semiconductor processing using vapor mixtures
JPH1050620A (en) * 1996-08-01 1998-02-20 Hitachi Ltd Method and device for manufacturing semiconductor
JPH118197A (en) * 1997-06-17 1999-01-12 Kokusai Electric Co Ltd Maintenance time detecting device
JP2000269108A (en) * 1999-03-15 2000-09-29 Sharp Corp Management system of semiconductor manufacturing apparatus
JP2000353697A (en) * 1999-06-14 2000-12-19 Mitsubishi Electric Corp Semiconductor processing apparatus and semiconductor device manufactured with the same

Also Published As

Publication number Publication date
TW554394B (en) 2003-09-21
US20030094134A1 (en) 2003-05-22
JP2003158080A (en) 2003-05-30

Similar Documents

Publication Publication Date Title
DE10223765A1 (en) Exhaust tube semiconductor manufacturing system, deposition elimination method for use with the semiconductor manufacturing system, and method of manufacturing a semiconductor device
DE112008000561B4 (en) Heating stove and heating method using a stove
DE102006003100B4 (en) Device and method for producing a semiconductor device
DE69928047T2 (en) FLUID HANDLING DEVICE
DE69128861T3 (en) Vacuum treatment device and cleaning method therefor
AT515623B1 (en) Apparatus and method for measuring moisture in die casting molds
DE60129380T2 (en) Apparatus and method for applying a thin film to a wafer by deposition of atomic layers
DE60217317T2 (en) HEAT TREATMENT PROCESS
EP1630848A1 (en) Process and apparatus for plasma coating of workpieces with spectral evaluation of process parameters
DE10042881B4 (en) A CVD device for forming a semiconductor film on a wafer and a method for judging maintenance times of a CVD device
EP2558615B1 (en) Device and method for simultaneously precipitating a plurality of semiconductor layers in a plurality of process chambers
DE10019472A1 (en) Cleaning device
EP2758189A1 (en) Cleaning system
DE102008042817B4 (en) Device for treating waste working fluid
DE60223710T2 (en) LIQUID SUPPLY DEVICE WITH CLEANING FUNCTION
DE112005000485T5 (en) System and method for dividing a gas flow in semiconductor manufacturing
DE102015115932A1 (en) Charge port connection with purge function
DE69937304T2 (en) METHOD AND DEVICE FOR VACUUM TREATMENT
DE3241544A1 (en) METHOD FOR MONITORING AND / OR CONTROLLING DRYING, GRANULATING, INSTANTIZING, DRAGING AND FILM COATING PROCESSES AND DEVICE FOR IMPLEMENTING THE METHOD
DE102008024543A1 (en) Method for operating a dishwasher and dishwasher
DE19831757A1 (en) Multi-tank semiconductor wafer processing apparatus
DE102009032964A1 (en) Cleaning device for cleaning dishes in kitchen of e.g. canteen, has quality sensor for detecting quality of cleaning fluid in tank, and controller controlling quality of cleaning fluid in tank according to signals of sensor
DE60027935T2 (en) Apparatus and method for producing a tungsten nitride layer
DE102016205597B4 (en) Purge measurement system for FOUPs
DE60038115T2 (en) LIQUID DISPOSAL STABILIZATION FOR WAFER PREPARATION SYSTEM

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection