DE102023101631A1 - Austrittsarbeitsschichten in den gates von p-fets - Google Patents

Austrittsarbeitsschichten in den gates von p-fets Download PDF

Info

Publication number
DE102023101631A1
DE102023101631A1 DE102023101631.8A DE102023101631A DE102023101631A1 DE 102023101631 A1 DE102023101631 A1 DE 102023101631A1 DE 102023101631 A DE102023101631 A DE 102023101631A DE 102023101631 A1 DE102023101631 A1 DE 102023101631A1
Authority
DE
Germany
Prior art keywords
layer
work function
gate
semiconductor region
aluminum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102023101631.8A
Other languages
English (en)
Inventor
Hsin-Yi Lee
Yen-Tien Tung
Ji-Cheng Chen
Weng Chang
Chi On Chui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102023101631A1 publication Critical patent/DE102023101631A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Ein Verfahren umfasst das Bilden eines Dummy-Gatestapels über einem Halbleiterbereich, das Bilden eines Source/Drain-Bereichs an einer Seite des Dummy-Gatestapels, das Beseitigen des Dummy-Gatestapels, um einen Graben zu bilden, wobei der Halbleiterbereich zu dem Graben hin freigelegt wird, das Bilden einer Gatedielektrikumsschicht, die sich in den Graben erstreckt und das Abscheiden einer Austrittsarbeitsabstimmschicht auf der Gatedielektrikumsschicht. Die Austrittsarbeitsabstimmschicht enthält Aluminium und Kohlenstoff. Das Verfahren umfasst ferner das Abscheiden einer p-Austrittsarbeitsschicht über der Austrittsarbeitsabstimmschicht und das Durchführen eines Planarisierungsprozesses, um überschüssige Abschnitte der p-Austrittsarbeitsschicht, der Austrittsarbeitsabstimmschicht und der Gatedielektrikumsschicht zu beseitigen, um einen Gatestapel zu bilden.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der folgenden vorläufig eingereichten US-Patentanmeldung, Anmeldung Nr. 63/268,876 , eingereicht am 4. März 2022, mit der Bezeichnung „AIC Insertion Between HfO2 und TiN for P Work-function Tuning“, die hiermit durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • ALLGEMEINER STAND DER TECHNIK
  • Transistoren sind grundlegende Aufbauelemente in integrierten Schaltungen. Bei der bisherigen Entwicklung der integrierten Schaltungen wurden Finnen-Feldeffekt-Transistoren (FinFETs) und Gate-All-Around-Transistoren (GAA-Transistoren) gebildet, um Planartransistoren zu ersetzen. Bei der Bildung der FinFETs oder der GAA-Transistoren werden Halbleiterfinnen oder Halbleiterplatten gebildet und auf den Halbleiterfinnen/-platten Dummy-Gates gebildet. Die Bildung der Dummy-Gates kann das Abscheiden einer Dummy-Schicht wie etwa einer Polysiliziumschicht und dann das Strukturieren der Dummy-Schicht als Dummy-Gates umfassen. Auf den Seitenwänden der Dummy-Gatestapel werden Gate-Abstandshalter gebildet. Dann werden die Dummy-Gatestapel beseitigt, um zwischen den Gate-Abstandshaltern Gräben zu bilden. Dann werden in den Gräben Austauschgates gebildet.
  • Wenn die Austauschgates gebildet werden, werden für die Bildung von n-Transistoren und von p-Transistoren unterschiedliche Materialien gewählt. Zum Beispiel können n-Transistoren in ihren Austauschgates TiAl einsetzen, während p-Transistoren in ihren Austauschgates TiN einsetzen können.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 bis 4, 5A, 5B, 6A, 6B, 7A, 7B, 8A, 8B, 9A, 9B, 10A, 10B, 10C, 11A, 11B, 12A, 12B, 12C, 13A, 13B, 13C, 14A, 14B, 14C, 15A, 15B, 16A, 16B, 17A, 17B, 17C, 17D, 17E, 18A, 18B, 18C, 19A, 19B und 19C veranschaulichen verschiedene Ansichten von Zwischenstadien bei der Bildung eines Gate-All-Around-Transistors (GAA-Transistors) nach einigen Ausführungsformen.
    • 20 veranschaulicht die Gatestapel von einigen Transistoren mit unterschiedlichen Gatestapeln nach einigen Ausführungsformen
    • 21 veranschaulicht die Verteilung der Atomprozente von verschiedenen Elementen in einigen Gatestapeln nach einigen Ausführungsformen.
    • 22 veranschaulicht einen Prozessablauf für die Bildung eines GAA-Transistors nach einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung bietet viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Merkmale der Erfindung. Nachstehend sind spezifische Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen keine Beschränkung darstellen. Zum Beispiel kann die Bildung eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in einem direkten Kontakt gebildet werden, und auch Ausführungsformen umfassen, bei denen zwischen dem ersten und dem zweiten Element zusätzliche Elemente gebildet werden können, so dass das erste und das zweite Element möglicherweise nicht in einem direkten Kontakt stehen. Zudem können in der vorliegenden Offenbarung Bezugszeichen und/oder - buchstaben bei den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Aufbauten vor.
  • Ferner können räumlich bezogene Ausdrücke wie etwa „darunterliegend“, „unterhalb“, „unter“, „darüberliegend“, „über“ und dergleichen hier zur Vereinfachung der Beschreibung verwendet werden, um die in den Figuren dargestellte Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) zu beschreiben. Die räumlich bezogenen Ausdrücke sollen zusätzlich zu der in den Figuren dargestellten Ausrichtung verschiedene Ausrichtungen der Vorrichtung in Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht sein oder sich in anderen Ausrichtungen befinden), und die hier verwendeten räumlich bezogenen Ausdrücke können ebenfalls entsprechend interpretiert werden.
  • Es wird ein Verfahren zum Bilden von Austauschgatestapeln durch Einfügen einer aluminium- und kohlenstoffhaltigen Austrittsarbeitsschicht bereitgestellt. Nach einigen Ausführungsformen wird ein Dummy-Gatestapel auf Halbleiternanostrukturen gebildet und dann beseitigt, wodurch Halbleiterstrukturen freigelegt werden. Auf den Nanostrukturen wird ein Gatedielektrikum, das eine Grenzflächenschicht und eine dielektrische Schicht mit einem hohen k-Wert aufweist, gebildet. Auf der dielektrischen Schicht mit einem hohen k-Wert wird eine Schicht, die Aluminium und/oder Kohlenstoff enthält, als Teil der Austrittsarbeitsschicht gebildet, worauf das Bilden einer p-Austrittsarbeitsschicht folgt. Durch das Einfügen der aluminium- und kohlenstoffhaltigen Austrittsarbeitsschicht vor dem Abscheiden einer anderen Austrittsarbeitsschicht wird die gesamte Austrittsarbeit der Austrittsarbeitsschicht erhöht. In der Beschreibung der vorliegenden Offenbarung wird ein GAA-Transistor besprochen, um das Konzept der vorliegenden Offenbarung zu erklären. Die Ausführungsformen der vorliegenden Offenbarung können auch auf andere Arten von Transistoren wie etwa FinFETs, Planartransistoren und dergleichen angewendet werden. Die Ausführungsformen, die in dieser Anmeldung besprochen werden, sollen Beispiele bereitstellen, um die Herstellung oder die Verwendung des Gegenstands dieser Offenbarung zu ermöglichen, und ein Durchschnittsfachmann wird leicht Abwandlungen erkennen, die im Rahmen des angedachten Umfangs verschiedener Ausführungsformen vorgenommen werden können. Über die verschiedenen Ansichten und erläuternden Ausführungsformen hinweg werden gleiche Bezugszeichen verwendet, um gleiche Elemente zu bezeichnen. Obwohl Verfahrensausführungsformen möglicherweise so besprochen sein können, dass sie in einer bestimmten Reihenfolge durchgeführt werden, können andere Verfahrensausführungsformen in jeder beliebigen logischen Reihenfolge durchgeführt werden.
  • 1 bis 4, 5A, 5B, 6A, 6B, 7A, 7B, 8A, 8B, 9A, 9B, 10A, 10B, 10C, 11A, 11B, 12A, 12B, 12C, 13A, 13B, 13C, 14A, 14B, 14C, 15A, 15B, 16A, 16B, 17A, 17B, 17C, 17D, 17E, 18A, 18B, 18C, 19A, 19B und 19C veranschaulichen verschiedene Ansichten von Zwischenstadien bei der Bildung eines GAA-Transistors nach einigen Ausführungsformen der vorliegenden Offenbarung. Die entsprechenden Prozesse sind auch in dem wie in 22 gezeigten Prozessablauf 200 schematisch dargestellt.
  • Unter Bezugnahme auf 1 ist eine perspektivische Ansicht eines Wafers 10 gezeigt. Der Wafer 10 weist eine mehrschichtige Struktur auf, die einen mehrschichtigen Stapel 22 auf einem Substrat 20 aufweist. Nach einigen Ausführungsformen ist das Substrat 20 ein Halbleitersubstrat, das ein Siliziumsubstrat, ein Siliziumgermaniumsubstrat oder dergleichen sein kann, obwohl andere Substrate und/oder Strukturen wie etwa ein Halbleiter-auf-Isolator (SOI, semiconductor-on-isolator), ein Strained-SOI, Siliziumgermanium-auf-Isolator oder dergleichen verwendet werden könnten. Das Substrat 20 kann als p-Halbleiter dotiert sein, obwohl es bei anderen Ausführungsformen als n-Halbeleiter dotiert sein kann.
  • Nach einigen Ausführungsformen wird der mehrschichtige Stapel 22 durch eine Reihe von Abscheideprozessen zum Abscheiden abwechselnder Materialien gebildet. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 202 dargestellt. Nach einigen Ausführungsformen weist der mehrschichtige Stapel 22 erste Schichten 22A, die aus einem ersten Halbleitermaterial gebildet sind, und zweite Schichten, die aus einem zweiten Halbleitermaterial, das sich von dem ersten Halbleitermaterial unterscheidet, gebildet ist, auf.
  • Nach einigen Ausführungsformen ist das erste Halbleitermaterial einer ersten Schicht 22A aus SiGe, Ge, Si, GaAs, InSb, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb oder dergleichen gebildet oder enthält dieses. Nach einigen Ausführungsformen erfolgt das Abscheiden der ersten Schichten 22A (zum Beispiel SiGe) durch Epitaxialwachstum und kann das entsprechende Abscheideverfahren die Dampfphasenepitaxie (Vapor-Phase Epitaxy, VPE), die Molekularstrahlepitaxie (Molecular Beam Epitaxy, MBE), die chemische Abscheidung aus der Dampfphase (Chemical Vapor Deposition, CVD), die Niederdruck-CVD (Low-Pressure CVD, LPCVD), die Atomlagenabscheidung (Atomic Layer Deposition, ALD), die Ultrahochvakuum-CVD (Ultra High Vacuum CVD, UHVCVD), die CVD mit verringertem Druck (Reduced Pressure CVD, RPCVD) oder dergleichen sein. Nach einigen Ausführungsformen wird die erste Schicht 22A mit einer ersten Dicke in dem Bereich zwischen etwa 30 Å und etwa 300 Å gebildet. Doch im Rahmen des Umfangs der Ausführungsformen kann jede beliebige geeignete Dicke benutzt werden.
  • Nachdem die erste Schicht 22A über dem Substrat 20 abgeschieden wurde, wird eine zweite Schicht 22B über der ersten Schicht 22A abgeschieden. Nach einigen Ausführungsformen sind die zweiten Schichten 22B aus einem zweiten Halbleitermaterial wie etwa Si, SiGe, Ge, GaAs, InSb, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, Kombinationen davon oder dergleichen gebildet oder enthalten dieses, wobei sich das zweite Halbleitermaterial von dem ersten Halbleitermaterial der ersten Schicht 22A unterscheidet. Zum Beispiel kann die zweite Schicht 22B nach einigen Ausführungsformen, bei denen die erste Schicht 22A Siliziumgermanium ist, aus Silizium gebildet werden, oder umgekehrt. Es versteht sich, dass für die ersten Schichten 22A und die zweiten Schichten 22B jede beliebige geeignete Kombination von Materialien benutzt werden kann.
  • Nach einigen Ausführungsformen wird die zweite Schicht 22B unter Verwendung einer Abscheidetechnik, die jener, welche zur Bildung der ersten Schicht 22A verwendet wurde, ähnlich ist, epitaktisch auf der ersten Schicht 22A gezüchtet. Nach einigen Ausführungsform wird die zweite Schicht 22B mit einer ähnlichen Dicke wie jener der ersten Schicht 22A gebildet. Die zweite Schicht 22B kann auch mit einer Dicke, die sich von jener der ersten Schicht 22A unterscheidet, gebildet werden. Nach einigen Ausführungsformen kann die zweite Schicht 22B zum Beispiel mit einer zweiten Dicke in dem Bereich zwischen etwa 10 Å und etwa 500 Å gebildet werden.
  • Nachdem die zweite Schicht 22B über der ersten Schicht 22A gebildet wurde, wird der Abscheideprozess wiederholt, um die restlichen Schichten in dem mehrschichtigen Stapel 22 zu bilden, bis eine gewünschte oberste Schicht des mehrschichtigen Stapels 22 gebildet wurde. Nach einigen Ausführungsformen weisen die ersten Schichten 22A gleiche oder ähnliche Dicken auf und weisen die zweiten Schichten 22B gleiche oder ähnliche Dicken auf. Die ersten Schichten 22A können auch die gleichen Dicken wie oder andere Dicken als jene der zweiten Schichten 22B aufweisen. Nach einigen Ausführungsformen werden die ersten Schichten 22A in den nachfolgenden Prozessen beseitigt und über die Beschreibung hinweg alternativ als Opferschichten 22A bezeichnet. Nach alternativen Ausführungsformen werden die zweiten Schichten 22B geopfert und in den nachfolgenden Prozessen beseitigt.
  • Nach einigen Ausführungsformen wird (werden) über dem mehrschichtigen Stapel 22 eine (einige) Pad-Oxidschicht(en) und eine (einige) Hartmaskenschicht(en) (nicht gezeigt) gebildet. Diese Schichten sind strukturiert und werden für das anschließende Strukturieren des mehrschichtigen Stapels 22 gebildet.
  • Unter Bezugnahme auf 2 werden der mehrschichtige Stapel 22 und ein Teil des darunterliegenden Substrats 20 in (einem) Ätzprozess(en) strukturiert, damit Gräben 23 gebildet werden. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 204 dargestellt. Die Gräben 23 erstrecken sich in das Substrat 20. Die verbleibenden Abschnitte der mehrschichtigen Stapel werden in der Folge als mehrschichtige Stapel 22` bezeichnet. Einige Abschnitte des Substrats 20, die unter den mehrschichtigen Stapeln 22' liegen, werden belassen und in der Folge als Substratstreifen 20' bezeichnet. Die mehrschichtigen Stapel 22' weisen Halbleiterschichten 22A und 22B auf. In der Folge werden die Halbleiterschichten 22A alternativ als Opferschichten bezeichnet und die Halbleiterschichten 22B alternativ als Nanostrukturen bezeichnet. Die Abschnitte der mehrschichtigen Stapel 22' und die darunterliegenden Substratstreifen 20' werden gemeinsam als Halbleiterstreifen 24 bezeichnet.
  • Bei den oben dargestellten Ausführungsformen können die GAA-Transistorstrukturen durch jedes beliebige geeignete Verfahren strukturiert werden. Zum Beispiel können die Strukturen unter Verwendung eines oder mehrerer Photolithographieprozesse einschließlich von Doppelstrukturierungs- und Mehrfachstrukturierungsprozessen strukturiert werden. Im Allgemeinen kombinieren Doppelstrukturierungs- und Mehrfachstrukturierungsprozesse einen Photolithographie- und einen Selbstausrichtungsprozess, was gestattet, dass Strukturen erzeugt werden, die zum Beispiel kleinere Schrittlängen aufweisen, als ansonsten unter Verwendung eines einzelnen direkten Photolithographieprozesses erhalten werden können. Zum Beispiel wird bei einer Ausführungsform eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Photolithographieprozesses strukturiert. Unter Verwendung eines Selbstausrichtungsprozesses werden Abstandshalter entlang der strukturierten Opferschicht gebildet. Dann wird die Opferschicht beseitigt und werden die verbleibenden Abstandshalter dann verwendet, um die GAA-Struktur zu strukturieren.
  • 3 veranschaulicht die Bildung von Isolationsbereichen 26, die über die Beschreibung hinweg auch als flache Grabenisolationsbereiche (Shallow Trench Isolation regions, STI-Bereiche) bezeichnet werden. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 206 dargestellt. Die STI-Bereiche 26 können eine Oxidtrennschicht (nicht gezeigt) aufweisen, die ein thermisches Oxid sein kann, welches durch die thermische Oxidation einer Oberflächenschicht des Substrats 20 gebildet wird. Die Oxidtrennschicht kann auch eine abgeschiedene Siliziumoxidschicht sein, die zum Beispiel unter Verwendung der ALD, einer chemischen Abscheidung aus der Dampfphase mit hochdichtem Plasma (High-Density Plasma CVD, HDPCVD), einer CVD oder dergleichen gebildet wird. Die STI-Bereiche 26 können auch ein dielektrisches Material über der Oxidtrennschicht aufweisen, wobei das dielektrische Material unter Verwendung einer fließfähigen chemischen Abscheidung aus der Gasphase (Flowable CVD, FCVD), einer Schleuderbeschichtung , einer HDPCVD oder dergleichen gebildet werden kann. Dann kann ein Planarisierungsprozess wie etwa ein chemisch-mechanischer Polierprozess (CMP-Prozess) oder ein mechanischer Schleifprozess durchgeführt werden, um die obere Fläche des dielektrischen Materials zu ebnen, wobei die verbleibenden Abschnitte des dielektrischen Materials die STI-Bereiche 26 sind.
  • Dann werden die STI-Bereiche 26 vertieft, damit die oberen Abschnitte der Halbleiterstreifen 24 höher als die oberen Flächen 26T der verbliebenen Teile der STI-Bereiche 26 vorstehen, um Finnen 28 zu bilden. Die vorstehenden Finnen 28 weisen die mehrschichtigen Stapel 22` und die oberen Abschnitte der Substratstreifen 20' auf. Das Vertiefen der STI-Bereiche 26 kann durch einen Trockenätzprozess durchgeführt werden, wobei zum Beispiel NF3 und NH3 als Ätzgase verwendet werden. Während des Ätzprozesses kann ein Plasma erzeugt werden. Es kann auch Argon enthalten sein. Nach alternativen Ausführungsformen der vorliegenden Offenbarung wird das Vertiefen der STI-Bereiche 26 durch einen Nassätzprozess durchgeführt. Die Ätzchemikalie kann zum Beispiel HF enthalten.
  • Unter Bezugnahme auf 4 werden Dummy-Gatestapel 30 und Gate-Abstandshalter 38 auf den oberen Flächen und den Seitenwänden der (vorstehenden) Finnen 28 gebildet. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 208 dargestellt. Die Dummy-Gatestapel 30 können Dummy-Gatedielektrika 32 und Dummy-Gateelektroden 34 über den Dummy-Gatedielektrika 32 aufweisen. Die Dummy-Gatedielektrika 32 können durch Oxidieren der Oberflächenbereiche der vorstehenden Finnen 28, um Oxidschichten zu bilden, oder durch Abscheiden einer dielektrischen Schicht wie etwa einer Siliziumoxidschicht gebildet werden. Die Dummy-Gatedielektrika 34 können zum Beispiel unter Verwendung von Polysilizium oder amorphem Silizium gebildet werden, wobei auch andere Materialien wie etwa amorpher Kohlenstoff verwendet werden können. Jeder der Dummy-Gatestapel 30 kann eine Hartmaskenschicht 36 (oder mehrere davon) über der Dummy-Gateelektrode 34 aufweisen. Die Hartmaskenschichten 36 können aus Siliziumnitrid, Siliziumoxid, Siliziumcarbonitrid, Siliziumoxicarbonitrid oder mehreren Schichten davon gebildet werden. Die Dummy-Gatestapel 30 können eine einzelne oder mehrere vorstehende Finnen 28 und die STI-Bereiche 26 zwischen vorstehenden Finnen 28 queren. Die Dummy-Gatestapel 30 weisen ebenfalls Längsrichtungen auf, die senkrecht zu den Längsrichtungen der vorstehenden Finnen 28 verlaufen. Die Bildung der Dummy-Gatestapel 30 umfasst das Bilden einer Dummy-Gatedielektrikumsschicht, das Abscheiden einer Dummy-Gateelektrodenschicht über der Dummy-Gatedielektrikumsschicht, das Abscheiden einer oder mehrerer Hartmaskenschichten und dann das Strukturieren der gebildeten Schichten durch (einen) Strukturierungsprozess(e).
  • Als nächstes werden an den Seitenwänden der Dummy-Gatestapel 30 Gate-Abstandshalter 38 gebildet. Nach einigen Ausführungsformen der vorliegenden Offenbarung werden die Gate-Abstandshalter 38 aus einem dielektrischen Material wie etwa Siliziumnitrid (SiN), Siliziumoxid (Si02), Siliziumcarbonitrid (SiCN), Siliziumoxinitrid (SiON), Siliziumoxicarbonitrid (SiOCN) oder dergleichen gebildet und können eine einschichtige Struktur oder eine mehrschichtige Struktur, die mehrere dielektrische Schichten enthält, aufweisen. Der Bildungsprozess der Gate-Abstandshalter 38 kann das Abscheiden einer oder mehrerer dielektrischer Schichten und dann das Durchführen eines anisotropen Ätzprozesses (von anisotropen Ätzprozessen) an der (den) dielektrischen Schicht(en) umfassen. Die verbleibenden Abschnitte der dielektrischen Schicht(en) sind die Gate-Abstandshalter 38.
  • 5A und 5B veranschaulichen Querschnittansichten der Struktur, die in 4 gezeigt ist. 5A veranschaulicht den Referenzquerschnitt A1-A1 in 4, wobei dieser Querschnitt durch die Abschnitte der vorstehenden Finnen 28, die nicht von Dummy-Gatestapeln 30 und Gate-Abstandshaltern 38 bedeckt sind, und senkrecht zu der Gate-Längsrichtung verläuft. Finnen-Abstandshalter 38, die sich an den Seitenwänden der vorstehenden Finnen 28 befinden, sind ebenfalls dargestellt. 5B veranschaulicht den Referenzquerschnitt B-B in 4, wobei dieser Referenzquerschnitt parallel zu den Längsrichtungen der vorstehenden Finnen 28 verläuft.
  • Unter Bezugnahme auf 6A und 6B werden die Abschnitte der vorstehenden Finnen 28, die nicht direkt unter Dummy-Gatestapeln 30 und Gate-Abstandshaltern 38 liegen, durch einen Ätzprozess vertieft, um Vertiefungen 42 zu bilden. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 210 dargestellt. Zum Beispiel kann ein Trockenätzprozess unter Verwendung von C2F6, CF4, SO2, einem Gemisch aus HBr, Cl2 und O2, einem Gemisch aus HBr, Cl2, O2 und CH2F2 oder dergleichen durchgeführt werden, um die mehrschichtigen Halbleiterstapel 22' und die darunterliegenden Substratstreifen 20' zu ätzen. Die Böden der Vertiefungen 42 liegen zumindest in der gleichen Höhe wie oder (wie in 6B gezeigt ist) niedriger als die Böden der mehrschichtigen Hableiterstapel 22' Das Ätzen kann anisotrop sein, so dass die Seitenwände der mehrschichtigen Halbleiterstapel 22', die zu den Vertiefungen 42 gewandt sind, senkrecht und gerade verlaufen, wie in 6B gezeigt ist.
  • Unter Bezugnahme auf 7A und 7B werden die Opferhalbleiterschichten 22A seitlich vertieft, um seitliche Vertiefungen 41 zu bilden, die von den Rändern der jeweiligen darüberliegenden und darunterliegenden Nanostrukturen 22B her vertieft sind. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 212 dargestellt. Das seitliche Vertiefen der Opferhalbleiterschichten 22a kann durch einen Nassätzprozess unter Verwendung eines Ätzmittels, das im Hinblick auf das Material (zum Beispiel Siliziumgermanium (SiGe)) der Opferhalbleiterschichten 22A selektiver als im Hinblick auf das Material (zum Beispiel Silizium (Si)) der Nanostrukturen 22B und des Substrats 20 ist, erreicht werden. Beispielsweise kann der Nassätzprozess bei einer Ausführungsform, bei der die Opferhalbleiterschichten 22A aus Siliziumgermanium gebildet sind und die Nanostrukturen 22B aus Silizium gebildet sind, unter Verwendung eines Ätzmittels wie etwa Salzsäure (HCl) durchgeführt werden. Der Nassätzprozess kann unter Verwendung eines Eintauchprozesses, eines Sprühprozesses oder dergleichen durchgeführt werden und kann unter Verwendung jeder beliebigen geeigneten Prozesstemperatur (zum Beispiel zwischen etwa 400 °C und etwa 600 °C) und einer geeigneten Prozesszeit (zum Beispiel zwischen etwa 100 Sekunden und etwa 1.000 Sekunden) durchgeführt werden. Nach alternativen Ausführungsformen wird das seitliche Vertiefen der Opferhalbleiterschichten 22A durch einen isotropen Trockenätzprozess oder eine Kombination aus einem Trockenätzprozess und einem Nassätzprozess durchgeführt.
  • 8A und 8B veranschaulichen die Bildung von inneren Abstandshaltern 44. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 214 dargestellt. Der Bildungsprozess umfasst das Abscheiden einer Abstandshalterschicht, die sich in die Vertiefungen 41 erstreckt, und das Durchführen eines Ätzprozesses, um die Abschnitte der inneren Abstandshalterschicht außerhalb der Vertiefungen 41 zu beseitigen, wodurch die inneren Abstandshalter 44 in den Vertiefungen 41 zurückbelassen werden. Die inneren Abstandshalter 44 können aus SiOCN, SiON, SiOC, SiCN oder dergleichen gebildet werden oder dieses enthalten. Die inneren Abstandshalter 44 können auch porös sein, so dass sie einen niedrigeren k-Wert aufweisen, der zum Beispiel niedriger als etwa 3,5 ist. Nach einigen Ausführungsformen kann das Ätzen der Abstandshalterschicht durch einen Nassätzprozess durchgeführt werden, wobei die Ätzchemikalie H2SO4, verdünntes HF, eine Ammoniaklösung (NH4OH, Ammoniak in Wasser) oder dergleichen oder Kombinationen davon enthalten kann.
  • Unter Bezugnahme auf 9A und 9B werden in den Vertiefungen 42 epitaktische Source/Drain-Bereiche 48 gebildet. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 216 dargestellt. Nach einigen Ausführungsformen können die Source/Drain-Bereiche 48 eine Beanspruchung auf die Nanostrukturen 22B, die als die Kanäle der entsprechenden GAA-Transistoren verwendet werden, ausüben, wodurch die Leistungsfähigkeit verbessert wird. Nach einigen Ausführungsformen ist der entsprechende Transistor ein p-Transistor und werden die epitaktischen Source/Drain-Bereiche 48 dementsprechend durch Dotieren mit einem p-Dotierstoff als p-Typ ausgeführt. Beispielsweise kann Siliziumgermaniumbor (SiGeB), Siliziumbor (SiB) oder dergleichen gezüchtet werden, um epitaktische p-Source/Drain-Bereiche 48 zu bilden. Nachdem die Vertiefungen 42 mit Epitaxiebereichen 48 gefüllt wurden, kann ein weiteres Wachstum der Epitaxiebereiche 48 auch dazu führen, dass benachbarte Epitaxiebereiche 48 miteinander verschmelzen.
  • Die anschließenden Abbildungsnummern bei 10A, 10B und 10C bis 19A, 19B und 19C können die entsprechenden Nummern gefolgt von dem Buchstaben A, B oder C aufweisen. Sofern nicht anders angegeben, gibt der Buchstabe A an, dass die entsprechende Figur einen Querschnitt zeigt, der dem Querschnitt A2-A2 in 4 entspricht, gibt der Buchstabe B an, dass die entsprechende Figur einen Referenzquerschnitt zeigt, der dem Referenzquerschnitt B-B in 4 entspricht, und gibt der Buchstabe C an, dass die entsprechende Figur (mit Ausnahme von 12C, 13C, 14C und 17C) einen Querschnitt zeigt, der dem Querschnitt A1-A1 in 4 entspricht.
  • 10A, 10B und 10C veranschaulichen Querschnittansichten der Struktur nach der Bildung einer Kontaktätzstoppschicht (Contact Etch Stop Layer, CESL) 50 und einer dielektrischen Zwischenschicht (Inter-Layer-Dielectric, ILD) 52. Der entsprechenden Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 218 dargestellt. Die CESL kann aus Siliziumoxid, Siliziumnitrid, Siliziumcarbonitrid oder dergleichen gebildet werden und kann unter Verwendung einer CVD, einer ALD oder dergleichen gebildet werden. Die ILD 52 kann ein dielektrisches Material aufweisen, dass zum Beispiel unter Verwendung einer FCVD, einer Schleuderbeschichtung, einer CVD oder eines beliebigen anderen geeigneten Abscheideverfahrens gebildet wird. Die ILD 52 kann aus einem sauerstoffhaltigen dielektrischen Material, das ein unter Verwendung von Tetraethylorthosilikat (TEOS) als Vorläufer gebildetes siliziumoxidbasiertes Material sein kann, Phosphorsilikatglas (PSG), Borsilikatglas (BSG), bordotiertem Phosphorsilikatglas (BPSG) undotiertem Silikatglas (USG) oder dergleichen gebildet werden.
  • 11A und 11B bis 17A, 17B, 17C, 17D und 17E veranschaulichen die Prozesse zum Bilden von Austauschgatestapeln. In 11A und 11B wird ein Planarisierungsprozess wie etwa ein CMP-Prozess oder ein mechanischer Schleifprozess durchgeführt um die obere Fläche der ILD 52 zu ebnen. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 220 dargestellt. Nach einigen Ausführungsformen kann der Planarisierungsprozess die Hartmasken 36 beseitigen, um die Dummy-Gateelektroden 34 freizulegen, wie in 11B gezeigt ist. Nach alternativen Ausführungsformen kann der Planarisierungsprozess die Hartmasken 36 freilegen und wird an diesen angehalten. Nach einigen Ausführungsformen liegen die oberen Flächen der Dummy-Gateelektroden 34 (oder der Hartmasken 36), der Gate-Abstandshalter 38 und der ILD 52 nach dem Planarisierungsprozess innerhalb von Prozessschwankungen in der gleichen Höhe.
  • Als nächstes werden die Dummy-Gateelektroden 34 (und die Hartmaskenschichten 36, falls solche zurückgeblieben sind) in einem oder mehreren Ätzprozessen beseitigt, so dass Vertiefungen 58 gebildet werden, wie in 12A, 12B und 12C gezeigt ist. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 222 dargestellt. 12C zeigt eine perspektivische Ansicht der Struktur, und 12A und 12B zeigen jeweils die Querschnittansichten 12A-12A und 12B-12B in 12C. Die Teile der Dummy-Gatedielektrika 32 in den Vertiefungen 58 werden ebenfalls beseitigt. Nach einigen Ausführungsformen werden die Dummy-Gateelektroden 34 und die Dummy-Gatedielektrika 32 durch Trockenätzprozesse beseitigt. Beispielsweise kann der Ätzprozess unter Verwendung eines Trockenätzgases (von Trockenätzgasen), das (die) die Dummy-Gateelektroden 34 selektiv mit einer höheren Geschwindigkeit als die ILD 52 ätzt (ätzen), durchgeführt werden. Jede Vertiefung 58 legt Abschnitte von mehrschichtigen Stapeln 22`, die die zukünftigen Kanalbereiche in anschließend fertiggestellten Nano-FETs aufweisen, frei und/oder liegt über diesen. Die entsprechenden Abschnitte der mehrschichtigen Stapel 22' befinden sich zwischen benachbarten Paaren der epitaktischen Source/Drain-Bereiche 48.
  • Dann werden die Opferschichten 22A beseitigt, um die Vertiefungen 58 zwischen den Nanostrukturen 22B auszudehnen, wobei die sich ergebende Struktur in 13A, 13B und 13C gezeigt ist. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 224 dargestellt. 13C zeigt eine perspektivische Ansicht der Struktur und 13A und 13B zeigen jeweils die Querschnittansichten 13A-13A und 13B-13B in 13C. Die Opferschichten 22A können durch Vornehmen eines isotropen Ätzprozesses wie etwa eines Nassätzprozesses unter Verwendung von Ätzmitteln, die im Hinblick auf die Materialien der Opferschichten 22A selektiv sind, beseitigt werden. Die Nanostrukturen 22B, das Substrat 20 und die STI-Bereiche 26 bleiben verglichen mit den Opferschichten 22A verhältnismäßig ungeätzt zurück. Nach einigen Ausführungsformen, bei denen die Opferschichten 22A zum Beispiel SiGe enthalten und die Nanostrukturen 22B zum Beispiel Si oder kohlenstoffdotiertes Silizium enthalten, kann Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen verwendet werden, um die Opferschichten 22A zu beseitigen. Obwohl 13A und die anschließenden Figuren die Querschnitte der Nanostrukturen 22B als rechteckig darstellen, versteht sich, dass die Nanostrukturen 22B wie durch gestrichelte Linien in 13A dargestellt abgerundete Ecken aufweisen können.
  • Unter Bezugnahme auf 14A, 14B und 14C werden Gatedielektrika 62 gebildet. Der entsprechende Prozess ist in den Prozessablauf 200, der in 22 gezeigt ist, als Prozess 226 dargestellt. Einzelheiten eines beispielhaften Gatedielektrikums 62 sind in 14C gezeigt. Nach einigen Ausführungsformen weist jedes der Gatedielektrika 62 eine Grenzflächenschicht 62A und eine dielektrische Schicht 62B mit einem hohen k-Wert auf der Grenzflächenschicht 62A auf. Die Grenzflächenschicht 62A kann aus Siliziumoxid, das durch einen konformen Abscheideprozess wie etwa ALD oder CVD abgeschieden werden kann, gebildet werden oder enthält dieses. Nach einigen Ausführungsformen weisen die dielektrischen Schichten 62B mit einem hohen k-Wert eine oder mehrere dielektrische Schichten auf. Beispielsweise kann (können) die dielektrische(n) Schicht(en) 62B ein Metalloxid oder ein Silikat von Hafnium, Aluminium, Zirconium, Lanthan, Mangan, Barium, Titan, Blei oder Kombinationen enthalten.
  • Unter Bezugnahme auf 15A und 15B wird eine Austrittsarbeitsschicht 64, die eine Austrittsarbeitsabstimmschicht 64A und eine Austrittsarbeitsschicht 64B über der Austrittsarbeitsabstimmschicht 64A aufweist, abgeschieden. Da die Austrittsarbeitsabstimmschicht 64A und die Austrittsarbeitsschicht 64B in Kombination die Austrittsarbeit der sich ergebenden Gateelektrode bestimmen, ist auch die Austrittsarbeitsabstimmschicht 64A ein Teil der Austrittsarbeitsschicht der Gateelektrode. Andererseits weist die Austrittsarbeitsschicht 64 insgesamt eine p-Austrittsarbeit auf, während die Austrittsarbeitsabstimmschicht 64A eine n-Austrittsarbeit aufweisen kann (die zum Anpassen der gesamten Austrittsarbeit der Austrittsarbeitsschicht 64 verwendet wird) und somit als Austrittsarbeitsabstimmschicht bezeichnet wird.
  • Nach einigen Ausführungsformen enthält die Austrittsarbeitsabstimmschicht 64A Aluminium und/oder Kohlenstoff. Beispielsweise kann die Austrittsarbeitsabstimmschicht 64A Aluminiumkohlenstoff (AlC) enthalten. Die Austrittsarbeitsabstimmschicht 64A kann andere Elemente wie etwa Titan und/oder Stickstoff enthalten. Alternativ kann die Austrittsarbeitsabstimmschicht von Titan und Stickstoff frei sein.
  • Nach einigen Ausführungsformen liegt das Atomverhältnis des Atomprozentsatzes von Aluminium zu dem Atomprozentsatz von Kohlenstoff in der Austrittsarbeitsabstimmschicht 64A in dem Bereich zwischen etwa 0,1 und etwa 4. Die Austrittsarbeitsabstimmschicht 64A kann eine n-Austrittsarbeit aufweisen, die niedriger als die Midgap-Austrittsarbeit ist. Die Midgap-Austrittsarbeit kann gleich oder nahe etwa 4,55 eV sein und liegt in der Mitte zwischen dem Si-Leitungsband (etwa 4,1 eV) und dem Si-Valenzband (etwa 5 eV). Nach einigen Ausführungsformen kann die Austrittsarbeit der Austrittsarbeitsabstimmschicht 64A in dem Bereich zwischen etwa 4,1 eV und etwa 4,45 eV liegen.
  • Die Austrittsarbeitsabstimmschicht 64A wird durch einen konformen Abscheideprozess gebildet. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 228 dargestellt. Die Austrittsarbeitsabstimmschicht 64A kann durch einen thermischen Einwirkprozess (thermal soaking process) abgeschieden werden, obwohl andere Prozesse wie eine ALD, eine CVD oder dergleichen verwendet werden können. Nach einigen Ausführungsformen, bei dem der thermische Einwirkprozess verwendet wird, kann das Prozessgas sowohl Aluminium als auch Kohlenstoff enthalten. Beispielsweise kann das Prozessgas Trimethylaluminium (TMA, Al2(CH3)6), Triethylaluminium (TEAL, Al2(C2H5)6) oder dergleichen oder Kombinationen davon enthalten. Die Durchflussmenge des Prozessgases kann in dem Bereich zwischen 50 sccm und etwa 7.000 sccm liegen. Trägergase wie etwa Argon, Stickstoff (N2) oder dergleichen können ebenfalls enthalten sein. Die Einwirkzeit kann in dem Bereich zwischen etwa 0,1 Sekunde und etwa 1.800 Sekunden liegen.
  • Nach alternativen Ausführungsformen weist der Prozess zur Bildung der Austrittsarbeitsabstimmschicht 64A einen ersten thermischen Einwirkprozess unter Verwendung eines kohlenstoffhaltigen Prozessgases auf, damit eine kohlenstoffhaltige Schicht gebildet wird. Der Prozess zur Bildung der Austrittsarbeitsabstimmschicht 64A weist ferner einen zweiten thermischen Einwirkprozess unter Verwendung eines aluminiumhaltigen Prozessgases auf, damit eine aluminiumhaltige Schicht gebildet wird. Beispielsweise kann das kohlenstoffhaltige Prozessgas Tetrakis(dimethylamido)titan (TDMAT, C8H24N4Ti) oder dergleichen enthalten. Das erste Prozessgas kann neben TDMAT auch TMA und/oder TEAL oder ihre Kombinationen enthalten. Das Hinzufügen von TMA und/oder TEAL zu TDMAT weist die Funktion des Regulierens des Atomprozentsatzes von Kohlenstoff und Aluminium in der sich ergebenden Austrittsarbeitsabstimmschicht 64A auf. Andere kohlenstoffhaltige Prozessgase, worin Kohlenstoff enthalten sein kann oder auch nicht, können ebenfalls verwendet werden. Das Dickenverhältnis der kohlenstoffhaltigen Schicht zu der Dicke der aluminiumhaltigen Schicht kann in dem Bereich zwischen etwa 0,3 und etwa 3 liegen. Das aluminiumhaltige Prozessgas, das bei dem zweiten thermischen Einwirkprozess verwendet wird, kann TMA, TEAL oder eine Kombination davon enthalten. Andere aluminiumhaltige Prozessgase, worin Kohlenstoff enthalten sein kann oder auch nicht, können ebenfalls verwendet werden. Die Durchflussmenge eines jeden aus dem kohlenstoffhaltigen Prozessgas und dem aluminiumhaltigen Prozessgas kann in dem Bereich zwischen etwa 50 sccm und etwa 7.000 sccm liegen. Trägergase wie etwa Argon, Stickstoff (N2) oder dergleichen können ebenfalls enthalten sein. Die Reihenfolge des thermischen Einwirkprozesses unter Verwendung des kohlenstoffhaltigen Prozessgases und des thermischen Einwirkprozesses unter Verwendung des aluminiumhaltigen Prozessgases kann umgekehrt werden.
  • Der erste thermische Einwirkprozess führt zu dem Abscheiden einer kohlenstoffhaltigen Schicht, worin je nach den entsprechenden Prozessgasen Aluminium enthalten sein kann oder auch nicht und Titan enthalten kann oder auch nicht. Der zweite thermische Einwirkprozess führt zu dem Abscheiden einer aluminiumhaltigen Schicht, worin je nach den entsprechenden Prozessgasen Kohlenstoff enthalten sein kann oder auch nicht. Da die kohlenstoffhaltige Schicht und die aluminiumhaltige Schicht beide sehr dünn sind, können sie interdiffundiert sein, um eine aluminium- und kohlenstoffhaltige Schicht zu bilden. Nach einigen Ausführungsformen erfolgt ein einzelner thermischer Einwirkprozess unter Verwendung des kohlenstoffhaltigen Prozessgases und ein einzelner thermischer Einwirkprozess unter Verwendung des aluminiumhaltigen Prozessgases. Nach alternativen Ausführungsformen umfasst die Bildung der Austrittsarbeitsabstimmschicht 64A mehrere Zyklen, wobei jeder Zyklus einen thermischen Einwirkprozess unter Verwendung des kohlenstoffhaltigen Prozessgases und einen thermischen Einwirkprozess unter Verwendung des aluminiumhaltigen Prozessgases umfasst.
  • Die Wafertemperatur während des Abscheidens der Austrittsarbeitsabstimmschicht 64A wird so gesteuert, dass sie in einem bestimmten Bereich liegt. Wenn die Wafertemperatur zu niedrig ist, können die Bindungen in dem Prozessgas möglicherweise nicht brechen und kann die Austrittsarbeitsabstimmschicht 64A möglicherweise nicht abgeschieden werden. Wenn die Wafertemperatur zu hoch ist, werden große Aluminium- und Kohlenstoffteilchen erzeugt werden und wird die Gleichmäßigkeit der Austrittsarbeitsabstimmschicht 64A nachteilig beeinflusst. Nach einigen Ausführungsformen liegt die Wafertemperatur in dem Bereich zwischen etwa 150 °C und etwa 550 °C.
  • Der Kammerdruck in der Kammer, die für das Abscheiden der Austrittsarbeitsabstimmschicht 64A verwendet wird, wird ebenfalls so gesteuert, dass sie in einem bestimmte Bereich liegt. Wenn der Kammerdruck zu niedrig oder zu hoch ist, wird die Austrittsarbeitsabstimmschicht 64A nicht abgeschieden werden. Nach einigen Ausführungsformen liegt der Kammerdruck in dem Bereich zwischen etwa 0,1 Torr und etwa 50 Torr.
  • Nach einigen Ausführungsformen enthält die Austrittsarbeitsschicht 64B ein p-Austrittsarbeitsmaterial wie etwa TiN, TaN, W oder dergleichen, Kombinationen davon und/oder Mehrfachschichten davon auf. Das p-Austrittsarbeitsmaterial weist eine Austrittsarbeit auf, die höher als die Midgap-Austrittsarbeit ist. Die Austrittsarbeitsschicht 64B wird in einem konformen Abscheideprozess gebildet. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 230 dargestellt. Wenn die Austrittsarbeitsschicht 64B TiN enthält, wird das Abscheiden unter Verwendung eines titanhaltigen Vorläufers und eines stickstoffhaltigen Vorläufers durchgeführt. Der titanhaltige Vorläufer kann TiCl4, TiCl5 oder dergleichen oder Kombinationen davon enthalten. Der stickstoffhaltige Vorläufer kann NH3 enthalten. Es können mehrere ALD-Zyklen durchgeführt werden, wobei jeder davon ein Pulsieren und Spülen des titanhaltigen Vorläufers und ein Pulsieren und Spülen des stickstoffhaltigen Vorläufers umfasst.
  • Nach einigen Ausführungsformen, bei denen das Abscheiden von TiN für die Austrittsarbeitsschicht 64B unter Verwendung von TiCl4 und NH3 als Prozessgase durchgeführt wird und eine ALD verwendet wird, kann die Temperatur des Wafers 10 in dem Bereich zwischen etwa 270 °C und etwa 550 °C liegen. Der Kammerdruck kann in dem Bereich zwischen etwa 0,5 Torr und etwa 50 Torr liegen.
  • Wenn die Austrittsarbeitsschicht 64B TaN enthält, wird das Abscheiden unter Verwendung eines tantalhaltigen Vorläufers und eines stickstoffhaltigen Vorläufers durchgeführt. Der tantalhaltige Vorläufer kann TaCl4, TaCl5 oder dergleichen oder Kombinationen davon enthalten. Der stickstoffhaltige Vorläufer kann NH3 enthalten. Es können mehrere ALD-Zyklen durchgeführt werden, wobei jeder davon ein Pulsieren und Spülen des tantalhaltigen Vorläufers und ein Pulsieren und Spülen des stickstoffhaltigen Vorläufers umfasst.
  • Durch das Einfügen der Austrittsarbeitsabstimmschicht 64A zwischen der dielektrischen Schicht 62B mit einem hohen k-Wert und der Austrittsarbeitsschicht 64B wird die Austrittsarbeit der Austrittsarbeitsschicht 64 unerwartet stärker als dann erhöht, wenn die Austrittsarbeitsschicht 64 die Austrittsarbeitsschicht 64B aufweist und die Austrittsarbeitsabstimmschicht 64A nicht aufweist. Da der sich ergebende Transistor ein p-Transistor ist, wird durch die Erhöhung der Austrittsarbeit die Schwellenspannung des sich ergebenden Transistors verringert. Die Verringerung der Schwellenspannung kann an der Bildung von Dipolen durch die Kohlenstoffatome mit der dielektrischen Schicht 64B mit einem hohen k-Wert liegen, und kann an der Wechselwirkung der Austrittsarbeitsabstimmschicht 64A mit der Austrittsarbeitsschicht 64A und der dielektrischen Schicht 64B mit einem hohen k-Wert liegen. Nach einigen Ausführungsformen kann die Schwellenspannung des sich ergebenden Transistors durch Regulieren der Atomprozentsätze des Aluminiums und des Kohlenstoffs in der Austrittsarbeitsabstimmschicht 64A und durch Wählen der Dicke der Austrittsarbeitsabstimmschicht 64A gegenüber einer Nichtbildung der Austrittsarbeitsabstimmschicht 64A um einen Unterschied von mehr als 20 mV verringert werden, wobei der Unterschied in dem Bereich zwischen etwa 20 mV und etwa 250 mV liegt.
  • Die Austrittsarbeitsabstimmschicht 64A kann eine dünne Schicht sein und darf weder zu dünn noch zu dick sein. Wenn die Austrittsarbeitsabstimmschicht 64A zu dünn ist, ist ihre Funktion zum Abstimmen der Austrittsarbeit der sich ergebenden Austrittsarbeitsschicht 64 zu schwach und kann sie die Schwellenspannung des sich ergebenden Transistors nicht entsprechend verringern. Wenn die Austrittsarbeitsabstimmschicht 64A zu dick ist, wird sie die Austrittsarbeit der Austrittsarbeitsschicht 64 verringern, anstatt die Austrittsarbeit der Austrittsarbeitsschicht 64 zu erhöhen, da das Material der Austrittsarbeitsabstimmschicht 64A eine n-Austrittsarbeit aufweist. Entsprechend wird die Austrittsarbeitsabstimmschicht 64A die Schwellenspannung des sich ergebenden p-Transistors bei einer zu dicken Austrittsarbeitsabstimmschicht 64A tatsächlich verschlechtern (erhöhen), anstatt die Schwellenspannung des sich ergebenden p-Transistors zu verbessern (zu verringern). Tatsächlich wird die Austrittsarbeit der Austrittsarbeitsschicht 64 bei einer allmählichen Zunahme der Dicke der Austrittsarbeitsabstimmschicht 64A von o Å zu Beginn allmählich zunehmen und die Schwellenspannung des sich ergebenden p-Transistors allmählich abnehmen. Wenn die Dicke der Austrittsarbeitsabstimmschicht 64A einen Schwellenwert erreicht, wird eine weitere Zunahme der Dicke der Austrittsarbeitsabstimmschicht 64A eine Abnahme der Austrittsarbeit der Austrittsarbeitsschicht 64 und einen Anstieg der Schwellenspannung des sich ergebenden p-Transistors bewirken. Die Schwellenwert für die Dicke der Austrittsarbeitsabstimmschicht 64A, bei der der Trend der Schwellenspannung umgekehrt wird, kann durch verschiedene Faktoren wie etwa die Materialien und die Dicken der darüberliegenden und darunterliegenden Materialien beeinflusst werden. Nach einigen Ausführungsformen kann der Schwellenwert für die Dicke der Austrittsarbeitsabstimmschicht 64A in dem Bereich zwischen etwa 15 Å und etwa 25 Å liegen. Entsprechend kann die Dicke der Austrittsarbeitsabstimmschicht 64A so gewählt werden, dass sie in dem Bereich zwischen etwa 2 Å und etwa 25 Å liegt.
  • Die Austrittsarbeitsschicht 64 kann eine gesamte Dicke in dem Bereich zwischen etwa 5 Å und etwa 50 Å aufweisen. Nach einigen Ausführungsformen kann die Dicke der Austrittsarbeitsabstimmschicht 64A in dem Bereich zwischen etwa 2 Å und etwa 25 Å liegen. Das Dickenverhältnis der Dicke der Austrittsarbeitsabstimmschicht 64A zu der Dicke der dielektrischen Schicht 62B mit einem hohen k-Wert kann in dem Bereich zwischen etwa 0,08 und etwa 2,5 liegen.
  • 16A und 16B veranschaulichen das Abscheiden von leitfähigen Füllschichten 66, um die verbliebenen Vertiefungen 58 vollständig auszufüllen. Die leitfähigen Füllschichten 66 sind weit genug von den Halbleiterbereichen 24` entfernt, dass die leitfähigen Füllschichten 66 nicht als die Austrittsarbeitsschichten wirken. Das Abscheiden der leitfähigen Füllschichten 66 kann eine CVD, eine ALD usw. umfassen. Nach einigen Ausführungsformen weisen die leitfähigen Füllschichten 66 eine Klebeschicht 66A (16B) und ein Füllmaterial 66B über der Klebeschicht 66A auf. Die Klebeschicht 66A kann aus TiN, TaN, WN, WCN, TiCN oder dergleichen oder Kombinationen davon gebildet werden oder enthält dieses. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 232 dargestellt. Die Klebeschicht 66A kann in einem physischen Kontakt mit der Austrittsarbeitsschicht 64B stehen. Das Füllmaterial 66B kann Wolfram, Kobalt, Aluminium oder dergleichen enthalten.
  • Nach einigen Ausführungsformen, bei denen sowohl die Austrittsarbeitsschicht 64B als auch die Klebeschicht 66A TiN enthält, erstreckt sich die Austrittsarbeitsschicht 64B in die Räume zwischen benachbarten Nanostrukturen 22B, während sich die Klebeschicht 66A vollständig außerhalb der Räume befindet. Die Bildung der Austrittsarbeitsschicht 64B und jene der Klebeschicht 66A können durch einen Vakuumbruchprozess voneinander getrennt sein. Die Austrittsarbeitsschicht 64B und die Klebeschicht 66A können voneinander unterscheidbar sein oder auch nicht. Zum Beispiel können der Titanatomprozentsatz und der Kohlenstoffatomprozentsatz der Austrittsarbeitsschicht 64B dem jeweiligen Titanatomprozentsatz und Kohlenstoffatomprozentsatz in der Klebeschicht 66A gleich sein oder sich davon unterscheiden.
  • Nach dem Abscheiden der Klebeschicht 66A wird das Füllmaterial 66B abgeschieden. Dann wird ein Planarisierungsprozess wie etwa ein CMP-Prozess oder ein mechanischer Schleifprozess durchgeführt, um überschüssige Abschnitte der Gatedielektrika 62, der Austrittsarbeitsschicht 64 und der leitfähigen Füllschichten 66 zu beseitigen, wobei sich diese überschüssigen Abschnitte über der oberen Fläche der ILD 52 befinden. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 234 dargestellt. Die sich ergebende Struktur ist in 17A und 17B gezeigt. Die verbleibenden leitfähigen Füllschichten 66 und die Austrittsarbeitsschicht 64 werden gemeinsam als Gateelektroden 68 bezeichnet. Die Gateelektroden 68 und die Gatedielektrika 62 werden gemeinsam als Gatestapel 70 der sich ergebenen Nano-FETs bezeichnet.
  • 17C veranschaulicht eine perspektivische Ansicht der Struktur, die in 17A und 17B gezeigt ist, wobei die Querschnittansichten, die in 17A und 17B gezeigt sind, jeweils von den Querschnitten 17A-17A und 17B-17B in 17C stammen. 17D und 17E veranschaulichen waagerechte Querschnittansichten der Struktur, die in 17A, 17B und 17C gezeigt ist, wobei die waagerechten Querschnittansichten jeweils von den waagerechten Ebenen 17D-17D und 17E-17E in 17E stammen.
  • In den Prozessen, die in 18A, 18B und 18C gezeigt sind, werden die Gatestapel 70 (einschließlich der Gatedielektrika 62 und der entsprechenden darüberliegenden Gateelektroden 68) vertieft, damit direkt über den Gatestapeln 70 und zwischen einander gegenüberliegenden Abschnitten der Gate-Abstandshalter 38 Vertiefungen gebildet werden. In jede der Vertiefungen wird eine Gatemaske 74, die eine oder mehrere Schichten aus einem dielektrischen Material wie etwa Siliziumnitrid, Siliziumoxinitrid oder dergleichen aufweist, gefüllt, wonach ein Planarisierungsprozess folgt, um überschüssige Abschnitte des dielektrischen Materials, die sich über die ILD 52 erstrecken, zu beseitigen. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 236 dargestellt. Anschließend gebildete Gatekontakte (wie etwa die Gatekontaktstecker 80, die nachstehend im Zusammenhang mit 19A und 19B besprochen werden) dringen durch die Gatemaske 74, so dass sie mit der oberen Fläche der vertieften Gateelektroden 68 in Kontakt stehen.
  • Wie weiter durch 18A, 18B und 18C veranschaulicht wird, wird über der ILD 52 und über den Gatemasken 74 eine ILD 76 abgeschieden. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 238 dargestellt. Vor der Bildung der ILD 76 kann eine Ätzstoppschicht (nicht gezeigt) abgeschieden werden (oder nicht abgeschieden werden). Nach einigen Ausführungsformen wird die ILD 76 durch eine FCVD, eine CVD, eine PECVD oder dergleichen gebildet. Die ILD 76 wird aus einem dielektrischen Material gebildet, das aus Siliziumoxid, PSG, BSG, BPSG, USG oder dergleichen gewählt wird.
  • In 19A, 19B und 19C werden die ILD 76, die ILD 52, die CESL 50 und die Gatemasken 74 geätzt, um (von Kontaktsteckern 80A und 80B belegte) Vertiefungen zu bilden, die die eptitaktischen Source/Drain-Bereiche 48 und/oder die Gatestapel 70 freilegen. Die Vertiefungen können durch Ätzen unter Verwendung eines anisotropen Ätzprozesses wie etwa RIE, NBE oder dergleichen gebildet werden. Nach einigen Ausführungsformen können die Vertiefungen durch Durchätzen der ILD 76 und der ILD 52 unter Verwendung eines ersten Ätzprozesses, Durchätzen der Gatemasken 74 unter Verwendung eines zweiten Ätzprozesses und Durchätzen der CESL 50 möglicherweise unter Verwendung eines dritten Ätzprozesses gebildet werden. Obwohl 19B darstellt, dass sich die Kontaktstecker 80A und 80B in einem gleichen Querschnitt befinden, können die Kontaktstecker 80A und 80B bei verschiedenen Ausführungsformen in unterschiedlichen Querschnitten gebildet werden, wodurch die Gefahr eines Kurzschlusses miteinander verringert wird.
  • Nach der Bildung der Vertiefungen werden über den epitaktischen Source/Drain-Bereichen 48 Silicidbereiche 78 (19B und 19C) gebildet. Der entsprechende Prozess ist in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 240 dargestellt. Nach einigen Ausführungsformen werden die Silicidbereiche 78 gebildet, indem zuerst eine Metallschicht (nicht dargestellt), die in der Lage ist, mit den Halbleitermaterialien der darunterliegenden epitaktischen Source/Drain-Bereiche 48 (zum Beispiel Silizium, Siliziumgermanium, Germanium) zu reagieren, um Silicid- und/oder Germanidbereiche zu bilden, abgeschieden wird und dann ein thermischer Temperprozess durchgeführt wird, um die Silicidbereiche 78 zu bilden. Das Metall kann Nickel, Kobalt, Titan, Tantal, Platin, Wolfram oder dergleichen enthalten. Die nicht reagierten Abschnitte des abgeschiedenen Metalls werden dann zum Beispiel durch einen Ätzprozess beseitigt.
  • Dann werden die Kontaktstecker 80B über den Silicidbereichen 78 gebildet. Außerdem werden auch die Kontaktstecker 80A (die auch als Gatekontaktstecker bezeichnet werden können) in den Vertiefungen gebildet, und befinden sich über den Gateelektroden 68 und damit in Kontakt. Die entsprechenden Prozesse sind in dem Prozessablauf 200, der in 22 gezeigt ist, als Prozess 242 dargestellt. Die Kontaktstecker 80A und 80B können jeweils eine oder mehrere Schichten wie etwa eine Sperrschicht, eine Diffusionsschicht, und ein Füllmaterial aufweisen. Zum Beispiel weisen die Kontaktstecker 80A und 80B nach einigen Ausführungsformen jeweils eine Sperrschicht und ein leitfähiges Material auf und sind elektrisch mit dem darunterliegenden leitfähigen Element (beispielsweise bei der dargestellten Ausführungsform Gatestapeln 70 und/oder Silicidbereichen 78) gekoppelt. Die Sperrschicht kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen enthalten. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsprozess wie etwa ein CMP-Prozess kann durchgeführt werden, um überschüssiges Material von einer Oberfläche der ILD 76 zu beseitigen. Dadurch wird ein Nano-FET 82, der ein p-Transistor ist, gebildet.
  • 20 veranschaulicht eine Querschnittansicht detaillierter Nanostrukturen und Gatestapel von vier p-Transistoren 82-1,82-2, 82-3 und 82-4, die jeweils Gatestapel 70-1,70-2,70-3 und 70-4 aufweisen. Die p-Transistoren 82-1, 82-2, 82-3 und 82-4 weisen wie in 19B und 19C gezeigte p-Source/Drain-Bereiche 48 auf. Die Transistoren 82-1, 82-2, 82-3 und 82-4 sind in dem gleichen Vorrichtungs-Die und auf dem gleichen Halbleitersubstrat gebildet. Nach einigen Ausführungsformen unterscheiden sich die Austrittsarbeitsabstimmschichten 64A-1, 64A-2 und 64A-3 voneinander, während die anderen entsprechenden Schichten in den Gatestapeln 70-1, 70-2 und 70-3 miteinander identisch sind (und unter Verwendung gemeinsamer Prozesse gebildet werden können). Beispielsweise können die Austrittsarbeitsabstimmschichten 64A-1, 64A-2 und 64A-3 unterschiedliche Dicken und/oder unterschiedliche Kohlenstoff- und/oder Aluminiumatomprozentsätze aufweisen. Entsprechend können sich die Schwellenspannungen der Transistoren 82-1, 82-2 und 82-3 voneinander unterscheiden. Nach einigen Ausführungsformen weisen die Dicken T1, T2 und T3 der jeweiligen Austrittsarbeitsabstimmschichten 64A-1, 64A-2 und 64A-3 die Beziehung T1 < T2 < T3 auf, wobei die Austrittsarbeitsabstimmschichten 64A-1, 64A-2 und 64A-3 die gleiche Zusammensetzung aufweisen können. Nach einigen Ausführungsformen ist die Schwellenspannung des Transistors 82-2 niedriger als die Schwellenspannungen der beiden Transistoren 82-1 und 82-3. Andererseits kann die Schwellenspannung des Transistors 82-1 trotz T1 < T3 niedriger als die Schwellenspannung des Transistors 82-3, dieser gleich oder größer als diese sein.
  • 20 veranschaulicht ferner einen p-Transistor 82-4, dessen Gatestapel 70-4 den Gatestapeln 70-1 und 70-2 ähnlich ist, außer dass keine Austrittsarbeitsabstimmschicht gebildet ist. Entsprechend kann der p-Transistor 82-4 eine Schwellenspannung aufweisen, die größer als die Schwellenspannungen der p-Transistoren 82-1 und 82-2 ist. Andererseits kann die Schwellenspannung des p-Transistors 82-4 kleiner als die Schwellenspannung des p-Transistors 82-3, dieser gleich oder größer als diese sein.
  • 21 veranschaulicht die Verteilung einiger Elemente in den Gatestapeln 70 ( 19B) schematisch als Funktionen der senkrechten Entfernung von der Nanostruktur 22B. Die dargestellte Verteilung umfasst den Kohlenstoffatomprozentsatz und den Aluminiumatomprozentsatz (in der Austrittsarbeitsabstimmschicht 64A), den Titanatomprozentsatz (in der Austrittarbeitsschicht 64B und der Klebeschicht 66A) und den Hafniumatomprozentsatz (in der dielektrischen Schicht 62B mit einem hohen k-Wert). Die X-Achse gibt die senkrechte Entfernung in der Richtung des Pfeils 84 in 19B an. Die Y-Achse gibt die Atomprozentsatzwerte an. Obwohl die Elemente in dem Gatestapel 70 von dort, wo sie abgeschieden wurden, wegdiffundieren, kann nach einigen Ausführungsformen der Kohlenstoffatomprozentsatz und/oder der Aluminiumatomprozentsatz in der Austrittsarbeitsabstimmschicht 64A einen Spitzenwert aufweisen. Sofern sowohl die Austrittsarbeitsschicht 64B als auch die Klebeschicht 66a Titan enthält, weist der Titanatomprozentsatz entweder in der Austrittsarbeitsschicht 64B oder in der Klebeschicht 66A oder an der Grenzfläche zwischen der Austrittsarbeitsschicht 64A und der Klebeschicht 66A einen Spitzenwert auf. Sofern die dielektrische Schicht 62B mit einem hohen k-Wert Hafnium enthält, weist der Hafniumatomprozentsatz in der dielektrischen Schicht 62B mit einem hohen k-Wert einen Spitzenwert auf.
  • Die Ausführungsformen der vorliegenden Offenbarung weisen einige vorteilhafte Merkmale auf. Durch das Einfügen einer Austrittsarbeitsabstimmschicht (die Aluminium und Kohlenstoff enthalten kann) zwischen einer Austrittsarbeitsschicht und der entsprechenden darunterliegenden dielektrischen Schicht mit einem hohen k-Wert kann die Schwellenspannung des sich ergebenden p-Transistors abgestimmt werden und kann sie verringert werden. Durch selektives Bilden oder Nichtbilden der Austrittsarbeitsabstimmschicht und durch Einstellen der Dicke und der Zusammensetzung der Austrittsarbeitsabstimmschicht können mehrere p-Transistoren mit unterschiedlichen Austrittsarbeiten gebildet werden.
  • Nach einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Bilden eines Dummy-Gatestapels über einem Halbleiterbereich; das Bilden eines Source/Drain-Bereichs an einer Seite des Dummy-Gatestapels; das Beseitigen des Dummy-Gatestapels, um einen Graben zu bilden, wobei der Halbleiterbereich zu dem Graben hin freigelegt wird; das Bilden einer Gatedielektrikumsschicht, die sich in den Graben erstreckt; das Abscheiden einer Austrittsarbeitsabstimmschicht auf der Gatedielektrikumsschicht, wobei die Austrittsarbeitsabstimmschicht Aluminium und Kohlenstoff enthält; das Abscheiden einer p-Austrittsarbeitsschicht über der Austrittsarbeitsabstimmschicht; und das Durchführen eines Planarisierungsprozesses, um überschüssige Abschnitte der p-Austrittsarbeitsschicht, der Austrittsarbeitsabstimmschicht und der Gatedielektrikumsschicht zu beseitigen, um einen Gatestapel zu bilden. Bei einer Ausführungsform umfasst das Abscheiden der Austrittsarbeitsabstimmschicht einen thermischen Einwirkprozess unter Verwendung eines Prozessgases, das sowohl Aluminium als auch Kohlenstoff enthält. Bei einer Ausführungsform wird das Prozessgas aus der Gruppe, die aus Trimethylaluminium (TMA, Al2(CH3)6), Triethylaluminium (TEAL, Al2(C2H5)6) und Kombinationen davon besteht, gewählt.
  • Bei einer Ausführungsform wird der thermische Einwirkprozess bei einer Wafertemperatur in einem Bereich zwischen etwa 150 °C und etwa 550 °C durchgeführt. Bei einer Ausführungsform umfasst das Abscheiden der Austrittsarbeitsabstimmschicht thermische Einwirkprozesse unter Verwendung eines ersten Prozessgases, das Aluminium enthält, und eines zweiten Prozessgases, das Kohlenstoff enthält. Bei einer Ausführungsform wird das erste Prozessgas aus der Gruppe, die aus Trimethylaluminium (TMA, Al2(CH3)6), Triethylaluminium (TEAL, Al2(C2H5)6) und Kombinationen davon besteht, gewählt und enthält das zweite Prozessgas Tetrakis(diemethylamido)titan (TDMAT, C8H24N4Ti). Bei einer Ausführungsform umfasst das Bilden der Gatedielektrikumsschicht das Abscheiden einer dielektrischen Schicht mit einem hohen k-Wert, und wobei die Austrittsarbeitsabstimmschicht in einem physischen Kontakt mit der dielektrischen Schicht mit einem hohen k-Wert steht.
  • Bei einer Ausführungsform weist die Gatedielektrikumsschicht einen ersten Abschnitt, der den Halbleiterbereich umgibt, und einen zweiten Abschnitt, der einen zusätzlichen Halbleiterbereich, der den Halbleiterbereich überlappt, umgibt, auf, und wobei die p-Austrittsarbeitsschicht einen dritten Abschnitt, der den ersten Abschnitt umgibt, und einen vierten Abschnitt, der den zweiten Abschnitt umgibt, aufweist, und wobei der dritte Abschnitt physisch an den vierten Abschnitt anschließt. Bei einer Ausführungsform weist die Austrittsarbeitsabstimmschicht eine n-Austrittsarbeit auf, weisen die Austrittarbeitsabstimmschicht und die p-Austrittsarbeitsschicht in Kombination eine p-Austrittsarbeit auf, und wobei der Source/Drain-Bereich vom p-Typ ist. Bei einer Ausführungsform umfasst das Verfahren ferner das Abscheiden einer titanhaltigen Schicht über und in einem Kontakt mit der p-Austrittsarbeitsschicht. Bei einer Ausführungsform liegt ein Verhältnis eines Aluminiumatomprozentsatzes zu einem Kohlenstoffatomprozentsatz in der Austrittsarbeitsabstimmschicht in einem Bereich zwischen etwa 0,1 und etwa 4.
  • Nach einigen Ausführungsformen der vorliegenden Offenbarung weist eine integrierte Schaltungsstruktur einen Halbleiterbereich; einen Gatestapel über dem Halbleiterbereich, wobei der Gatestapel eine Gatedielektrikumsschicht mit einem hohen k-Wert; eine Austrittsarbeitsabstimmschicht auf der dielektrischen Schicht mit einem hohen k-Wert, wobei die Austrittsarbeitsabstimmschicht Aluminium und Kohlenstoff enthält; und eine p-Austrittsarbeitsschicht über der Austrittsarbeitsabstimmschicht aufweist; und einen p-Source/Drain-Bereich an einer Seite des Gatestapels auf. Bei einer Ausführungsform finden sich in der Austrittsarbeitsabstimmschicht keine Titan- und Stickstoffspitzen. Bei einer Ausführungsform liegt ein Verhältnis eines Aluminiumatomprozentsatzes zu einem Kohlenstoffatomprozentsatz in der Austrittsarbeitsabstimmschicht in einem Bereich zwischen etwa 0,1 und etwa 4. Bei einer Ausführungsform liegt ein Verhältnis einer ersten Dicke der Austrittsarbeitsabstimmschicht zu einer zweiten Dicke der dielektrischen Schicht mit einem hohen k-Wert in einem Bereich zwischen etwa 0,08 und etwa 2,5. Bei einer Ausführungsform enthält die p-Austrittsarbeitsschicht Titannitrid.
  • Nach einigen Ausführungsformen der vorliegenden Offenbarung weist eine integrierte Schaltungsstruktur einen ersten Transistor, aufweisend einen ersten Halbleiterbereich; einen ersten Gate-Abstandshalter und einen zweiten Gate-Abstandshalter über dem ersten Halbleiterbereich; und einen ersten Gatestapel über dem ersten Halbleiterbereich und zwischen dem ersten Gate-Abstandshalter und dem zweiten Gate-Abstandshalter, wobei der erste Gatestapel eine erste dielektrische Schicht mit einem hohen k-Wert auf dem ersten Halbleiterbereich; eine n-Austrittsarbeitsschicht über und in einem physischen Kontakt mit der ersten dielektrischen Schicht mit einem hohen k-Wert; eine erste p-Austrittsarbeitsschicht über und in einem Kontakt mit der n-Austrittsarbeitsschicht aufweist; und einen Metallbereich über und in einem Kontakt mit der ersten p-Austrittsarbeitsschicht; und einen p-Source/Drain-Bereich an einer Seite des ersten Gatestapels auf. Bei einer Ausführungsform weist die integrierte Schaltungsstruktur ferner einen zweiten Transistor auf, wobei der zweite Transistor einen zweiten Halbleiterbereich; und einen zweiten Gatestapel, aufweisend eine zweite dielektrische Schicht mit einem hohen k-Wert auf dem zweiten Halbleiterbereich; und eine zweite p-Austrittsarbeitsschicht in einem physischen Kontakt mit der zweiten dielektrischen Schicht mit einem hohen k-Wert aufweist. Bei einer Ausführungsform enthält die n-Austrittsarbeitsschicht Aluminium und Kohlenstoff. Bei einer Ausführungsform liegt ein Verhältnis eines Aluminiumatomprozentsatzes zu einem Kohlenstoffatomprozentsatz in der n-Austrittsarbeitsschicht in einem Bereich zwischen etwa 0,1 und etwa 4.
  • Das Obige umreißt Merkmale von mehreren Ausführungsformen, damit Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten verstehen, dass sie die vorliegende Offenbarung leicht als Basis zur Gestaltung oder Abwandlung anderer Prozesse und Aufbauten zur Ausführung der gleichen Zwecke und/oder zur Erzielung der gleichen Vorteile wie die hier vorgestellten Ausführungsformen verwenden können. Fachleute sollten auch erkennen, dass derartige gleichwertige Aufbauten nicht von dem Geist und dem Umfang der vorliegenden Offenbarung abweichen, und dass sie hierin verschiedene Veränderungen, Ersetzungen und Abänderungen vornehmen können, ohne von dem Geist und dem Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/268876 [0001]

Claims (20)

  1. Verfahren, umfassend Bilden eines Dummy-Gatestapels über einem Halbleiterbereich; Bilden eines Source/Drain-Bereichs an einer Seite des Dummy-Gatestapels; Beseitigen des Dummy-Gatestapels, um einen Graben zu bilden, wobei der Halbleiterbereich zu dem Graben hin freigelegt wird; Bilden einer Gatedielektrikumsschicht, die sich in den Graben erstreckt; Abscheiden einer Austrittsarbeitsabstimmschicht auf der Gatedielektrikumsschicht, wobei die Austrittsarbeitsabstimmschicht Aluminium und Kohlenstoff enthält; Abscheiden einer p-Austrittsarbeitsschicht über der Austrittsarbeitsabstimmschicht; und Durchführen eines Planarisierungsprozesses, um überschüssige Abschnitte der p-Austrittsarbeitsschicht, der Austrittsarbeitsabstimmschicht und der Gatedielektrikumsschicht zu beseitigen, um einen Gatestapel zu bilden.
  2. Verfahren nach Anspruch 1, wobei das Abscheiden der Austrittsarbeitsabstimmschicht einen thermischen Einwirkprozess (thermal soaking process) unter Verwendung eines Prozessgases, das sowohl Aluminium als auch Kohlenstoff enthält, umfasst.
  3. Verfahren nach Anspruch 2, wobei das Prozessgas aus der Gruppe, die aus Trimethylaluminium (TMA, Al2(CH3)6), Triethylaluminium (TEAL, Al2(C2H5)6) und Kombinationen davon besteht, gewählt wird.
  4. Verfahren nach Anspruch 2 oder 3, wobei der thermische Einwirkprozess bei einer Wafertemperatur in einem Bereich zwischen etwa 150 °C und etwa 550 °C durchgeführt wird.
  5. Verfahren nach Anspruch 1, wobei das Abscheiden der Austrittsarbeitsabstimmschicht thermische Einwirkprozesse unter Verwendung eines ersten Prozessgases, das Aluminium enthält, und eines zweiten Prozessgases, das Kohlenstoff enthält.
  6. Verfahren nach Anspruch 5, wobei das erste Prozessgas aus der Gruppe, die aus Trimethylaluminium (TMA, Al2(CH3)6), Triethylaluminium (TEAL, Al2(C2H5)6) und Kombinationen davon besteht, gewählt wird und das zweite Prozessgas Tetrakis(diemethylamido)titan (TDMAT, C8H24N4Ti) enthält.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bilden der Gatedielektrikumsschicht das Abscheiden einer dielektrischen Schicht mit einem hohen k-Wert umfasst, und wobei die Austrittsarbeitsabstimmschicht in einem physischen Kontakt mit der dielektrischen Schicht mit einem hohen k-Wert steht.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Gatedielektrikumsschicht einen ersten Abschnitt, der den Halbleiterbereich umgibt, und einen zweiten Abschnitt, der einen zusätzlichen Halbleiterbereich, der den Halbleiterbereich überlappt, umgibt, aufweist, und wobei die p-Austrittsarbeitsschicht einen dritten Abschnitt, der den ersten Abschnitt umgibt, und einen vierten Abschnitt, der den zweiten Abschnitt umgibt, aufweist, und wobei der dritte Abschnitt physisch an den vierten Abschnitt anschließt.
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Austrittsarbeitsabstimmschicht eine n-Austrittsarbeit aufweist, die Austrittarbeitsabstimmschicht und die p-Austrittsarbeitsschicht in Kombination eine p-Austrittsarbeit aufweisen, und wobei der Source/Drain-Bereich vom p-Typ ist.
  10. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend das Abscheiden einer titanhaltigen Schicht über und in einem Kontakt mit der p-Austrittsarbeitsschicht.
  11. Verfahren nach einem der vorhergehenden Ansprüche, wobei ein Verhältnis eines Aluminiumatomprozentsatzes zu einem Kohlenstoffatomprozentsatz in der Austrittsarbeitsabstimmschicht in einem Bereich zwischen etwa 0,1 und etwa 4 liegt.
  12. Integrierte Schaltungsstruktur, aufweisend einen Halbleiterbereich; einen Gatestapel über dem Halbleiterbereich, wobei der Gatestapel eine Gatedielektrikumsschicht mit einem hohen k-Wert; eine Austrittsarbeitsabstimmschicht auf der dielektrischen Schicht mit einem hohen k-Wert, wobei die Austrittsarbeitsabstimmschicht Aluminium und Kohlenstoff enthält; und eine p-Austrittsarbeitsschicht über der Austrittsarbeitsabstimmschicht; aufweist, und einen p-Source/Drain-Bereich an einer Seite des Gatestapels.
  13. Integrierte Schaltungsstruktur nach Anspruch 12, wobei sich in der Austrittsarbeitsabstimmschicht keine Titan- und Stickstoffspitzen finden.
  14. Integrierte Schaltungsstruktur nach Anspruch 12 oder 13, wobei ein Verhältnis eines Aluminiumatomprozentsatzes zu einem Kohlenstoffatomprozentsatz in der Austrittsarbeitsabstimmschicht in einem Bereich zwischen etwa 0,1 und etwa 4 liegt.
  15. Integrierte Schaltungsstruktur nach einem der vorhergehenden Ansprüche 12 bis 14, wobei ein Verhältnis einer ersten Dicke der Austrittsarbeitsabstimmschicht zu einer zweiten Dicke der dielektrischen Schicht mit einem hohen k-Wert in einem Bereich zwischen etwa 0,08 und etwa 2,5 liegt.
  16. Integrierte Schaltungsstruktur nach einem der vorhergehenden Ansprüche 12 bis 15, wobei die p-Austrittsarbeitsschicht Titannitrid enthält.
  17. Integrierte Schaltungsstruktur, aufweisend einen ersten Transistor, aufweisend einen ersten Halbleiterbereich; einen ersten Gateabstandshalter und einen zweiten Gateabstandshalter über dem ersten Halbleiterbereich; und einen ersten Gatestapel über dem ersten Halbleiterbereich und zwischen dem ersten Gateabstandshalter und dem zweiten Gateabstandshalter, wobei der erste Gatestapel eine erste dielektrische Schicht mit einem hohen k-Wert auf dem ersten Halbleiterbereich; eine n-Austrittsarbeitsschicht über und in einem physischen Kontakt mit der ersten dielektrischen Schicht mit einem hohen k-Wert; eine erste p-Austrittsarbeitsschicht über und in einem Kontakt mit der n-Austrittsarbeitsschicht aufweist; und einen Metallbereich über und in einem Kontakt mit der ersten p-Austrittsarbeitsschicht; und einen p-Source/Drain-Bereich an einer Seite des ersten Gatestapels.
  18. Integrierte Schaltungsstruktur nach Anspruch 17, ferner aufweisend einen zweiten Transistor, wobei der zweite Transistor einen zweiten Halbleiterbereich; und einen zweiten Gatestapel, aufweisend eine zweite dielektrische Schicht mit einem hohen k-Wert auf dem zweiten Halbleiterbereich; und eine zweite p-Austrittsarbeitsschicht in einem physischen Kontakt mit der zweiten dielektrischen Schicht mit einem hohen k-Wert, aufweist.
  19. Integrierte Schaltungsstruktur nach Anspruch 17 oder 18, wobei die n-Austrittsarbeitsschicht Aluminium und Kohlenstoff enthält.
  20. Integrierte Schaltungsstruktur nach einem der vorhergehenden Ansprüche 17 bis 19, wobei ein Verhältnis eines Aluminiumatomprozentsatzes zu einem Kohlenstoffatomprozentsatz in der n-Austrittsarbeitsschicht in einem Bereich zwischen etwa 0,1 und etwa 4 liegt.
DE102023101631.8A 2022-03-04 2023-01-24 Austrittsarbeitsschichten in den gates von p-fets Pending DE102023101631A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263268876P 2022-03-04 2022-03-04
US63/268,876 2022-03-04
US17/804,971 2022-06-01
US17/804,971 US20230282712A1 (en) 2022-03-04 2022-06-01 Work-Function Layers in the Gates of pFETs

Publications (1)

Publication Number Publication Date
DE102023101631A1 true DE102023101631A1 (de) 2023-09-07

Family

ID=87572075

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102023101631.8A Pending DE102023101631A1 (de) 2022-03-04 2023-01-24 Austrittsarbeitsschichten in den gates von p-fets

Country Status (4)

Country Link
US (1) US20230282712A1 (de)
KR (1) KR20230131069A (de)
DE (1) DE102023101631A1 (de)
TW (1) TW202336839A (de)

Also Published As

Publication number Publication date
US20230282712A1 (en) 2023-09-07
TW202336839A (zh) 2023-09-16
KR20230131069A (ko) 2023-09-12

Similar Documents

Publication Publication Date Title
DE102015113184B4 (de) Behandlung vor der Abscheidung und Atomlagenabscheidungs- (ALD) -Prozess und dabei gebildete Strukturen
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102017128577B4 (de) Ätzprofilsteuerung von polysiliziumstrukturen von halbleitervorrichtungen
DE112018003323T5 (de) Verwenden eines mehrschichtigen gate-abstandshalters zur reduzierung der erosion eines halbleiter-fin während einer abstandshalter-strukturierung
DE102019117011B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102019116328A1 (de) Halbleiterbauelement und verfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102019117007A1 (de) Dielektrischer spaltfüllungsprozess für halbleitervorrichtung
DE102020108047A1 (de) Finnen-feldeffekttransistor-bauelement und verfahren zum bilden desselben
DE102019128758A1 (de) Verfahren zur herstellung von halbleitervorrichtungen undhalbleitervorrichtungen
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102020124234A1 (de) Metallgates und verfahren zu ihrer herstellung
DE102018101016B4 (de) Verfahren zum Schneiden von Metall-Gates und daraus gebildete Strukturen
DE102021115000A1 (de) Kontaktwiderstandsreduzierung für transistoren
DE102021120584A1 (de) Dielektrische schicht auf halbleitervorrichtung und verfahren zum bilden derselben
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102021105456A1 (de) Halbleitervorrichtung und verfahren
DE102021112360A1 (de) Halbleitervorrichtung und verfahren
DE102023101631A1 (de) Austrittsarbeitsschichten in den gates von p-fets
DE102020114860A1 (de) Transistor-gates und verfahren zum bilden davon
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102020119452A1 (de) Halbleitervorrichtung und Verfahren
DE102022101976A1 (de) Nfet mit aluminiumfreier austrittsarbeitsschicht und dessen herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed