DE102021109764A1 - Halbleitervorrichtungsstruktur und deren herstellungsverfahren - Google Patents

Halbleitervorrichtungsstruktur und deren herstellungsverfahren Download PDF

Info

Publication number
DE102021109764A1
DE102021109764A1 DE102021109764.9A DE102021109764A DE102021109764A1 DE 102021109764 A1 DE102021109764 A1 DE 102021109764A1 DE 102021109764 A DE102021109764 A DE 102021109764A DE 102021109764 A1 DE102021109764 A1 DE 102021109764A1
Authority
DE
Germany
Prior art keywords
layer
semiconductor device
gate
device structure
formed over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021109764.9A
Other languages
English (en)
Inventor
Mao-Lin Huang
Lung-Kun Chu
Chung-Wei Hsu
Jia-Ni YU
Chun-Fu Lu
Kuo-Cheng Chiang
Kuan-Lun Cheng
Chih-Hao Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021109764A1 publication Critical patent/DE102021109764A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

Eine Halbleitervorrichtungsstruktur ist bereitgestellt. Die Halbleitervorrichtungsstruktur weist eine Finnenstruktur, die über einem Substrat gebildet ist, und eine Gatestruktur auf, die über der Finnenstruktur gebildet ist. Die Gatestruktur weist eine erste Schicht und eine Füllschicht über der ersten Schicht auf. Die Gatestruktur weist eine Schutzschicht auf, die über der Füllschicht der Gatestruktur gebildet ist, und die Schutzschicht ist durch die Füllschicht von der ersten Schicht getrennt.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/151,195 , eingereicht am 19. Februar 2021, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • ALLGEMEINER STAND DER TECHNIK
  • Halbleitervorrichtungen werden in zahlreichen elektronischen Anwendungen verwendet, wie Personal Computern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden typischerweise durch aufeinanderfolgendes Abscheiden von isolierenden oder dielektrischen Schichten, leitfähigen Schichten und halbleitfähigen Schichten von Material über einem Halbleitersubstrat und Strukturieren der verschiedenen Materialschichten unter Verwendung von Lithographie zur Bildung von Schaltungskomponenten und Elementen darauf gefertigt. Viele integrierte Schaltungen werden typischerweise auf einem einzelnen Halbleiterwafer hergestellt und einzelne Dies auf dem Wafer werden durch Sägen zwischen den integrierten Schaltungen entlang einer Ritzlinie vereinzelt. Die einzelnen Dies werden typischerweise separat, zum Beispiel in Multi-Chip-Modulen oder in anderen Arten von Packages, verpackt.
  • Während sich die Halbleiterindustrie zu Nanometertechnologieprozessknoten in dem Bestreben nach höherer Vorrichtungsdichte, höherer Leistung und geringeren Kosten entwickelt hat, haben Herausforderungen sowohl durch Fertigungs- als auch Designprobleme zur Entwicklung dreidimensionaler Designs geführt.
  • Obwohl bestehende Halbleitervorrichtungen im Allgemeinen für ihren beabsichtigten Zweck angemessen sind, sind sie nicht in jeder Hinsicht vollkommend zufriedenstellen.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A - 1K zeigen perspektivische Darstellungen verschiedener Stufen zur Bildung einer Halbleitervorrichtungsstruktur gemäß manchen Ausführungsformen der Offenbarung.
    • 2A zeigt eine Querschnittsdarstellung der Halbleitervorrichtungsstruktur entlang Linie AA', gezeigt in iI gemäß manchen Ausführungsformen der Offenbarung.
    • 2B zeigt eine Querschnittsdarstellung der Halbleitervorrichtungsstruktur entlang Linie BB', gezeigt in iI, gemäß manchen Ausführungsformen der Offenbarung.
    • 3A zeigt eine Querschnittsdarstellung der Halbleitervorrichtungsstruktur entlang Linie AA', gezeigt in FIG.iJ, gemäß manchen Ausführungsformen der Offenbarung.
    • 3B zeigt eine Querschnittsdarstellung der Halbleitervorrichtungsstruktur entlang Linie BB', gezeigt in FIG.iJ, gemäß manchen Ausführungsformen der Offenbarung
    • 4A zeigt eine Querschnittsdarstellung der Halbleitervorrichtungsstruktur entlang Linie AA', gezeigt in 1K, gemäß manchen Ausführungsformen der Offenbarung.
    • 4B zeigt eine Querschnittsdarstellung der Halbleitervorrichtungsstruktur entlang Linie BB', gezeigt in 1K, gemäß manchen Ausführungsformen der Offenbarung.
    • 5A - 5K zeigen Querschnittsdarstellungen verschiedener Stufen zur Bildung der Halbleitervorrichtungsstruktur gemäß manchen Ausführungsformen der Offenbarung.
    • 6A - 6C zeigen Querschnittsdarstellungen verschiedener Stufen zur Bildung einer Halbleitervorrichtungsstruktur gemäß manchen Ausführungsformen der Offenbarung.
    • 7A - 7E zeigen Querschnittsdarstellungen verschiedener Stufen zur Bildung der Halbleitervorrichtungsstruktur gemäß manchen Ausführungsformen der Offenbarung.
    • 8A - 81 zeigen Querschnittsdarstellungen verschiedener Stufen zur Bildung einer Halbleitervorrichtungsstruktur gemäß manchen Ausführungsformen der Offenbarung.
    • 9A - 9E zeigen Querschnittsdarstellungen verschiedener Stufen zur Bildung einer Halbleitervorrichtungsstruktur gemäß manchen Ausführungsformen der Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands bereit. Spezifische Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich bloß Beispiele und nicht beabsichtigt einschränkend zu sein. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen enthalten, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen enthalten, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, sodass das erste und das zweite Merkmal nicht in direktem Kontakt sein könnten. Zusätzlich kann die vorliegende Offenbarung Referenznummern und/oder -buchstaben in den unterschiedlichen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und gibt selbst keine Beziehung zwischen den unterschiedlichen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Es sind manche Variationen der Ausführungsformen beschrieben. In den verschiedenen Ansichten und veranschaulichenden Ausführungsformen werden gleiche Bezugszeichen zum Bezeichnen gleicher Elemente verwendet. Es sollte klar sein, dass zusätzliche Operationen vor, während und nach dem Verfahren bereitgestellt sein können und einige der beschriebenen Operationen durch andere Ausführungsformen des Verfahrens ersetzt oder für diese eliminiert werden können.
  • Die unten beschriebenen Gate-all-Around-Transistorstrukturen (GAA-Transistorstrukturen) können durch jedes geeignete Verfahren strukturiert werden. Zum Beispiel können die Strukturen unter Verwendung eines oder mehrerer Fotolithografieprozesse strukturiert werden, umfassend Doppelstrukturierungs- oder Multi-Strukturierungsprozesse. Im Allgemeinen kombinieren Doppelstrukturierungs- oder Multi-Strukturierungsprozesse Fotolithografie und selbstausgerichtete Prozesse, wodurch Strukturen erzeugt werden können, die zum Beispiel kleinere Abstände haben als andernfalls mit einem einzigen, direkten Fotolithografieprozess erhältlich wären. Zum Beispiel wird in einer Ausführungsform eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Fotolithografieprozesses strukturiert. Abstandhalter werden unter Verwendung eines selbstausgerichteten Prozesses entlang der strukturierten Opferschicht gebildet. Die Opferschicht wird dann entfernt und die verbleibenden Abstandhalter können dann zum Strukturieren der GAA-Struktur verwendet werden.
  • Ausführungsformen zur Bildung einer Halbleitervorrichtungsstruktur sind bereitgestellt. 1A - 1K zeigen perspektivische Darstellungen verschiedener Stufen zur Bildung einer Halbleitervorrichtungsstruktur 100a gemäß manchen Ausführungsformen der Offenbarung. Die Halbleitervorrichtungsstruktur 100a ist eine Gate-all-Around- (GAA) Transistorstruktur. In manchen anderen Ausführungsformen, wenn die Halbleitervorrichtungsstruktur 100a eine FinFET-Vorrichtungsstruktur ist, ist eine Finnenstruktur über einem Substrat gebildet. Die Gatestruktur 150 (gezeigt in 5H) ist über der Finnenstruktur gebildet.
  • Wie in 1A gezeigt, ist ein Substrat 102 gemäß manchen Ausführungsformen bereitgestellt. Das Substrat 102 kann aus Silizium oder anderen Halbleitermaterialien hergestellt sein. Alternativ oder zusätzlich kann das Substrat 102 andere elementare Halbleitermaterialien wie Germanium enthalten. In manchen Ausführungsformen ist das Substrat 102 aus einem Verbindungshalbleiter wie Siliziumcarbid, Galliumarsen, Indiumarsenid oder Indiumphosphid hergestellt. In manchen Ausführungsformen ist das Substrat 102 aus einem Legierungshalbleiter wie Siliziumgermanium, Siliziumgermaniumcarbid, Galliumarsenphosphid oder Galliumindiumphosphid hergestellt. In manchen Ausführungsformen weist das Substrat 102 eine epitaktische Schicht auf. Zum Beispiel weist das Substrat 102 eine epitaktische Schicht auf, die über einem Bulk-Halbleiter liegt.
  • Eine Anzahl erster Halbleiterschichten 104 und eine Anzahl zweiter Halbleiter Schichten 106 werden der Reihe nach abwechselnd über dem Substrat 102 gebildet. Die Halbleiterschichten 104 und 106 sind vertikal gestapelt, um eine gestapelte Nanodrahtstruktur (oder gestapelte Nanostrukturen) zu bilden.
  • In manchen Ausführungsformen enthalten die ersten Halbleiterschichten 104 und die zweiten Halbleiterschichten 106 unabhängig Silizium (Si), Germanium (Ge), Siliziumgermanium (Si1-xGex, 0,1 <x<0,7, der Wert x ist der Atomprozentsatz von Germanium (Ge) im Siliziumgermanium), Indiumarsenid (InAs), Indiumgalliumarsenid (InGaAs), Indiumantimonid (InSb) oder ein anderes anwendbares Material. In manchen Ausführungsformen sind die erste Halbleiterschicht 104 und die zweite Halbleiterschicht 106 aus verschiedenen Materialien hergestellt.
  • Die ersten Halbleiterschichten 104 und die zweiten Halbleiterschichten 106 sind aus verschiedenen Materialien mit unterschiedlicher Gitterkonstante hergestellt. In manchen Ausführungsformen ist die erste Halbleiterschicht 104 aus Silizium (Si) hergestellt und die zweite Halbleiterschicht 106 ist aus Siliziumgermanium (Si1-xGex, 0,1 <x<0,7) hergestellt. In manchen anderen Ausführungsformen ist die erste Halbleiterschicht 104 aus Siliziumgermanium (Si1-xGex, 0,1 <x<0,7) hergestellt und die zweite Halbleiterschicht 106 ist aus Silizium (Si) hergestellt.
  • In manchen Ausführungsformen werden die ersten Halbleiterschichten 104 und die zweiten Halbleiterschichten 106 durch einen selektiven epitaktischen Wachstumsprozess (SEG-Prozess), einen chemischen Dampfphasenabscheidungsprozess (CVD-Prozess) (z.B. Niederdruck-CVD (LPCVD), plasmaverstärkte CVD (PECVD)), einen molekularen Epitaxieprozess oder einen anderen anwendbaren Prozess gebildet. In manchen Ausführungsformen werden die ersten Halbleiterschichten 104 und die zweiten Halbleiterschichten 106 in-situ in derselben Kammer gebildet.
  • In manchen Ausführungsformen ist die Dicke jeder der ersten Halbleiterschichten 104 in einem Bereich von etwa 1,5 Nanometer (nm) bis etwa 20 nm. Begriffe wie „etwa“ in Verbindung mit einer bestimmten Distanz oder Größe sind so auszulegen, dass sie eine unwesentliche Abweichung von der spezifizierten Distanz oder Größe nicht ausschließen und zum Beispiel Abweichungen bis zu 20 % enthalten können. In manchen Ausführungsformen sind die ersten Halbleiterschichten 104 im Wesentlichen von gleichförmiger Dicke. In manchen Ausführungsformen ist die Dicke jeder der zweiten Halbleiterschichten 106 in einem Bereich von etwa 1,5 nm bis etwa 20 nm. In manchen Ausführungsformen sind die zweiten Halbleiterschichten 106 im Wesentlichen von gleichförmiger Dicke.
  • Anschließend, wie in 1B gezeigt, werden gemäß manchen Ausführungsformen die ersten Halbleiterschichten 104 und die zweiten Halbleiterschichten 106 strukturiert, um eine Finnenstruktur 110 zu bilden.
  • Anschließend, wie in 1C gezeigt, wird eine Isolationsstruktur 114 gemäß manchen Ausführungsformen über dem Substrat 102 gebildet. Die Isolationsstruktur 114 kann eine Grabenisolationsstruktur (STI-Struktur) sein, die die Finnenstruktur 110 umgibt. Der obere Abschnitt der Finnenstruktur 110 liegt über der Isolationsstruktur 114. Ein unterer Abschnitt der Finnenstruktur 110 ist von der Isolationsstruktur 114 umgeben und ein oberer Abschnitt der Finnenstruktur 110 ragt von der Isolationsstruktur 114 vor.
  • Anschließend, wie in 1D gezeigt, wird gemäß manchen Ausführungsformen eine Dummy-Gatedielektrikumschicht 116 über der Finnenstruktur 110 gebildet und dann wird eine Dummy-Gate-Elektrodenschicht 118 auf der Dummy-Gatedielektrikumschicht 116 gebildet. Danach werden die Dummy-Gatedielektrikumschicht 116 und die Dummy-Gate-Elektrodenschicht 118 durch einen Strukturierungsprozess strukturiert. Die Dummy-Gatestruktur 120 wird aus der Dummy-Gatedielektrikumschicht 116 und der Dummy-Gate-Elektrodenschicht 118 konstruiert.
  • Der Strukturierungsprozess umfasst einen Fotolithografieprozess und einen Ätzprozess. Der Fotolithografieprozess umfasst Fotolackbeschichten (z.B. Spin-on-Beschichten), Weichbacken, Maskenausrichtung, Belichtung, Backen nach Belichtung, Entwickeln des Fotolacks, Spülen und Trocknen (z.B. Hartbacken). Der Ätzprozess umfasst einen Trockenätzprozess oder einen Nassätzprozess.
  • Die Dummy-Gate-Elektrodenschicht 118 wird gebildet, um die Finnenstruktur 110 teilweise zu bedecken und sich über diese zu erstrecken. In manchen Ausführungsformen ist die Dummy-Gate-Elektrodenschicht 118 um die Finnenstruktur 110 geschlungen. Die Dummy-Gatedielektrikumschicht 116 kann aus Siliziumoxid hergestellt sein oder dieses enthalten. In manchen Ausführungsformen werden die Dummy-Gatedielektrikumschichten 116 durch einen Abscheidungsprozess, wie chemischen Dampfphasenabscheidungsprozess (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozess (PVD-Prozess), Atomlagenabscheidungsprozess (ALD-Prozess), einen anderen anwendbaren Prozess oder eine Kombination davon gebildet.
  • In manchen Ausführungsformen ist die Dummy-Gate-Elektrodenschicht 118 aus polykristallinem-Silizium (poly-Si) oder poly-kristallinem Silizium-Germanium (poly-SiGe) hergestellt. In manchen Ausführungsformen wird die Dummy-Gate-Elektrodenschicht 118 durch einen Abscheidungsprozess, wie chemischen Dampfphasenabscheidungsprozess (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozess (PVD-Prozess), Atomlagenabscheidungsprozess (ALD-Prozess), einen anderen anwendbaren Prozess oder eine Kombination davon gebildet.
  • Danach wie in 1E gezeigt, wird gemäß manchen Ausführungsformen eine Gate-Abstandhalterschicht 124 an gegenüberliegenden Seitenwänden der Dummy-Gate-Elektrodenschicht 118 und über der Dummy-Gatedielektrikumschicht 116 gebildet. Die Gate-Abstandhalterschicht 124 kann der Dummy-Gatestruktur 120 während folgender Prozesse mehr Schutz bereitstellen.
  • In manchen Ausführungsformen ist die Gate-Abstandhalterschicht 124 aus einem dielektrischen Material, wie Siliziumoxid (SiO2), Siliziumnitrid (SiN), Siliziumcarbid (SiC), Siliziumoxynitrid (SiON), Siliziumcarbonitrid (SiCN), Siliziumoxidcarbonitrid (SiOCN) oder einer Kombination davon hergestellt. In manchen Ausführungsformen wird die Gate-Abstandhalterschicht 124 durch einen Abscheidungsprozess, wie chemischen Dampfphasenabscheidungsprozess (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozess (PVD-Prozess), Atomlagenabscheidungsprozess (ALD-Prozess), einen anderen anwendbaren Prozess oder eine Kombination davon gebildet.
  • Anschließend, wie in 1F gezeigt, wird gemäß manchen Ausführungsformen ein Abschnitt der ersten Halbleiterschichten 104 entfernt, um einen S/D-Graben 129, zu bilden. Der S/D-Graben 129 liegt zwischen zwei benachbarten zweiten Halbleiterschichten 106.
  • Anschließend wird ein anderer Abschnitt der ersten Halbleiterschichten 104 direkt unter der Gate-Abstandhalterschicht 124 entfernt, um einen Hohlraum (nicht gezeigt) zu bilden, und der Hohlraum wird durch den S/D-Graben 129 freigelegt. Danach wird eine Innenabstandhalterschicht 136 in dem Hohlraum gebildet. Die Innenabstandhalterschicht 136 liegt direkt unter der Gate-Abstandhalterschicht 124. Die Innenabstandhalterschicht 136 wird als eine Sperrschicht zwischen einer S/D-Struktur 138 (später gebildet, 1G) und einer Gatestruktur 150 (später gebildet, wie in 1K gezeigt) gebildet. Die Innenabstandhalterschicht 136 kann die parasitäre Kapazität zwischen der S/D-Struktur 138 (später gebildet, 1G) und der Gatestruktur 150 (später gebildet, wie in 1K gezeigt) verringern.
  • Danach, wie in 1F gezeigt, wird gemäß manchen Ausführungsformen eine S/D-Struktur 138 in dem S/D-Graben 129 gebildet. Die S/D-Struktur 138 ist in direktem Kontakt mit der Innenabstandhalterschicht 136.
  • Die S/D-Struktur 138 kann Siliziumgermanium (SiGe), Indiumarsenid (InAs), Indiumgalliumarsenid (InGaAs), Indiumantimonid (InSb), Galliumarsenid (GaAs), Galliumantimonid (GaSb), Indiumaluminiumphosphid (InAlP), Indiumphosphid (InP) oder eine Kombination davon enthalten. Die S/D-Struktur 138 kann mit einem oder mehreren Dotierstoffen dotiert sein In manchen Ausführungsformen ist die S/D-Struktur 138 Silizium (Si), dotiert mit Phosphor (P), Arsen (As), Antimon (Sb) oder einem anderen anwendbaren Dotierstoff. Alternativ ist die S/D-Struktur 138 Siliziumgermanium (SiGe), dotiert mit Bor (B) oder einem anderen anwendbaren Dotierstoff.
  • In manchen Ausführungsformen wird die S/D-Struktur 138 durch einen Epitaxie- oder epitakatischen Prozess (epi-Prozess) gebildet. Der epi-Prozess kann einen selektiven epitaktischen Wachstumsprozess (SEG-Prozess), CVD-Abscheidungstechniken (z.B. Dampfphasenepitaxie (VPE) und/oder Ultra-Hochvakuum-CVD (UHV-CVD)), Molekularstrahlepitaxie oder andere geeignete epi-Prozesse enthalten.
  • Anschließend, wie in 1H gezeigt, wird gemäß manchen Ausführungsformen eine Kontaktätzstoppschicht (CESL) 140 über den S/D-Strukturen 138 gebildet und eine Zwischendielektrikumschicht (ILD-Schicht) 142 wird über der CESL 140 gebildet. Anschließend wird ein Abschnitt der ILD-Schicht 142 entfernt, um die obere Oberfläche der Dummy-Gate-Elektrodenschicht 118 freizulegen. In manchen Ausführungsformen wird der Abschnitt der ILD-Schicht 142 durch einen Planarisierungsprozess, einen chemischmechanischen Polierprozess (CMP-Prozess) entfernt.
  • In manchen Ausführungsformen ist die CESL 140 aus Siliziumnitrid, Siliziumoxynitrid und/oder anderen anwendbaren Materialien hergestellt. Die CESL 140 kann durch einen plasmaverstärkten chemischen Dampfphasenabscheidungsprozess (CVD-Prozess), Niederdruck-CVD-Prozess, Atomlagenabscheidungsprozess (ALD-Prozess) oder andere anwendbare Prozesse gebildet werden.
  • Die ILD-Schicht 142 kann mehrere Schichten aufweisen, die aus mehreren dielektrischen Materialien hergestellt sind, wie Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Phosphosilicatglas (PSG), Borphosphosilicatglas (BPSG), Low-k dielektrischem Material und/oder anderen anwendbaren dielektrischen Materialien. Beispiele für Low-k dielektrische Materialien enthalten, ohne aber darauf beschränkt zu sein, fluoriertes Silicaglas (FSG), kohlenstoffdotiertes Siliziumoxid, amorphen fluorierten Kohlenstoff, Parylen, bis-Benzocyclobutene (BCB) oder Polyimid. Die ILD-Schicht 142 kann durch einen chemischen Dampfphasenabscheidungsprozess (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozess (PVD-Prozess), Atomlagenabscheidungsprozess (ALD-Prozess), Spin-on-Beschichtungsprozess oder andere anwendbare Prozesse gebildet werden.
  • Danach, wie in 1I gezeigt, wird gemäß manchen Ausführungsformen die Dummy-Gatestruktur 120 entfernt, um einen Graben 143 in der ILD-Schicht 142 zu bilden. Die Dummy-Gatedielektrikumschicht 116 und die Dummy-Gate-Elektrodenschicht 118 werden durch einen Ätzprozess, wie einen Trockenätzprozess oder einen Nassätzprozess, entfernt.
  • 2A zeigt eine Querschnittsdarstellung der Halbleitervorrichtungsstruktur entlang Linie AA', gezeigt in iI, gemäß manchen Ausführungsformen der Offenbarung. 2B zeigt eine Querschnittsdarstellung der Halbleitervorrichtungsstruktur entlang Linie BB', gezeigt in iI, gemäß manchen Ausführungsformen der Offenbarung.
  • Wie in 2A und 2B gezeigt, werden die ersten Halbleiterschichten 104 und die zweiten Halbleiterschichten 106 durch den Graben 143 freigelegt.
  • Danach, wie in 1J gezeigt, werden gemäß manchen Ausführungsformen die ersten Halbleiterschichten 104 entfernt, um eine Anzahl von Spalten 145 der Offenbarung zu bilden. Jede der Spalten 145 wird zwischen zwei benachbarten zweiten Halbleiterschichten 106 gebildet. Da die ersten Halbleiterschichten 104 und die zweiten Halbleiterschichten 106 aus verschiedenen Materialien hergestellt sind, haben sie unterschiedliche Ätzselektivität. Daher werden die ersten Halbleiterschichten 104 entfernt, aber die zweiten Halbleiterschichten 106 verbleiben.
  • Die verbleibenden zweiten Halbleiterschichten 106 werden als Kanalgebiet der Halbleitervorrichtungsstruktur 100a verwendet. In manchen Ausführungsformen können die zweiten Halbleiterschichten 106 als „Nanostrukturen“, „Nanodrähte“ oder „Nanoblätter“ bezeichnet werden. Daher weist die erste Finnenstruktur 110 eine Anzahl von Nanostrukturen auf, die in einer vertikalen Richtung gestapelt sind.
  • 3A zeigt eine Querschnittsdarstellung der Halbleitervorrichtungsstruktur entlang Linie AA', gezeigt in FIG.IJ, gemäß manchen Ausführungsformen der Offenbarung. 3B zeigt eine Querschnittsdarstellung der Halbleitervorrichtungsstruktur entlang Linie BB', gezeigt in FIG.IJ, gemäß manchen Ausführungsformen der Offenbarung.
  • Wie in 3A und 3B gezeigt, liegen die Spalten 145 zwischen zwei benachbarten zweiten Halbleiterschichten 106 und die Spalten 145 sind durch den Graben 143 freigelegt.
  • Anschließend, wie in 1K gezeigt, werden gemäß manchen Ausführungsformen der Offenbarung eine Gatedielektrikumschicht 152, eine erste Schicht 154, eine zweite Schicht 156 und eine Füllschicht 158 in dem Graben 143 und den Spalten 145 gebildet. Eine Gatestruktur 150 wird durch die Gatedielektrikumschicht 152, die erste Schicht 154 und die zweite Schicht 156 und die Füllschicht 158 konstruiert. Anschließend wird eine Schutzschicht 160 auf der Füllschicht 158 gebildet und eine Isolierschicht 162 wird über der Schutzschicht 160 gebildet. Die erste Schicht 154 und die zweite Schicht 156 sind aus verschiedenen Materialien hergestellt. Die erste Schicht 154, die zweite Schicht 156 und die Füllschicht 158 sind aus verschiedenen Materialien hergestellt. Die Isolierschicht 162 weist einen hervorstehenden Abschnitt in direktem Kontakt mit der Gatedielektrikumschicht 152 auf.
  • 4A zeigt eine Querschnittsdarstellung der Halbleitervorrichtungsstruktur entlang Linie AA', gezeigt in FIG.iK, gemäß manchen Ausführungsformen der Offenbarung. 4B zeigt eine Querschnittsdarstellung der Halbleitervorrichtungsstruktur entlang Linie BB', gezeigt in 1K, gemäß manchen Ausführungsformen der Offenbarung.
  • Wie in 4A und 4B gezeigt, hat die erste Schicht 154 eine U-förmige Struktur und die zweite Schicht 156 ist über der ersten Schicht 154 gebildet. Die Füllschicht 158 ist von der ersten Schicht 152 durch die zweite Schicht 154 getrennt und die Schutzschicht 160 ist von der ersten Schicht 152 durch die zweite Schicht 154 und die Füllschicht 158 getrennt. Die Schutzschicht 160 wird selektiv auf der Füllschicht 158 und der zweiten Schicht 154, nicht aber auf der Gatedielektrikumschicht 152 gebildet.
  • 5A - 5K zeigen Querschnittsdarstellungen verschiedener Stufen zur Bildung der Halbleitervorrichtungsstruktur 100a gemäß manchen Ausführungsformen der Offenbarung. 5A zeigt ein vergrößertes Gebiet A von 3B gemäß manchen Ausführungsformen der Offenbarung. 5A - 5K zeigen die einzelnen Prozesse zur Bildung der Gatestruktur 150 in dem Graben 143 und in den Spalten 145.
  • Wie in 5A gezeigt, wird die Gatedielektrikumschicht 152 in dem Graben 143 und auf der Gate-Abstandhalterschicht 124 gebildet. Der Graben 143 wird nicht vollständig mit der Gatedielektrikumschicht 152 gefüllt.
  • In manchen Ausführungsformen ist die Gatedielektrikumschicht 152 eine High-k Dielektrikumschicht. In manchen Ausführungsformen ist die high-k Gatedielektrikumschicht aus einer oder mehreren Schichten eines dielektrischen Materials, wie HfO2, HfSiO, HfSiON, HfTaO, HfriO, HfZrO, Zirconiumoxid, Aluminiumoxid, Titanoxid, Hafniumdioxid-Aluminiumoxid-Legierung (HfO2-Al2O3-Legierung), einem anderen geeigneten High-k dielektrischen Material oder einer Kombination davon gebildet. In manchen Ausführungsformen wird die Gatedielektrikumschicht 152 unter Verwendung eines chemischen Dampfphasenabscheidungsprozesses (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozesses (PVD-Prozess), Atomlagenabscheidungsprozesses (ALD-Prozess), eines anderen anwendbaren Prozesses oder einer Kombination davon gebildet.
  • Anschließend, wie in 5B gezeigt, wird die erste Schicht 154 gemäß manchen Ausführungsformen der Offenbarung über der Gatedielektrikumschicht 152 gebildet. Die erste Schicht 154 wird konform in dem Graben 143 gebildet.
  • Die erste Schicht 154 ist eine leitfähige Schicht. Die erste Schicht 154 kann eine einzelne Schicht oder eine Mehrfachschicht sein. In manchen Ausführungsformen enthält die erste Schicht 154 ein n-Austrittsarbeitsmaterial. In manchen Ausführungsformen enthält die erste Schicht 154 ein Si-haltiges Material, ein Al-haltiges Material oder eine Kombination davon. In manchen Ausführungsformen ist das Si-haltige Material aus TiSiN, TiSiC, TiSiAlC oder einer Kombination davon hergestellt. In manchen Ausführungsformen ist das Al-haltige Material aus TiAlC, TaAlC, TiSiAlC, TiAlN, AlN oder einer Kombination davon hergestellt. In manchen Ausführungsformen wird die erste Schicht 154 unter Verwendung eines chemischen Dampfphasenabscheidungsprozesses (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozesses (PVD-Prozess), Atomlagenabscheidungsprozesses (ALD-Prozess), eines anderen anwendbaren Verfahrens oder einer Kombination davon gebildet.
  • Danach, wie in 5C gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung eine Dummy-Schicht 153 über der ersten Schicht 154 und in dem Graben 143 gebildet. Der Graben 143 wird vollständig mit der Gatedielektrikumschicht 152, der ersten Schicht 154 und der Dummy-Schicht 153 gefüllt.
  • Die Dummy-Schicht 153 wird zum Schutz darunterliegender Schichten verwendet. In manchen Ausführungsformen ist die Dummy-Schicht 153 aus Spin-on-Glas (SOG), Spin-on-Kohlenstoff (SOC), Antireflexionsbeschichtung (ARC), einem anderen anwendbaren Material oder einer Kombination davon gebildet. In manchen Ausführungsformen wird die Dummy-Schicht 153 unter Verwendung eines chemischen Dampfphasenabscheidungsprozesses (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozesses (PVD-Prozess), Atomlagenabscheidungsprozesses (ALD), eines anderen anwendbaren Prozesses oder einer Kombination davon gebildet.
  • Danach, wie in 5D gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung ein Abschnitt der Dummy-Schicht 153 entfernt. Infolgedessen wird ein Abschnitt der ersten Schicht 154 freigelegt. In manchen Ausführungsformen wird der Abschnitt der Dummy-Schicht 153 durch einen Ätzprozess, wie einen Nassätzprozess oder einen Trockenätzprozess, entfernt.
  • Danach, wie in 5E gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung ein Abschnitt der ersten Schicht 154 unter Verwendung der verbleibenden Dummy-Schicht 153 als eine Maske entfernt, um einen Abschnitt der Gatedielektrikumschicht 152 freizulegen. Die verbleibende erste Schicht 154, die von der Dummy-Schicht 153 bedeckt ist, wird nicht entfernt. Die obere Oberfläche der ersten Schicht 154 liegt tiefer als die obere Oberfläche der Gate-Abstandhalterschicht 124.
  • Anschließend, wie in 5F gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die Dummy-Schicht 153 entfernt. In manchen Ausführungsformen wird die Dummy-Schicht 153 durch einen Ätzprozess, wie einen Nassätzprozess oder einen Trockenätzprozess, entfernt. Infolgedessen weist die erste Schicht 154 eine U-förmige Struktur auf.
  • Der Graben 153 hat eine erste Tiefe D1. In manchen Ausführungsformen ist die erste Tiefe D1 in einem Bereich von etwa 30 nm bis etwa 200 nm. Die verbleibende erste Schicht 154 hat eine zweite Tiefe D2. In manchen Ausführungsformen ist die zweite Tiefe D2 in einem Bereich von etwa 1 nm bis etwa 10 nm.
  • Anschließend, wie in 5G gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die zweite Schicht 156 über der ersten Schicht 154 und in dem Graben 143 gebildet und die Füllschicht 158 wird über der zweiten Schicht 156 und der Gate-Abstandhalterschicht 124 gebildet. Anschließend werden ein Abschnitt der zweiten Schicht 156 und ein Abschnitt der Füllschicht 158 außerhalb des Grabens 143 durch einen Planarisierungsprozess, ein chemisch-mechanisches Polieren (CMP) entfernt.
  • Die zweite Schicht 156 ist eine leitfähige Schicht. Die zweite Schicht 156 kann eine einzelne Schicht oder eine Mehrfachschicht sein. In manchen Ausführungsformen weist die zweite Schicht 156 ein p-Austrittsarbeitsmaterial auf. In manchen Ausführungsformen ist die zweite Schicht 156 aus TiN, TaN, WCN, WSi, Ti, Ni, Co oder einer Kombination davon hergestellt. In manchen Ausführungsformen wird die zweite Schicht 156 unter Verwendung eines chemischen Dampfphasenabscheidungsprozesses (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozesses (PVD-Prozess), Atomlagenabscheidungsprozesses (ALD-Prozess), eines anderen anwendbaren Prozesses oder einer Kombination davon gebildet.
  • Die Füllschicht 158 ist auch eine leitfähigen Schicht. Die Füllschicht 158 kann eine einzelne Schicht oder eine Mehrfachschicht sein. In manchen Ausführungsformen ist die Füllschicht 158 aus Aluminium, Kupfer, Titan, Tantal, Wolfram, Kobalt, Molybdän, Tantalnitrid, Nickelsilicid, Kobaltsilicid, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, Metalllegierungen, einem anderen geeigneten Material oder einer Kombination davon hergestellt. In manchen Ausführungsformen wird die Füllschicht 158 unter Verwendung eines chemischen Dampfphasenabscheidungsprozesses (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozesses (PVD-Prozess), Atomdampfphasenabscheidungsprozess (ALD-Prozess), Elektroplattieren, eines anderen anwendbaren Verfahrens oder einer Kombination davon gebildet.
  • Anschließend, wie in 5H gezeigt, werden gemäß manchen Ausführungsformen der Offenbarung ein Abschnitt der Gatedielektrikumschicht 152, ein Abschnitt der zweiten Schicht 156 und ein Abschnitt der Füllschicht 158 entfernt. Infolgedessen ist die obere Oberfläche der Füllschicht 158 niedriger als die obere Oberfläche der Gate-Abstandhalterschicht 124. In manchen Ausführungsformen ist die obere Oberfläche der Füllschicht 158 im Wesentlichen in einer Ebene mit der oberen Oberfläche der zweiten Schicht 156 und der oberen Oberfläche der Gatedielektrikumschicht 152. Die Füllschicht 158 hat eine T-förmige Struktur.
  • Der Abschnitt der Gatedielektrikumschicht 152, der Abschnitt der zweiten Schicht 156 und der Abschnitt der Füllschicht 158 werden durch einen Ätzprozess, wie einen Nassätzprozess oder einen Trockenätzprozess, entfernt.
  • Es gibt eine dritte Tiefe D3, die von der oberen Oberfläche der zweiten Schicht 156 zu der oberen Oberfläche der ersten Schicht 154 gemessen wird. In manchen Ausführungsformen ist die dritte Tiefe D3 in einem Bereich von etwa 1 nm bis etwa 20 nm.
  • Anschließend, wie in 5I gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die Schutzschicht 160 auf der Füllschicht 158 und auf der zweiten Schicht 156 gebildet. Die Schutzschicht 160 wird auf der freigelegten oberen Oberfläche der Füllschicht 158 und der freigelegten oberen Oberfläche der zweiten Schicht 156 gebildet. Die obere Oberfläche der zweiten Schicht 156 ist in direktem Kontakt mit der unteren Oberfläche der Schutzschicht 160. Die obere Oberfläche der Füllschicht 158 ist in direktem Kontakt mit der unteren Oberfläche der Schutzschicht 160. Die Füllschicht 158 ist von der zweiten Schicht 156 und der Schutzschicht 160 umgeben.
  • Der Oberflächenbehandlungsprozess wird verwendet, um die obere Oberfläche der Füllschicht 158 und die zweite Schicht 156 zu aktivieren. In manchen Ausführungsformen umfasst der Oberflächenbehandlungsprozess Verwenden von Wasserstoffgas (H2-Gas). Wenn Wasserstoffgas (H2-Gas) verwendet wird, wird das native Metalloxid auf der oberen Oberfläche der Schicht 158 und oberen Oberfläche der zweiten Schicht 156 entfernt und dann werden Wasserstoffradikale auf der oberen Oberfläche gebildet. Übrigens wird die dielektrische Gate-Abstandhalterschicht 124 nicht mit Wasserstoff zur Reaktion gebracht, Daher werden die Wasserstoffradikale selektiv auf der oberen Oberfläche der Füllschicht 158 und der zweiten Schicht 156 gebildet, um die Bildung der Schutzschicht 160 zu erleichtern.
  • Anschließend wird die Schutzschicht 160 durch einen Abscheidungsprozess gebildet. Der Abscheidungsprozess umfasst Zuleiten eines Vorläufers nur auf der oberen Oberfläche der Füllschicht 158 und auf der oberen Oberfläche der zweiten Schicht 156, nicht aber auf der dielektrischen Gate-Abstandhalterschicht 124. In manchen Ausführungsformen enthält der Vorläufer Wolfram-haltiges Material (W-haltiges Material), wie Wolframhexafluorid (WF6) oder Wolframhexachlorid (WCl6). Der Vorläufer reagiert mit den Wasserstoffradikalen zur Bildung der Schutzschicht 160.
  • Die Schutzschicht 160 wird als eine Ätzstoppschicht zum Schutz der darunterliegenden Schichten verwendet. Zusätzlich hat die Schutzschicht 160 einen niederen Gate-Widerstand (Rg). Wenn die Schutzschicht 160 zu dünn ist oder nicht gut gebildet ist, ist die Schutzwirkung nicht gut genug.
  • Es sollte festgehalten werden, dass die Schutzschicht 160 selektiv auf leitfähigem Material (wie der Füllschicht 158 und der zweiten Schicht 156) gebildet wird, nicht aber auf dem Isoliermaterial (z.B. der Gatedielektrikumschicht 152) gebildet wird. In manchen Ausführungsformen enthält die erste Schicht 154 ein Si-haltiges Material, ein Al-haltiges Material oder eine Kombination davon. In manchen Ausführungsformen wird die Schutzschicht 160 nicht auf der ersten Schicht 154 gebildet, da das Material der ersten Schicht 154 leicht zu oxidieren ist, um isolierend zu werden (z.B. Metalloxidschicht).
  • Da die Schutzschicht 160 nicht auf der ersten Schicht 154 gebildet wird, wird, wenn die erste Schicht 154 nach dem Prozess von 5H freiliegt, die freigelegte erste Schicht durch die anschließenden Ätzprozesse (zur Bildung einer Öffnung, um eine Kontaktstruktur zu bilden) geätzt oder beschädigt. Die erste Schicht 154 liegt nicht frei und ist durch die zweite Schicht 156 und die Füllschicht 158 bedeckt. Die Bildungsqualität der Schutzschicht 160 wird durch Verwendung der Füllschicht 158 zwischen der ersten Schicht 154 und der Schutzschicht 160 verbessert.
  • Zusätzlich ist die Schutzschicht 160 von der ersten Schicht 154 durch die zweite Schicht 156 und die Füllschicht 158 getrennt. Die Füllschicht 158 ist von der ersten Schicht 154 durch die zweite Schicht 156 getrennt.
  • Die Schutzschicht 160 hat eine erste Dicke T1. In manchen Ausführungsformen ist die erste Dicke T1 in einem Bereich von etwa 1 nm bis etwa 20 nm. Wenn die Dicke zu gering ist, ist die Schutzwirkung nicht gut genug. Wenn die Dicke zu groß ist, ist die abschließende Gate-Höhe zu hoch, was zu einer großen Gate-zu-Source-Kapazität führt, die zu einer Leistungsverschlechterung des Wechselstroms (AC) der Vorrichtung führt.
  • Anschließend, wie in 5J gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die Isolierschicht 162 in dem Graben 143 und auf der Schutzschicht 160 und auf der Gatedielektrikumschicht 152 gebildet. Die Isolierschicht 162 weist einen hervorstehenden Abschnitt in direktem Kontakt mit der Gatedielektrikumschicht 152 auf.
  • In manchen Ausführungsformen ist die Isolierschicht 162 aus SiO2, Si3N4, SiON, SiOCN, SiOCH oder einem anderen anwendbaren Material hergestellt. In manchen Ausführungsformen wird die Isolierschicht 162 durch einen chemischen Dampfphasenabscheidungsprozess (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozess (PVD-Prozess), Atomlagenabscheidungsprozess (ALD-Prozess), Spin-on-Beschichtungsprozess oder andere anwendbare Prozesse gebildet.
  • Anschließend, wie in 5K gezeigt werden gemäß manchen Ausführungsformen der Offenbarung eine Ätzstoppschicht 164 und eine zweite Dielektrikumschicht 166 auf der Gate-Abstandhalterschicht 124 und der Isolierschicht 162 gebildet. Eine Öffnung (nicht gezeigt) wird durch die zweite Dielektrikumschicht 166 und die Ätzstoppschicht 164 und die Isolierschicht 162 gebildet und dann werden eine Sperrschicht 168 und eine leitfähige Schicht 170 in der Öffnung gebildet. Eine Gatekontaktstruktur 172 weist eine U-förmige Sperrschicht 168 und die leitfähige Schicht 170 auf und die U-förmige Sperrschicht 168 ist in direktem Kontakt mit der Schutzschicht 160. Die Gatekontaktstruktur 172 geht durch die Isolierschicht 162, die Ätzstoppschicht 164 und die zweite Dielektrikumschicht 166. Die Gatekontaktstruktur 172 ist durch die Schutzschicht 160 elektrisch mit der Gatestruktur 150 verbunden.
  • In manchen Ausführungsformen ist die Sperrschicht 168 aus Tantal (Ta), Tantalnitrid (TaN), Titan (Ti), Titannitrid (TiN), Kobaltwolfram (CoW) oder einem anderen anwendbaren Material hergestellt. In manchen Ausführungsformen ist die Sperrschicht 168 aus Ti/TiN/W hergestellt und Wolfram (W) in der Sperrschicht 168 hat eine kleinere Korngröße als die Korngröße der leitfähigen Schicht 170, wenn die leitfähige Schicht 168 aus Wolfram (W) hergestellt ist.
  • In manchen Ausführungsformen wird die Sperrschicht 168 durch einen Abscheidungsprozess, wie einen chemischen Dampfphasenabscheidungsprozess (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozess (PVD-Prozess), Atomlagenabscheidungsprozess (ALD-Prozess), Plattierungsprozess oder anderen Auftragsprozess gebildet.
  • In manchen Ausführungsformen ist die leitfähige Schicht 170 aus Wolfram (W), Kobalt (Co), Titan (Ti), Aluminium (Al), Kupfer (Cu), Tantal (Ta), Platin (Pt), Molybdän (Mo), Silber (Ag), Mangan (Mn), Zirconium (Zr), Ruthenium (Ru) oder einem anderen Auftragsmaterial hergestellt. In manchen Ausführungsformen wird die leitfähige Schicht 170 durch einen Abscheidungsprozess, wie einen chemischen Dampfphasenabscheidungsprozess (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozess (PVD-Prozess), Atomlagenabscheidungsprozess (ALD-Prozess), Plattierungsprozess oder anderen Auftragsprozess gebildet.
  • Wenn keine Schutzschicht über der Gatestruktur 150 ist, wenn die Öffnung durch die Isolierschicht 162 gebildet wird, kann die Gatestruktur 150 beschädigt werden. Die Schutzschicht stellt eine Ätzstoppfunktion zum Schutz der darunterliegenden Gatestruktur 150 vor Beschädigung bereit. Da die Schutzschicht 160 dazu neigen könnte, sich nicht auf der ersten Schicht 154 zu bilden, ist die erste Schicht 154 von der Schutzschicht 160 durch die zweite Schicht 156 und die Füllschicht 158 getrennt. Die Schutzschicht 160 ist mit der ersten Schicht 154 nicht in direktem Kontakt, um die Bildungsqualität der Schutzschicht 160 sicherzustellen.
  • Es sollte festgehalten werden, dass die Schutzschicht 160 auf der zweiten Schicht 156 und der Füllschicht 158 gebildet wird, um ausreichenden Schutz bereitzustellen, um eine Beschädigung der darunterliegenden Gatestruktur 150 durch einen Ätzprozess zu verhindern. In manchen Ausführungsformen ist eine erste Breite der unteren Oberfläche der Schutzschicht 160 größer als eine zweite Breite der oberen Oberfläche der Füllschicht 158. Die Schutzschicht 160 soll nicht nur Schutz aufweisen, sondern auch geringeren Gate-Widerstand (Rg) bereitstellen. Daher wird die Leistung der Halbleitervorrichtungsstruktur 100a verbessert.
  • 6A - 6C zeigen Querschnittsdarstellungen verschiedener Stufen zur Bildung einer Halbleitervorrichtungsstruktur 100b gemäß manchen Ausführungsformen der Offenbarung. Prozesse und Materialien, die zur Bildung der Halbleitervorrichtungsstruktur 100b verwendet werden, können ähnlich oder gleich jenen sein, die zur Bildung der Halbleitervorrichtungsstruktur 100a verwendet werden und werden hier nicht wiederholt.
  • 6A ist ähnlich 5G, wobei der Unterschied zwischen 6A und 5G darin besteht, dass die zweite Schicht 156 in 6A dicker als die zweite Schicht 156 in 5G ist. Die zweite Schicht 156 hat einen Bodenabschnitt und einen Seitenwandabschnitt und der Bodenabschnitt ist in direktem Kontakt mit der ersten Schicht 154. Der Bodenabschnitt ist dicker als der Seitenwandabschnitt.
  • Anschließend, wie in 6B gezeigt, werden gemäß manchen Ausführungsformen der Offenbarung ein Abschnitt der Gatedielektrikumschicht 152, ein Abschnitt der zweiten Schicht 156 und ein Abschnitt der Füllschicht 158 entfernt. Die Füllschicht 158 hat eine rechteckige Struktur.
  • Danach, wie in 6C gezeigt, wird die Gatekontaktstruktur 172 auf der Schutzschicht 160 gebildet. Die Gatekontaktstruktur 172 ist durch die Schutzschicht 160 elektrisch mit der Gatestruktur 150 verbunden. Die Schutzschicht 160 ist durch die zweite Schicht 156 und die Füllschicht 158 von der ersten Schicht 154 getrennt.
  • 7A - 7E zeigen Querschnittsdarstellungen verschiedener Stufen zur Bildung der Halbleitervorrichtungsstruktur 100c gemäß manchen Ausführungsformen der Offenbarung. Prozesse und Materialien, die zur Bildung der Halbleitervorrichtungsstruktur 100c verwendet werden, können ähnlich oder gleich jenen sein, die zur Bildung der Halbleitervorrichtungsstruktur 100a verwendet werden, und werden hier nicht wiederholt.
  • Wie in 7A gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die Gatedielektrikumschicht 152 in dem Graben 143 gebildet und die erste Schicht 154 wird über der Gatedielektrikumschicht 152 gebildet. Zusätzlich wird die Hartmaskenschicht 155 auf einem Abschnitt der ersten Schicht 154 gebildet. Die Hartmaskenschicht 155 weist einen ersten Abschnitt auf, der in dem Graben 143 gebildet ist, und ein zweiten Abschnitt über der Gatedielektrikumschicht 124.
  • In manchen Ausführungsformen ist die Hartmaskenschicht 155 aus Ti, TiN, W, TaN, WN oder anderen anwendbaren Materialien hergestellt. In manchen Ausführungsformen wird die Hartmaskenschicht 155 unter Verwendung eines chemischen Dampfphasenabscheidungsprozesses (CVD-Prozess), physikalischen Dampfphasenabscheidungsprozesses (PVD-Prozess), Atomlagenabscheidungsprozesses (ALD-Prozess), eines anderen anwendbaren Prozesses oder einer Kombination davon gebildet.
  • Danach, wie in 7B gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die Dummy-Schicht 153 in dem Graben 143 und über dem ersten Abschnitt der Hartmaskenschicht 155 gebildet. Die Dummy-Schicht 153 wird verwendet, um die darunterliegenden Schichten zu schützen.
  • Anschließend, wie in 7C gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung ein Abschnitt der Hartmaskenschicht 155 entfernt. Insbesondere wird der zweite Abschnitt der Hartmaskenschicht 155 über der Gate-Abstandhalterschicht 124 entfernt. Der erste Abschnitt der Hartmaskenschicht 155 verbleibt, da er von der Dummy-Schicht 153 bedeckt ist. Danach wird die Dummy-Schicht 153 durch einen Ätzprozess, wie einen Nassätzprozess oder einen Trockenätzprozess entfernt.
  • Anschließend, wie in 7D gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung ein Abschnitt der ersten Schicht 154 unter Verwendung der Hartmaskenschicht 155 als eine Maske entfernt. Infolgedessen wird ein Abschnitt der Gatedielektrikumschicht 154 freigelegt. Die erste Schicht 154 hat eine U-förmige Struktur und die Hartmaskenschicht 155 wird in dem vertieften Abschnitt der U-förmigen Struktur gebildet.
  • Anschließend, wie in 7E gezeigt, wird die Hartmaskenschicht 155 gemäß manchen Ausführungsformen der Offenbarung entfernt. Infolgedessen hat die erste Schicht 154 eine U-förmige Struktur. Danach durchläuft die Halbleitervorrichtungsstruktur von 7E die Prozesse der 5G - 5K oder 6A - 6C, um die Halbleitervorrichtungsstruktur 100c zu erhalten. Die Halbleitervorrichtungsstruktur 100c ist dieselbe oder ähnlich wie die Halbleitervorrichtungsstruktur 100a oder Halbleitervorrichtungsstruktur 100b.
  • 8A - 81 zeigen Querschnittsdarstellungen verschiedener Stufen zur Bildung einer Halbleitervorrichtungsstruktur 100d gemäß manchen Ausführungsformen der Offenbarung. Prozesse und Materialien, die zur Bildung der Halbleitervorrichtungsstruktur 100d verwendet werden, können ähnlich oder gleich jenen sein, die zur Bildung der Halbleitervorrichtungsstruktur 100a verwendet werden, und werden hier nicht wiederholt.
  • Wie in 8A gezeigt, werden gemäß manchen Ausführungsformen der Offenbarung die Gatedielektrikumschicht 152, die zweite Schicht 156 und die erste Schicht 154 der Reihe nach in dem Graben 143 gebildet. Es ist zu beachten, dass die erste Schicht 154 nach und über der zweiten Schicht 156 gebildet wird. Der Graben 143 wird nicht vollständig mit der ersten Schicht 154 gefüllt.
  • Danach, wie in 8B gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die Hartmaskenschicht 155 auf der ersten Schicht 154 gebildet.
  • Danach, wie in 8C gezeigt, werden gemäß manchen Ausführungsformen der Offenbarung ein Abschnitt der zweiten Schicht 156 und ein Abschnitt der ersten Schicht 154 unter Verwendung der Hartmaskenschicht 155 als eine Maske entfernt. Die obere Oberfläche der ersten Schicht 154 ist im Wesentlichen in einer Ebene mit der oberen Oberfläche der zweiten Schicht 156. Die obere Oberfläche der ersten Schicht 154, die obere Oberfläche der zweiten Schicht 156 sind im Wesentlichen in einer Ebene mit der oberen Oberfläche der Hartmaskenschicht 155.
  • Danach, wie in 8D gezeigt, wird die Hartmaskenschicht 155 gemäß manchen Ausführungsformen der Offenbarung entfernt. Infolgedessen haben die erste Schicht 154 beziehungsweise die zweite Schicht 156 eine U-förmige Struktur. Die obere Oberfläche der ersten Schicht 154 ist in einer Ebene mit der oberen Oberfläche der zweiten Schicht 156. Es gibt eine vierte Tiefe D4, gemessen von der oberen Oberfläche der zweiten Schicht 156 zu der unteren Oberfläche der zweiten Schicht 156. In manchen Ausführungsformen ist die vierte Tiefe D4 in einem Bereich von etwa 1 nm bis etwa 10 nm.
  • Danach, wie in 8E gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die Füllschicht 158 auf der ersten Schicht 154 und der zweiten Schicht 156 gebildet.
  • Anschließend, wie in 8F gezeigt, werden gemäß manchen Ausführungsformen der Offenbarung ein Abschnitt der Füllschicht 158 und ein Abschnitt der Gatedielektrikumschicht 154 entfernt. Infolgedessen ist die obere Oberfläche der Gatedielektrikumschicht 152 niedriger als die obere Oberfläche der Gate-Abstandhalterschicht 124 und die obere Oberfläche der Gatedielektrikumschicht 152 ist im Wesentlichen in einer Ebene mit der oberen Oberfläche der Füllschicht 158. Zusätzlich ist die obere Oberfläche der Füllschicht 158 höher als die obere Oberfläche der ersten Schicht 152 und die obere Oberfläche der zweiten Schicht 156. Die obere Oberfläche der ersten Schicht 154 ist von der Füllschicht 158 bedeckt.
  • Die Füllschicht 158 hat eine T-förmige Struktur mit einem oberen horizontalen Abschnitt und einem unteren vertikalen Abschnitt. Der obere horizontale Abschnitt hat eine zweite Dicke T2. In manchen Ausführungsformen ist die zweite Dicke T2 in einem Bereich von etwa 2 nm bis etwa 20 nm.
  • Danach, wie in 8G gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die Schutzschicht 160 über der Füllschicht 158 gebildet. Die Schutzschicht wird selektiv auf der Füllschicht 158 gebildet wird, aber nicht auf der Gatedielektrikumschicht 152 gebildet. Die Schutzschicht 160 ist mit der ersten Schicht 154 nicht in direktem Kontakt. Die Schutzschicht 160 ist von der ersten Schicht 154 durch die Füllschicht 158 getrennt.
  • Anschließend, wie in 8H gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die Isolierschicht 162 auf der Gatedielektrikumschicht 152 und der Schutzschicht 160 gebildet. Die Isolierschicht 162 hat einen hervorstehenden Abschnitt in direktem Kontakt mit der Seitenwand der Schutzschicht 160.
  • Danach, wie in 81 gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die Gatekontaktstruktur 172 auf der Schutzschicht 160 gebildet. Die Gatekontaktstruktur 172 ist durch die Schutzschicht 160 elektrisch mit der Gatestruktur 150 verbunden. In manchen Ausführungsformen ist eine erste Breite der unteren Oberfläche der Schutzschicht 160 gleich einer zweiten Breite der oberen Oberfläche der Füllschicht 158.
  • 9A - 9E zeigen Querschnittsdarstellungen verschiedener Stufen zur Bildung einer Halbleitervorrichtungsstruktur 100e gemäß manchen Ausführungsformen der Offenbarung. Prozesse und Materialien, die zur Bildung der Halbleitervorrichtungsstruktur 100d verwendet werden, können ähnlich oder gleich jenen sein, die zur Bildung der Halbleitervorrichtungsstruktur 100a verwendet werden, und werden hier nicht wiederholt.
  • 9A ist ähnlich 5A, die erste Schicht 152 ist über der Gatedielektrikumschicht 152 gebildet und die erste Schicht 152 hat eine U-förmige Struktur.
  • Wie in 9B gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die Füllschicht 158 über der ersten Schicht 152 und in dem Graben 143 gebildet.
  • Wie in 9C gezeigt, werden gemäß manchen Ausführungsformen der Offenbarung ein Abschnitt der Füllschicht 158 und ein Abschnitt der Gatedielektrikumschicht 152 entfernt. Der Abschnitt der Füllschicht 158 und der Abschnitt der Gatedielektrikumschicht 152 werden durch einen Ätzprozess, wie den Trockenätzprozess oder Nassätzprozess entfernt.
  • Wie in 9D gezeigt wird gemäß manchen Ausführungsformen der Offenbarung die Schutzschicht 160 selektiv über der Füllschicht 158 gebildet. Die Schutzschicht 160 ist durch die Füllschicht 158 von der ersten Schicht 152 getrennt. Die Isolierschicht 162 ist über der Schutzschicht 160 gebildet und die Isolierschicht 162 ist in direktem Kontakt mit der Gatedielektrikumschicht 152.
  • Wie in 9E gezeigt, wird gemäß manchen Ausführungsformen der Offenbarung die Gatekontaktstruktur 172 über der Gatestruktur 150 gebildet. Es gibt keine zweite Schicht zwischen der ersten Schicht 152 und der Füllschicht 158, aber die erste Schicht 152 ist mit der Schutzschicht 160 nicht in direktem Kontakt. Die Füllschicht 158 bedeckt die obere Oberfläche der ersten Schicht 152 und die Füllschicht 158 ist mit der Schutzschicht 160 in direktem Kontakt. Insbesondere ist die obere Oberfläche der Füllschicht 158 in direktem Kontakt mit der unteren Oberfläche der Schutzschicht 160.
  • Daher ist die Schutzschicht 160 selektiv auf der zweiten Schicht 126 oder der Füllschicht 158, nicht aber auf der ersten Schicht 152 gebildet. Die erste Schicht 152 ist durch die zweite Schicht 156 oder die Füllschicht 158 bedeckt. Daher kann die Qualität der Schutzschicht 160 verbessert werden. Es sollte festgehalten werden, dass die Schutzschicht 160 mit der zweiten Schicht 156 und der Füllschicht 158 in der Halbleitervorrichtungsstruktur 100a, 100b, 100c in direktem Kontakt ist. Die Schutzschicht 160 ist in der Halbleitervorrichtungsstruktur 100d, 100e in direktem Kontakt mit der Füllschicht 158.
  • Ausführungsformen zur Bildung einer Halbleitervorrichtungsstruktur und Verfahren zur Bildung derselben sind bereitgestellt. Die Halbleiterstruktur weist eine Gatestruktur auf, die über einer Finnenstruktur gebildet ist. Eine Schutzschicht ist über der Gatestruktur gebildet. Die Gatestruktur weist eine erste Schicht, eine zweite Schicht und eine Füllschicht auf. Die erste Schicht ist von der Schutzschicht durch die Füllschicht oder durch die zweite Schicht und die Füllschicht getrennt. Die Schutzschicht wird selektiv auf der Füllschicht gebildet, um einen Schutz zum Verhindern einer Ätzung oder Beschädigung der Gatestruktur bereitzustellen. Daher ist die Leistung der Halbleitervorrichtungsstruktur verbessert.
  • In manchen Ausführungsformen wird eine Halbleitervorrichtungsstruktur bereitgestellt. Die Halbleitervorrichtungsstruktur weist eine Finnenstruktur auf, die über einem Substrat gebildet ist, und eine Gatestruktur, die über der Finnenstruktur gebildet ist. Die Gatestruktur weist eine erste Schicht und eine Füllschicht über der ersten Schicht auf. Die Gatestruktur weist eine Schutzschicht auf, die über der Füllschicht der Gatestruktur gebildet ist, und die Schutzschicht ist von der ersten Schicht durch die Füllschicht getrennt.
  • In manchen Ausführungsformen ist eine Halbleitervorrichtungsstruktur bereitgestellt. Die Halbleitervorrichtungsstruktur weist eine Finnenstruktur auf, die über einem Substrat gebildet ist, und die Finnenstruktur weist mehrere Nanostrukturen auf. Die Halbleitervorrichtungsstruktur weist eine Gatestruktur auf, die über einer obersten Nanostruktur der Nanostrukturen gebildet ist. Die Gatestruktur weist eine Gatedielektrikumschicht auf, die über der obersten Nanostruktur gebildet ist, und eine erste leitfähige Schicht, die über der Gatedielektrikumschicht gebildet ist. Eine zweite leitfähige Schicht ist über der Gatedielektrikumschicht gebildet und es gibt eine Füllschicht über der ersten leitfähigen Schicht und der zweiten leitfähigen Schicht. Die Halbleitervorrichtungsstruktur weist eine Schutzschicht auf, die über der Füllschicht gebildet ist, und eine Isolierschicht, die über der Schutzschicht gebildet ist. Die Isolierschicht weist einen hervorstehenden Abschnitt in direktem Kontakt mit der Gatedielektrikumschicht auf.
  • In manchen Ausführungsformen ist ein Verfahren zur Bildung einer Halbleitervorrichtungsstruktur bereitgestellt. Das Verfahren umfasst Bilden einer Finnenstruktur über einem Substrat und Bilden einer Dummy-Gatestruktur über der Finnenstruktur. Das Verfahren umfasst Bilden einer Dielektrikumschicht über der Gatestruktur und Entfernen der Dummy-Gatestruktur zur Bildung eines Grabens in der Dielektrikumschicht. Das Verfahren umfasst auch Bilden einer Gatedielektrikumschicht in dem Graben und Bilden einer ersten Schicht über der Gatedielektrikumschicht. Das Verfahren umfasst weiter Bilden einer Füllschicht über der ersten Schicht und Bilden einer Schutzschicht über der Füllschicht. Die Schutzschicht ist von der ersten Schicht durch die Füllschicht getrennt.
  • Vorstehend sind Merkmale von mehreren Ausführungsformen erläutert, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte erkennen, dass die vorliegende Offenbarung leicht als Basis zur Gestaltung oder Modifizierung anderer Prozesse und Strukturen zur Ausführung derselben Zwecke und/oder zum Erreichen derselben Vorteile der hier vorgestellten Ausführungsformen verwendet werden können. Der Fachmann sollte ferner erkennen, dass solche äquivalenten Konstruktionen nicht vom Wesen und Umfang der vorliegenden Offenbarung abweichen und dass hier verschiedene Änderungen, Ersetzungen und Abänderungen vorgenommen werden können, ohne vom Wesen und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/151195 [0001]

Claims (20)

  1. Halbleitervorrichtungsstruktur aufweisend: eine Finnenstruktur, die über einem Substrat gebildet ist; eine Gatestruktur, die über der Finnenstruktur gebildet ist, wobei die Gatestruktur aufweist: - eine erste Schicht; und - eine Füllschicht über der ersten Schicht; und eine Schutzschicht, die über der Füllschicht der Gatestruktur gebildet ist, wobei die Schutzschicht durch die Füllschicht von der ersten Schicht getrennt ist, wobei eine erste Breite einer unteren Oberfläche der Schutzschicht größer oder gleich einer zweiten Breite einer oberen Oberfläche der Füllschicht ist.
  2. Halbleitervorrichtungsstruktur nach Anspruch 1, wobei die Finnenstruktur mehrere Nanostrukturen aufweist.
  3. Halbleitervorrichtungsstruktur nach Anspruch 1 oder 2, wobei die Schutzschicht nicht in direktem Kontakt mit der ersten Schicht steht.
  4. Halbleitervorrichtungsstruktur nach einem der vorstehenden Ansprüche, weiter aufweisend: eine zweite Schicht, die über der ersten Schicht gebildet ist, wobei die Füllschicht über der ersten Schicht und der zweiten Schicht gebildet ist.
  5. Halbleitervorrichtungsstruktur nach Anspruch 4, wobei die Füllschicht durch die zweite Schicht von der ersten Schicht getrennt ist.
  6. Halbleitervorrichtungsstruktur nach Anspruch 4 oder 5, wobei eine obere Oberfläche der zweiten Schicht in direktem Kontakt mit einer unteren Oberfläche der Schutzschicht steht.
  7. Halbleitervorrichtungsstruktur nach einem der Ansprüche 4 bis 6, wobei die Füllschicht von der zweiten Schicht und der Schutzschicht umgeben ist.
  8. Halbleitervorrichtungsstruktur nach einem der vorstehenden Ansprüche, weiter aufweisend: eine Isolierschicht, die über der Schutzschicht gebildet ist, wobei die Isolierschicht mit einer Seitenwand der Schutzschicht in direktem Kontakt steht.
  9. Halbleitervorrichtungsstruktur nach einem der vorstehenden Ansprüche, wobei die erste Schicht aus einem Si-haltigen Material, einem Al-haltigen Material oder einer Kombination davon gebildet ist.
  10. Halbleitervorrichtungsstruktur nach einem der vorstehenden Ansprüche, wobei die Füllschicht eine T-förmige Struktur oder eine rechteckige Struktur aufweist.
  11. Halbleitervorrichtungsstruktur nach einem der vorstehenden Ansprüche, wobei die Gatestruktur weiter eine Gatedielektrikumschicht aufweist, wobei die Schutzschicht nicht auf der Gatedielektrikumschicht gebildet ist.
  12. Halbleitervorrichtungsstruktur aufweisend: eine Finnenstruktur, die über einem Substrat gebildet ist, wobei die Finnenstruktur mehrere Nanostrukturen aufweist; eine Gatestruktur, die über einer obersten Nanostruktur der Nanostrukturen gebildet ist, wobei die Gatestruktur aufweist: - eine Gatedielektrikumschicht, die über der obersten Nanostruktur der Nanostrukturen gebildet ist; - eine erste leitfähige Schicht, die über der Gatedielektrikumschicht gebildet ist; - eine zweite leitfähige Schicht, die über der Gatedielektrikumschicht gebildet ist; und - eine Füllschicht über der ersten leitfähigen Schicht und der zweiten leitfähigen Schicht; eine Schutzschicht, die über der Füllschicht gebildet ist; und eine Isolierschicht, die über der Schutzschicht gebildet ist, wobei die Isolierschicht einen hervorstehenden Abschnitt aufweist, der in direktem Kontakt mit der Gatedielektrikumschicht steht.
  13. Halbleitervorrichtungsstruktur nach Anspruch 12, wobei die Schutzschicht durch die Füllschicht von der ersten leitfähigen Schicht getrennt ist.
  14. Halbleitervorrichtungsstruktur nach Anspruch 12 oder 13, weiter aufweisend: eine Gatekontaktstruktur, die über der Schutzschicht gebildet ist, wobei die Gatekontaktstruktur durch die Schutzschicht elektrisch mit der Gatestruktur verbunden ist.
  15. Halbleitervorrichtungsstruktur nach einem der Ansprüche 12 bis 14, wobei die Gatestruktur weiter eine Gatedielektrikumschicht aufweist, wobei die Schutzschicht nicht auf der Gatedielektrikumschicht gebildet ist.
  16. Halbleitervorrichtungsstruktur nach einem der Ansprüche 12 bis 15, wobei eine obere Oberfläche der zweiten leitfähigen Schicht höher liegt als eine obere Oberfläche der ersten leitfähigen Schicht.
  17. Verfahren zur Bildung einer Halbleitervorrichtungsstruktur, umfassend: Bilden einer Finnenstruktur über einem Substrat; Bilden einer Dummy-Gatestruktur über der Finnenstruktur; Bilden einer Dielektrikumschicht über der Gatestruktur; Entfernen der Dummy-Gatestruktur, um einen Graben in der Dielektrikumschicht zu bilden; Bilden einer Gatedielektrikumschicht in dem Graben; Bilden einer ersten Schicht über der Gatedielektrikumschicht; Bilden einer Füllschicht über der ersten Schicht; und Bilden einer Schutzschicht über der Füllschicht, wobei die Schutzschicht durch die Füllschicht von der ersten Schicht getrennt ist.
  18. Verfahren zur Bildung der Halbleitervorrichtungsstruktur nach Anspruch 17, weiter umfassend: Bilden einer zweiten Schicht über der Gatedielektrikumschicht, wobei die Füllschicht durch die zweite Schicht von der ersten Schicht getrennt ist.
  19. Verfahren zur Bildung der Halbleitervorrichtungsstruktur nach Anspruch 17 oder 18, wobei die Finnenstruktur mehrere erste Halbleiterschichten und mehrere zweite Halbleiterschichten aufweist, wobei die ersten Halbleiterschichten und die zweiten Halbleiterschichten abwechselnd gestapelt sind, wobei das Verfahren ferner umfasst: Entfernen der zweiten Halbleiterschichten, um einen Spalt zu bilden, wobei die Gatedielektrikumschicht in dem Spalt gebildet ist.
  20. Verfahren zur Bildung der Halbleitervorrichtungsstruktur nach einem der Ansprüche 17 bis 19, weiter umfassend: selektives Bilden der Schutzschicht auf der Füllschicht, wobei die Schutzschicht nicht auf der Gatedielektrikumschicht gebildet ist.
DE102021109764.9A 2021-02-19 2021-04-19 Halbleitervorrichtungsstruktur und deren herstellungsverfahren Pending DE102021109764A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163151195P 2021-02-19 2021-02-19
US63/151,195 2021-02-19
US17/227,057 2021-04-09
US17/227,057 US11563109B2 (en) 2021-02-19 2021-04-09 Semiconductor device structure and method for forming the same

Publications (1)

Publication Number Publication Date
DE102021109764A1 true DE102021109764A1 (de) 2022-08-25

Family

ID=81898123

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021109764.9A Pending DE102021109764A1 (de) 2021-02-19 2021-04-19 Halbleitervorrichtungsstruktur und deren herstellungsverfahren

Country Status (5)

Country Link
US (2) US11563109B2 (de)
KR (1) KR102515318B1 (de)
CN (1) CN114628522A (de)
DE (1) DE102021109764A1 (de)
TW (1) TWI840704B (de)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140110778A1 (en) 2012-10-19 2014-04-24 Semiconductor Manufacturing International Corporation (Shanghai) Semiconductor device and manufacturing method thereof

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9293551B2 (en) 2013-11-25 2016-03-22 Globalfoundries Inc. Integrated multiple gate length semiconductor device including self-aligned contacts
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR102158962B1 (ko) * 2014-05-08 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9263446B1 (en) 2014-10-10 2016-02-16 Globalfoundries Inc. Methods of forming replacement gate structures on transistor devices with a shared gate structure and the resulting products
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10134872B2 (en) 2015-12-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
KR102476143B1 (ko) * 2016-02-26 2022-12-12 삼성전자주식회사 반도체 장치
CN108447826B (zh) 2017-02-16 2020-12-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11244871B2 (en) 2019-06-27 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices for tightening spacing between nanosheets in GAA structures and structures formed thereby
KR20220028703A (ko) * 2020-08-31 2022-03-08 삼성전자주식회사 반도체 장치

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140110778A1 (en) 2012-10-19 2014-04-24 Semiconductor Manufacturing International Corporation (Shanghai) Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
TW202234703A (zh) 2022-09-01
KR102515318B1 (ko) 2023-03-29
US20230127045A1 (en) 2023-04-27
TWI840704B (zh) 2024-05-01
CN114628522A (zh) 2022-06-14
US20220271148A1 (en) 2022-08-25
KR20220118879A (ko) 2022-08-26
US11563109B2 (en) 2023-01-24

Similar Documents

Publication Publication Date Title
DE102020100101B4 (de) Verfahren zum ausbilden einer halbleitervorrichtungsstruktur
DE102017122830B4 (de) Verfahren zur herstellung eines halbleiter-bauelements
DE102019116859A1 (de) Verfahren zum herstellen einer halbleitervorrichtung, und eine halbleitervorrichtung
US20220115374A1 (en) Semiconductor device structure
DE102017123950A1 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102015111262A1 (de) Fin-feldeffekttransistor-bauelementstruktur (finfet- bauelementstruktur) mit einer stoppschicht und verfahren zum ausbilden von dieser
DE102019126920A1 (de) Verfahren zum herstellen einer halbleitervorrichtung und eine halbleitervorrichtung
DE102017123047B4 (de) Herstellungsverfahren für FINFETs mit unterschiedlichen Finnenkanalhöhen
DE102019124526A1 (de) Halbleitervorrichtung und verfahren
DE102021110710A1 (de) Nanoschicht-feldeffekttransistorvorrichtung und herstellungsverfahren
DE102021110572A1 (de) Halbleiterbauelement mit kriechstromunterdrückung und verfahren zur herstellung davon
DE102020110754A1 (de) Halbleitervorrichtung und verfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102019133933A1 (de) Neuartige struktur für metall-gate-elektrode und herstellungsverfahren
DE102020131140A1 (de) Gateisolierungsstruktur
DE102023105387A1 (de) Unter epitaxie isolationsstruktur
DE102021113657A1 (de) Finnen-Feldefekttransistorvorrichtung und Verfahren
DE102021113257A1 (de) Halbleiterbauelement und Verfahren
DE102019119807B4 (de) Herstellungsverfahren für ein halbleiter-bauelement und ein halbleiter-bauelement
DE102020121511A1 (de) Verfahren zur herstellung einer halbleitervorrichtung und eine halbleitervorrichtung
DE102020121101A1 (de) Halbleitervorrichtung und verfahren zu deren herstellung
DE102019109878A1 (de) Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung
DE102022132143A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen
US20220319981A1 (en) Semiconductor device structure and method for forming the same
DE102021115949A1 (de) Leitfähige abdeckung für austrittsarbeitsschicht und verfahren zu deren bildung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029775000

Ipc: H01L0029423000

R016 Response to examination communication