DE102020128628A1 - Halbleiterbauelement mit zweidimensionalen materialien - Google Patents

Halbleiterbauelement mit zweidimensionalen materialien Download PDF

Info

Publication number
DE102020128628A1
DE102020128628A1 DE102020128628.7A DE102020128628A DE102020128628A1 DE 102020128628 A1 DE102020128628 A1 DE 102020128628A1 DE 102020128628 A DE102020128628 A DE 102020128628A DE 102020128628 A1 DE102020128628 A1 DE 102020128628A1
Authority
DE
Germany
Prior art keywords
layer
monolayer
carrier film
heterostack
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020128628.7A
Other languages
English (en)
Inventor
Tse-An CHEN
Lain-Jong Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/078,247 external-priority patent/US11688605B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020128628A1 publication Critical patent/DE102020128628A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • H01L21/187Joining of semiconductor bodies for junction formation by direct bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/7806Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
    • H01L21/7813Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate leaving a reusable substrate, e.g. epitaxial lift off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1606Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/7606Transistor-like structures, e.g. hot electron transistor [HET]; metal base transistor [MBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate

Abstract

Die vorliegende Offenbarung beschreibt ein Verfahren, welches das Ausbilden einer ersten zweidimensionalen (2D) Schicht auf einem ersten Substrat und das Befestigen einer zweiten 2D Schicht an einem Trägerfilm umfasst. Das Verfahren umfasst außerdem das Binden der zweiten 2D Schicht an die erste 2D Schicht, um einen Heterostack zu bilden, der die erste und die zweite 2D Schicht aufweist. Das Verfahren umfasst ferner das Trennen der ersten 2D Schicht des Heterostacks von dem ersten Substrat und das Befestigen des Heterostacks an einem zweiten Substrat. Das Verfahren umfasst ferner das Entfernen des Trägerfilms von der zweiten 2D Schicht.

Description

  • BEZUGNAHME AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patenanmeldung Nr. 63/031,229 mit dem Titel „A Method for Forming Semiconductor Devices Having Twodimensional Materials“, eingereicht am 28. Mai 2020, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • Mit den Fortschritten in der Halbleitertechnik geht ein zunehmender Bedarf an höherer Speicherkapazität, schnelleren Verarbeitungssystemen, höherer Leistung und niedrigeren Kosten einher. Um diesem Bedarf Rechnung zu tragen, fährt die Halbleiterindustrie fort, die Abmessungen von Halbleiterbauelementen zu verkleinern. Zweidimensionale (2D) Materialschichten können verwendet werden, um den Kanalbereich von Halbleiterbauelementen so auszubilden, dass der Footprint der Bauelemente verkleinert und die Leistung der Bauelemente verbessert wird.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Elemente nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 ist ein Flussdiagramm eines Verfahrens zum Transfer zweidimensionaler (2D) Materialschichten gemäß einigen Ausführungsformen.
    • 2-5 zeigen verschiedene Ansichten von Schichten von 2D Materialien in verschiedenen Stufen ihres Herstellungsprozesses gemäß einigen Ausführungsformen.
    • 6 ist ein Flussdiagramm eines Verfahrens zum Transfer von 2D Materialschichten gemäß einigen Ausführungsformen.
    • 7 - 10 zeigen verschiedene Ansichten von Schichten von 2D Materialien in verschiedenen Stufen ihres Herstellungsprozesses gemäß einigen Ausführungsformen.
    • 11 ist ein Flussdiagramm eines Verfahrens zum Transfer von 2D Materialschichten gemäß einigen Ausführungsformen.
    • 12 - 15 zeigen verschiedene Ansichten von Schichten von 2D Materialien in verschiedenen Stufen ihres Herstellungsprozesses gemäß einigen Ausführungsformen.
    • 16 ist ein Flussdiagramm eines Verfahrens zum Transfer von 2D Materialschichten gemäß einigen Ausführungsformen.
    • 17 - 21 zeigen verschiedene Ansichten von Schichten von 2D Materialien in verschiedenen Stufen ihres Herstellungsprozesses gemäß einigen Ausführungsformen.
    • 22A und 22B zeigen einen Heterostack von 2D Materialien während eines Transferprozesses gemäß einigen Ausführungsformen.
    • 23 zeigt ein dreidimensionales (3D) monolithisches Halbleiterbauelement gemäß einigen Ausführungsformen.
  • Im Folgenden werden Ausführungsbeispiele unter Bezugnahme auf die beigefügten Zeichnungen beschrieben. In den Zeichnungen bezeichnen gleiche Bezugszeichen im Allgemeinen identische, funktional ähnliche und/oder strukturell ähnliche Elemente.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt verschiedene Ausführungsformen oder Beispiele zur Implementierung verschiedener Merkmale des bereitgestellten Gegenstands bereit. Spezielle Beispiele von Komponenten und Anordnungen werden im Folgenden beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dabei handelt es sich selbstverständlich lediglich um Beispiele, und damit wird keine Einschränkung beabsichtigt. Zum Beispiel kann die Bildung eines ersten Elements über einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element angeordnet sind, so dass das erste und das zweite Element nicht in direktem Kontakt stehen. Weiterhin können in der vorliegenden Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Begriffe, die eine räumliche Beziehung beschreiben, wie etwa „unterhalb“, „unter“, „untere/r/s“, „über“, „obere/r/s“ und dergleichen, können hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) zu beschreiben, wie in den Figuren dargestellt. Es ist beabsichtigt, dass die Begriffe, die eine räumliche Beziehung beschreiben, zusätzlich zu der in den Figuren dargestellten Ausrichtung verschiedene Ausrichtungen des Bauelements bei der Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder in anderen Ausrichtungen) ausgerichtet sein, und die Bezeichnungen für räumliche Beziehungen, die hier verwendet werden, können ebenfalls dementsprechend ausgelegt werden.
  • Der Begriff „nominell“, wie er hier verwendet wird, bezieht sich auf einen gewünschten oder Zielwert eines Merkmals oder Parameters für eine Komponente oder einen Verfahrensschritt, der während der Designphase eines Produkts oder Verfahrens festgelegt werden, zusammen mit einer Reihe von Werten über und/oder unter dem gewünschten Wert. Dieser Wertebereich entsteht üblicherweise aufgrund von leichten Abweichungen in Herstellungsverfahren oder Toleranzen.
  • Bei einigen Ausführungsformen können die Begriffe „etwa“ und „im Wesentlichen“ einen Wert einer gegebenen Größe bezeichnen, welcher um bis zu 5 % des Wertes variieren kann (z. B. um ±1 %, ±2 %, ±3 %, ±,4 %, ±5 % des Wertes). Diese Werte sind lediglich Beispiele und sollen keine Einschränkung darstellen. Die Begriffe „etwa“ und „im Wesentlichen“ können sich auf einen prozentualen Anteil der Werte beziehen, so wie er von den Fachleuten auf dem (den) betreffenden Gebiet(en) in Anbetracht der Lehren hierin interpretiert wird.
  • Die vorliegende Offenbarung stellt Verfahren zum Ausbilden beispielhafter komplementärer Metall-Oxid-Halbleiter-Bauelemente (CMOS-Bauelemente) bereit. Die Verfahren können auch für das Ausbilden beliebiger geeigneter Halbleiterstrukturen angewendet werden, wie etwa von Gate-All-Around-Feldeffekttransistoren (GAA-FETs), Finnen-Feldeffekttransistoren (FinFETs), horizontalen oder vertikalen GAA-FinFETs und planaren FinFETs. Ein Beispiel eines FET ist ein Metall-Oxid-Halbleiter-Feldeffekttransistor (MOSFET). MOSFETs können zum Beispiel (i) planare Strukturen sein, die in und auf der planaren Oberfläche eines Substrats, wie etwa eines Halbleiterwafers, angebracht sind, oder (ii) mit vertikalen Strukturen aufgebaut sein. Der Begriff „FinFET“ bezieht sich auf einen FET, der über einer Finne ausgebildet ist, welche in Bezug auf die planare Oberfläche eines Wafers vertikal ausgerichtet ist. Der Begriff „vertikal“, wie er hier verwendet wird, bedeutet in der Regel „senkrecht zur Oberfläche eines Substrats“.
  • Die Leistungsfähigkeit und Skalierbarkeit derzeitiger siliziumbasierter Transistoren erreicht gegenwärtig fundamentale Grenzen, trotz der Implementierung verschiedener technischer Verbesserungen, wie etwa neuartiger Bauelementarchitekturen für eine verbesserte elektrostatische Kontrolle, einer Transportverbesserung durch verspannte Kanäle, einer verbesserten Dotierstoffaktivierung und einer Verringerung parasitärer Widerstände. Da die Abmessungen von Bauelementen herunterskaliert werden, um eine höhere Packungsdichte zu erzielen, bestand eine Herausforderung darin, siliziumbasierte Transistoren zu verkleinern.
  • Zweidimensionale (2D) Materialien sind Monoschichten von Materialien, die durch chemische Bindungen zusammengehalten werden und in vielfältigen Anwendungen verwendet werden können, um die Leistungsfähigkeit zu erhöhen. Zum Beispiel können 2D Materialien in Halbleiterbauelementen, Elektroden, Wasserreinigungsvorrichtungen und Photovoltaikgeräten implementiert werden. Einzelne 2D Monoschichten können aufeinander gestapelt werden, um einen Stapel von 2D Materialschichten zu bilden, und die Dicke des Stapels von 2D Materialschichten kann variiert werden, indem unterschiedliche Anzahlen von einzelnen Monoschichten gestapelt werden. Der Stapel von 2D Materialien kann verwendet werden, um Kanalbereiche in Halbleitertransistorbauelementen auszubilden, um den Footprint der Bauelemente zu verkleinern und die Leistungsfähigkeit der Bauelemente zu verbessern. Das Implementieren von 2D Materialien in Halbleiterbauelementen kann durch einen Dünnfilm-Transferprozess erreicht werden, welcher das Befestigen einer Monoschicht aus 2D Material an einem Trägerfilm, das Entfernen der Monoschicht aus 2D Material von einem Host-Wafer und das Anordnen derselben auf einem Halbleitersubstrat für weitere Fertigungsoperationen umfassen kann. Da die Monoschicht aus 2D Material eine Dicke im atomaren Bereich aufweist, ist die Aufrechterhaltung einer hochgradigen Reinheit der Monoschicht aus 2D Material für das Erreichen einer hohen Leistungsfähigkeit der Bauelemente und hohen Ausbeute entscheidend. Jedoch können Nebenprodukte verschiedener Fertigungsprozesse und Transferprozesse unerwünschte Rückstände auf Monoschichten aus 2D Material hinterlassen, insbesondere auf größeren Bereichen von 2-Inch- und 3-Inch-Wafern. Die Anwendung von Reinigungsprozessen, um die Grenzflächenverunreinigung zu beseitigen, kann eine Beschädigung der Oberfläche der Monoschicht aus 2D Material verursachen. Zum Beispiel können Adsorbate wie etwa Wasser und Kohlenwasserstoffe die Oberfläche von 2D Material bedecken. Reinigungsprozesse, darunter Trockenplasmaätzen, Nassätzen und Tempern, können die Oberflächenrauigkeit erhöhen oder durch die Monoschichten hindurchätzen, während Nebenprodukte des Ätzens nach dem Reinigungsprozessen auf der Oberfläche zurückbleiben können. Außerdem können auch Reinigungsprozesse mit Lösungsmitteln Rückstände auf der Oberfläche der Monoschichten zurücklassen.
  • Verschiedene Ausführungsformen der vorliegenden Offenbarung beschreiben Verfahren zum Ausbilden von Halbleiterbauelementen, in die im Wesentlichen rückstandsfreie 2D Materialien (z. B. 2D Materialien ohne Rückstand) integriert sind. Schichten aus 2D Material können durch Van-der-Waals-Kräfte zusammen gestapelt werden und auf ein Substrat übertragen werden. Bei einigen Ausführungsformen können Grenzflächen zwischen jeweiligen Monoschichten aus 2D Material im Wesentlichen frei von Rückständen (z. B. ohne Rückstände) sein. Bei einigen Ausführungsformen können die obere und die untere Fläche des Schichtenstapels ebenfalls im Wesentlichen frei von Rückständen sein. Bei einigen Ausführungsformen ist eine erste Monoschicht aus 2D Material an einer zweiten Monoschicht aus 2D Material durch Van-der-Waals-Kräfte befestigt, um einen Van-der-Waals-Heterostack zu bilden. Der Stapel kann an einer Trägerschicht (z. B. einem Polymerfilm) angebracht und zur weiteren Verarbeitung auf ein Substrat übertragen werden. Weitere Monoschichten aus 2D Materialien können zu dem Heterostack hinzugefügt werden, indem weitere Befestigungsprozesse und Transferprozesse auf Waferebene durchgeführt werden. Die Nutzung von Van-der-Waals-Kräften, um einen Heterostack von 2D Materialien zu bilden, kann unter anderem den Vorteil von im Wesentlichen rückstandsfreien Flächen und intakten Schichten nach dem Transfer verschaffen. Außerdem werden keine Klebstoffe benötigt, um die Schichten von 2D Material während des Transferprozesses auf Waferebene miteinander zu verbinden.
  • 1 zeigt ein Flussdiagramm eines Verfahrens 100 zum Ausbilden eines Heterostacks, der 2D Materialien aufweist, gemäß einigen Ausführungsformen. Für Zwecke der Veranschaulichung werden die Arbeitsschritte, die in 1 angegeben sind, unter Bezugnahme auf den in 2-5 dargestellten beispielhaften Fertigungsprozess beschrieben. In Abhängigkeit von speziellen Anwendungen können Arbeitsschritte in einer anderen Reihenfolge ausgeführt werden oder nicht ausgeführt werden. Es ist anzumerken, dass mit dem Verfahren 100 möglicherweise kein vollständiges Halbleiterbauelement hergestellt wird. Dementsprechend versteht es sich, dass zusätzliche Prozesse vor, während und nach dem Verfahren 100 vorgesehen sein können, und dass einige andere Prozesse hier möglicherweise nur kurz beschrieben werden. Der Transferprozess, der in 2-5 beschrieben ist, kann in einer Prozesskammer unter Vakuum durchgeführt werden, ohne dass die Strukturen der Umgebung ausgesetzt werden, was den Vorteil bieten kann, dass eine Oberflächenoxidation und eine Adsorption von Verunreinigungen verhindert werden, was wiederum die Größe der Van-der-Waals-Kräfte an den Grenzflächen erhöht. Bei einigen Ausführungsformen kann die Höhe des Vakuums zwischen etwa 1 x 10-3 Torr und etwa 1 x 10-5 Torr gehalten werden.
  • Es wird auf 1 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 102 eine erste Monoschicht aus 2D Material auf einem Substrat abgeschieden. Wie in 2 dargestellt, wird eine erste Monoschicht 206 auf einem Substrat 201 abgeschieden. Bei einigen Ausführungsformen werden verschiedene Strukturen der Einfachheit halber als Substrat bezeichnet. Zum Beispiel kann das Substrat 201 ein massives Substrat 202 und eine Metallschicht 204 aufweisen. Das massive Substrat 202 kann ein Trägerwafer sein und unter Verwendung geeigneter Materialien ausgebildet sein, wie etwa eines Elementhalbleiters, eines Verbindungshalbleiters, eines Legierungshalbleiters und beliebiger geeigneter Materialien. Zum Beispiel kann das massive Substrat 202 unter Verwendung von Silizium, Siliziumoxid, Saphir, Siliziumnitrid, Titannitrid, Siliziumgermanium, einem beliebigen geeigneten Material und Kombinationen davon ausgebildet sein. Die Metallschicht 204 kann unter Verwendung eines geeigneten Metallmaterials ausgebildet sein, wie etwa Kupfer. Bei einigen Ausführungsformen können Nickel, Gold, Kupfer, Ruthenium, Wolfram, Silber, Cobalt, ein beliebiges geeignetes Metall und Kombinationen davon verwendet werden, um die Metallschicht 204 auszubilden. Da der Abscheidungsprozess in einer Abscheidekammer durchgeführt werden kann, die unter Vakuum gehalten wird, ohne dass das Substrat oder der abgeschiedene Film der Umgebung ausgesetzt wird, kann eine Oberseite der ersten Monoschicht 206 nach dem Abscheidungsprozess im Wesentlichen rückstandsfrei sein.
  • Die erste Monoschicht 206 aus einem 2D Material kann auf der Metallschicht 204 abgeschieden werden. Bei einigen Ausführungsformen kann die erste Monoschicht 206 direkt auf dem massiven Substrat 202 abgeschieden werden. Bei einigen Ausführungsformen kann die erste Monoschicht 206 unter Verwendung eines geeigneten 2D Materials ausgebildet werden, wie etwa eines hexagonalen Bornitrid-Materials (h-BN-Materials). Die erste Monoschicht 206 kann unter Anwendung geeigneter Abscheidungsverfahren abgeschieden werden, wie etwa (i) Atomlagenabscheidung (Atomic Layer Deposition, ALD); (ii) chemische Gasphasenabscheidung (Chemical Vapor Deposition, CVP), wie etwa Niederdruck-CVD (Low Pressure CVD, LPCVD), Atomlagen-CVD (ALCVD), Ultrahochvakuum-CVD (UHVCVD), CVD bei reduziertem Druck (Reduced Pressure CVD, RPCVD) und beliebige andere geeignete CVD; (iii) Molekularstrahlepitaxie-Prozesse (Molecular Beam Epitaxy processes, MBE processes); (iv) ein beliebiger geeigneter Epitaxie-Prozess; und (v) eine Kombination davon. Eine Dicke t der ersten Monoschicht 206 kann die Dicke einer Monoschicht aus 2D Material sein. Zum Beispiel kann eine erste Monoschicht 206, die unter Verwendung von h-BN Material ausgebildet ist, eine Dicke t von etwa 0,33 nm aufweisen. Bei einigen Ausführungsformen kann die Dicke t zwischen etwa 0,30 nm und etwa 0,36 nm liegen. Bei einigen Ausführungsformen kann die Dicke t zwischen etwa 0,2 nm und etwa 0,8 nm liegen.
  • Es wird auf 1 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 104 eine zweite Monoschicht aus 2D Material an der ersten Monoschicht aus 2D Material befestigt. Wie in 3A dargestellt, wird eine zweite Monoschicht 310 an der ersten Monoschicht 206 befestigt. Bei einigen Ausführungsformen kann vor dem Befestigungsprozess ein Trägerfilm an der zweiten Monoschicht 310 befestigt werden. Zum Beispiel kann ein Trägerfilm 312 an einer Oberseite der zweiten Monoschicht 310 befestigt werden. Bei einigen Ausführungsformen kann der Trägerfilm 312 eine Polymerschicht sein und an die zweite Monoschicht 310 geklebt werden. Bei einigen Ausführungsformen kann der Trägerfilm 312 unter Verwendung von Polymethylmethacrylat (PMMA), Polyvinylalkohol (PVA), Polypropylencarbonat (PPC), Polystyrol (PS), einem beliebigen geeigneten Polymermaterial und Kombinationen davon ausgebildet werden. Bei einigen Ausführungsformen kann der Trägerfilm 312 an der zweiten Monoschicht 310 durch Abscheiden eines Polymermaterials auf der zweiten Monoschicht 310 und Entfernen der zweiten Monoschicht 310 von einem Trägersubstrat befestigt werden.
  • Bei einigen Ausführungsformen kann eine zweite Monoschicht 310 unter Verwendung von Übergangsmetall-Dichalkogeniden (Transition Metal Dichalcogenides, TMDs) ausgebildet werden. Geeignete TMDs können mit MX2 bezeichnet werden, wobei M ein Übergangsmetallelement bezeichnet und X ein Chalkogenelement bezeichnet. Zum Beispiel kann das Übergangsmetallelement Molybdän oder Wolfram sein. Bei einigen Ausführungsformen kann das Chalkogenelement eines von Schwefel, Selen oder Tellur sein. Bei einigen Ausführungsformen können andere geeignete TMD-Materialien verwendet werden. Die Dicke der zweiten Monoschicht 310 kann die Dicke einer Monoschicht des 2D Materials sein. Zum Beispiel kann eine unter Verwendung von Molybdändisulfid ausgebildete zweite Monoschicht 310 eine Dicke von etwa 0,65 nm aufweisen. Bei einigen Ausführungsformen kann die Dicke der zweiten Monoschicht 310 zwischen etwa 0,45 nm und etwa 1,2 nm liegen.
  • Die erste und die zweite Monoschicht 206 und 310 können durch Van-der-Waals-Kräfte aneinander befestigt werden. Der Befestigungsprozess kann in einer Vakuumumgebung durchgeführt werden(z. B. einer Vakuumkammer), um Verunreinigungen durch Fremdstoffe oder Feuchtigkeit an der Grenzfläche, welche die Van-der-Waals-Kräfte beeinträchtigen könnten, zu vermeiden. Nachdem die erste und die zweite Monoschicht 206 und 310 in physischen Kontakt gekommen sind, kann eine optionale äußere Kraft 314 auf eine Oberseite des Trägerfilms 312 ausgeübt werden, um sicherzustellen, dass der Kontakt an der gesamten Grenzfläche zwischen den beiden Monoschichten gleichmäßig ist. Bei einigen Ausführungsformen kann die äußere Kraft einen Druck von etwa 60 N/in2 und etwa 1600 N/in2 an den Grenzflächen zwischen den Seiten bewirken. Ein Druck, der höher als 1600 N/in2 ist, kann eine physische Beschädigung der Filme verursachen, während ein Druck, der niedriger als 60 N/in2 ist, möglicherweise nicht ausreichend ist, um die Stärke der Van-der-Waals-Bindung zu erhöhen. Der Befestigungsprozess kann in einer Vakuumumgebung durchgeführt werden wie etwa einer Prozesskammer, die unter Vakuum gehalten wird. Bei einigen Ausführungsformen kann die Höhe des Vakuums zwischen etwa 1 x 10-3 Torr und etwa 1 x 10-5 Torr gehalten werden, um die Größe der Van-der-Waals-Kräfte an der Grenzfläche zu erhöhen. Die Grenzfläche zwischen der ersten und der zweiten Monoschicht 206 und 310 kann im Wesentlichen rückstandsfrei sein, da der Trägerfilm 312 oder andere Strukturen sich nicht mit der ersten Monoschicht 206 in Kontakt befinden.
  • Obwohl die erste Monoschicht 206 und der Trägerfilm 312, die in 3A dargestellt sind, sich nicht in physischem Kontakt miteinander befinden, können die Ränder der ersten Monoschicht 206 und des Trägerfilms 312 miteinander versiegelt werden, um die zweite Monoschicht 310 während des Transferprozesses zu schützen und zu verhindern, dass sie einer Verunreinigung ausgesetzt wird. Außerdem kann das Versiegeln der Ränder auch die strukturelle Integrität der gestapelten Schichten (die aus dem Trägerfilm und Monoschichten bestehen) während des Transferprozesses verbessern. Um die Filmränder vor dem Transferprozess auf Waferebene zu versiegeln, können die Flächengrößen der ersten Monoschicht 206 und des Trägerfilms 312 beide größer als eine Flächengröße der zweiten Monoschicht 310 sein. Zum Beispiel kann die erste Monoschicht 206 eine kreisförmige Fläche mit einem Durchmesser von etwa 2 Inches aufweisen, und die zweite Monoschicht 310 kann eine kreisförmige Fläche mit einem Durchmesser von weniger als 2 Inches aufweisen, wie etwa zwischen etwa 1,7 Inches und etwa 1,9 Inches. Der Trägerfilm 312 kann einen Durchmesser aufweisen, welcher zwischen etwa 2,1 Inches und etwa 2,5 Inches liegt.
  • Aufgrund des Flächenunterschieds können Abschnitte der ersten Monoschicht 206 und des Trägerfilms 312, welche sich nicht mit der zweiten Monoschicht 310 in Kontakt befinden, auch einander überlappen. Zum Beispiel können, wie in 3B dargestellt ist, welche eine vergrößerte Ansicht 301 der Randbereiche der gestapelten Schichten zeigt, Umfangsabschnitte 312A des Trägerfilms 312 und Umfangsabschnitte 206A der ersten Monoschicht 206 unter der Einwirkung der Kraft 314 verformt werden und sich in physischem Kontakt miteinander befinden, wobei sie die zweite Monoschicht 310 nach dem physischen Kontakt versiegeln. Bei einigen Ausführungsformen kann das Herstellen des physischen Kontakts der ersten Monoschicht 206 und des Trägerfilms 312 zur Ausbildung chemischer Bindungen oder anderer Befestigungsmechanismen an der Grenzfläche der besagten Filme führen, wodurch die strukturelle Integrität der gestapelten Schichten erhöht wird. Die Breite der einander überlappenden Umfangsabschnitte 312A und 206A kann größer als etwa 0,5 mm sein, um eine sichere Abdichtung zu gewährleisten, welche ihre strukturelle Integrität während des Transferprozesses aufrechterhalten kann.
  • Es wird auf 1 Bezug genommen; gemäß einigen Ausführungsform wird im Arbeitsschritt 106 die erste Monoschicht vom Substrat getrennt. Wie in 4 dargestellt, wird ein von der ersten Monoschicht 206 und der zweiten Monoschicht 310 gebildeter Heterostack an der Grenzfläche der ersten Monoschicht 206 und der Metallschicht 204 vom Substrat 201 getrennt. Um eine Trennung nur an der Grenzfläche der ersten Monoschicht 206 und der Metallschicht 204 zu bewirken und dabei den Heterostack intakt zu lassen, kann ein elektrochemischer Delaminationsprozess angewendet werden. Der Stapel von Schichten, der das Substrat 201 enthält, kann in eine wässrige Lösung von Natriumhydroxid (NaOH) eingetaucht werden. An den Schichtenstapel kann eine Gleichspannung angelegt werden, wobei die Metallschicht 204 als Kathode und eine Platinfolie (Pt-Folie), welche oben auf dem Trägerfilm 312 ausgebildet ist, als Anode verwendet wird. Bei einigen Ausführungsformen kann die angelegte Gleichspannung zwischen etwa 3 V und etwa 5 V liegen. Zum Beispiel kann die angelegte Gleichspannung etwa 4 V betragen. Während des elektrochemischen Delaminationsprozesses kann die erste Monoschicht 206 von der Metallschicht 204 durch die Erzeugung von Wasserstoffgas gelöst werden, das an der Grenzfläche der ersten Monoschicht 206 und der Metallschicht 204 gebildet wird. Die erste Monoschicht 206 und die zweite Monoschicht 310 können während des elektrochemischen Delaminationsprozesses durch Van-der-Waals-Kräfte zusammengehalten werden und anschließend auf andere geeignete Substrate übertragen werden. Bei einigen Ausführungsformen kann ein anderer geeigneter Trennungsprozess angewendet werden.
  • Es wird auf 1 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 108 die erste Monoschicht an einem anderen Substrat befestigt. Wie in 5 dargestellt, kann ein Heterostack 501, der von der ersten und der zweiten Monoschicht 206 und 310 gebildet wird, an einem anderen Substrat 502 befestigt werden, zur Verwendung bei weiteren Fertigungsprozessen. Bei einigen Ausführungsformen kann das Substrat 502 ein 4-Inch-Wafer sein, der unter Verwendung von Silizium oder Siliziumoxid ausgebildet ist. Bei einigen Ausführungsformen kann das Substrat 502 ein Wafer sein, der einen beliebigen geeigneten Durchmesser aufweist. Zum Beispiel kann das Substrat 502 ein Wafer mit einem Durchmesser zwischen etwa 5 Inch und etwa 12 Inch sein. Bei einigen Ausführungsformen kann eine Unterseite der ersten Monoschicht 206 an einer Oberseite des Substrats 502 über geeignete Befestigungsmechanismen befestigt werden, wie etwa Van-der-Waals-Bindung, chemische Bindung, Klebstoffe, beliebige geeignete Bindungsverfahren und Kombinationen davon. Bei einigen Ausführungsformen kann der Trägerfilm 312 unter Anwendung geeigneter Verfahren entfernt werden, wie etwa von Trockenätzprozessen, Nassätzprozessen, Plasmaätzprozessen, beliebigen geeigneten Prozessen zum Entfernen und Kombinationen davon. Bei einigen Ausführungsformen kann infolge des Prozesses der Entfernung des Trägerfilms und/oder nachfolgender Prozesse ein Rückstand auf einer Oberseite der zweiten Monoschicht 310 verbleiben, jedoch kann die Grenzfläche zwischen der ersten und der zweiten Monoschicht 206 und 310 geschützt werden, ohne freigelegt zu werden, und im Wesentlichen rückstandsfrei bleiben.
  • 6 ist ein Flussdiagramm eines Verfahrens 600 zum Ausbilden eines Heterostacks, der 2D Materialien enthält, gemäß einigen Ausführungsformen. Für Zwecke der Veranschaulichung werden die Arbeitsschritte, die in 6 angegeben sind, unter Bezugnahme auf den in 7 - 10 dargestellten beispielhaften Fertigungsprozess beschrieben. In Abhängigkeit von speziellen Anwendungen können Arbeitsschritte in einer anderen Reihenfolge ausgeführt werden oder nicht ausgeführt werden. Es ist anzumerken, dass mit dem Verfahren 200 möglicherweise kein vollständiges Halbleiterbauelement hergestellt wird. Dementsprechend versteht es sich, dass zusätzliche Prozesse vor, während und nach dem Verfahren 600 vorgesehen sein können, und dass einige andere Prozesse hier möglicherweise nur kurz beschrieben werden. Ähnliche Elemente in 7 - 10 und 2-5 sind der Einfachheit halber mit denselben Bezeichnungen versehen. Der Transferprozess, der in 7 - 10 beschrieben ist, kann in einer Prozesskammer unter Vakuum durchgeführt werden, ohne dass die Strukturen der Umgebung ausgesetzt werden, was den Vorteil bieten kann, dass eine Oberflächenoxidation und eine Adsorption von Verunreinigungen verhindert werden, was wiederum die Größe der Van-der-Waals-Kräfte an der Grenzfläche erhöht. Bei einigen Ausführungsformen kann das Vakuum zwischen etwa 1 × 10-3 Torr und etwa 1 × 10-5 Torr gehalten werden.
  • Es wird auf 6 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 602 eine erste Monoschicht aus 2D Material auf einem Substrat abgeschieden. Wie in 7 dargestellt, kann eine erste Monoschicht 206 auf einem Substrat 201 abgeschieden werden. Bei einigen Ausführungsformen kann die erste Monoschicht 206 auf einer Metallschicht 204 abgeschieden werden. Ähnlich wie die in 2 beschriebene erste Monoschicht 206 kann die in 7 beschriebene erste Monoschicht 206 unter Verwendung eines h-BN-Materials ausgebildet werden. Bei einigen Ausführungsformen kann die erste Monoschicht 206 direkt auf dem massiven Substrat 202 abgeschieden werden. Die erste Monoschicht 206 kann unter Anwendung beliebiger geeigneter Abscheidungsverfahren abgeschieden werden, wie etwa ALD und CVD.
  • Es wird auf 6 Bezug genommen; gemäß einigen Ausführungsformen werden im Arbeitsschritt 604 ein Trägerfilm und eine Hartmaskenschicht an einer zweiten Monoschicht aus 2D Material befestigt. Wie in 7 dargestellt, kann eine Unterseite einer Hartmaskenschicht 711 an einer Oberseite einer zweiten Monoschicht 310 befestigt werden. Zusätzlich kann ein Trägerfilm 712 an einer Oberseite der Hartmaskenschicht 711 befestigt werden. Bei einigen Ausführungsformen können die zweite Monoschicht 310, die in 7 beschrieben ist, und die zweite Monoschicht 310 unter Verwendung ähnlicher Materialien ausgebildet werden. Zum Beispiel kann die zweite Monoschicht 310 unter Verwendung von TMDs ausgebildet werden. Geeignete TMDs können mit MX2 bezeichnet werden, wobei M ein Übergangsmetallelement bezeichnet und X ein Chalkogenelement bezeichnet. Da die zweite Monoschicht 310 aus einem 2D Material ausgebildet ist, das eine Dicke auf atomarer Ebene aufweist, kann die Hartmaske 711 für zusätzliche mechanische Unterstützung für die zweite Monoschicht 310 sorgen, um ihre strukturelle Integrität bei Fertigungsprozessen zu erhöhen und sie außerdem vor chemischen Reaktionen während der Ausbildung und der Entfernung des Trägerfilms 712 zu schützen. Bei einigen Ausführungsformen kann die Hartmaske 711 aus Aluminiumoxid, Siliziumnitrid, Siliziumoxid, einem beliebigen geeigneten dielektrischen Material und Kombinationen davon ausgebildet sein. Bei einigen Ausführungsformen kann die Hartmaske 711 unter Anwendung von ALD, CVD, PVD, beliebigen geeigneten Abscheidungsverfahren und Kombinationen davon abgeschieden werden. Bei einigen Ausführungsformen kann der Trägerfilm 712 dem in 3 beschriebenen Trägerfilm 312 ähnlich sein und wird der Einfachheit halber hier nicht im Detail beschrieben. Bei einigen Ausführungsformen können die Flächengrößen des Trägerfilms 712 und der ersten Monoschicht 206 größer als die Flächengrößen der zweiten Monoschicht 310 und der Hartmaske 711 sein. Eine äußere Kraft 714 kann auf die Oberseite des Trägerfilms ausgeübt werden, um die Gleichförmigkeit der Bindung und die Stärke der Van-der-Waals-Bindung zu erhöhen. Die Kraft 714 kann der in 3A beschriebenen Kraft 314 ähnlich sein und wird der Einfachheit halber hier nicht im Detail beschrieben.
  • Es wird auf 6 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 606 die zweite Monoschicht aus 2D Material an der ersten Monoschicht aus 2D Material befestigt, und die erste Monoschicht wird vom Substrat getrennt. Wie in 8 dargestellt, wird eine Unterseite der zweiten Monoschicht 310 an einer Oberseite der ersten Monoschicht 206 befestigt. Bei einigen Ausführungsformen werden die genannten Seiten durch Van-der-Waals-Bindung befestigt, wobei ein Heterostack 701 gebildet wird, welcher ein Paar von 2D Materialfilmen der ersten bzw. zweiten Monoschicht 206 und 310 enthält. Die erste Monoschicht 206 kann vom Substrat 201 getrennt werden, indem ein elektrochemischer Delaminationsprozess durchgeführt werden, der dem in 4 beschriebenen Trennungsprozess ähnlich ist. Außerdem können, ähnlich wie bei dem in 3B beschriebenen Versiegelungsprozess, die Umfangsbereiche des Trägerfilms 712 und der ersten Monoschicht 206 zusammengedrückt und miteinander versiegelt werden, um die zweite Monoschicht 310, welche dazwischen eingeschlossen ist, zu schützen.
  • Es wird auf 6 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 608 die erste Monoschicht aus 2D Material an einem anderen Substrat befestigt. Wie in 9 dargestellt, wird die Unterseite der ersten Monoschicht 206 an einem Substrat 902 befestigt, so dass der Heterostack 701 für zusätzliche Fertigungsprozesse vorbereitet werden kann. Bei einigen Ausführungsformen kann das Substrat 902 ein Substrat, ein Halbleiterbauelement oder eine beliebige geeignete Halbleiterstruktur sein. Bei einigen Ausführungsformen kann das Substrat 902 ein 4-Inch-Wafer sein, der unter Verwendung von Silizium oder Siliziumoxid ausgebildet ist. Bei einigen Ausführungsformen kann nach dem Befestigen der ersten Monoschicht 206 an dem Substrat 902 der Trägerfilm 712 unter Anwendung eines Abtragprozesses entfernt werden. Zum Beispiel kann ein Polymerentferner oder eine chemische Nassätzung angewendet werden, um den Trägerfilm 712 zu entfernen und die darunterliegende Hartmaske 711 freizulegen. Es kann ein Rückstand auf der Oberseite der Hartmaskenschicht 711 verbleiben, doch die Oberseite der zweiten Monoschicht 310 kann während des Abtragprozesses des Trägerfilms 712 durch die Hartmaske 711 geschützt werden und im Wesentlichen rückstandsfrei bleiben.
  • Es wird auf 6 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 610 die Hartmaskenschicht von der Oberseite der zweiten Monoschicht aus 2D Material entfernt. Wie in 10 dargestellt, kann die Hartmaske 711 entfernt werden, um die darunterliegende zweite Monoschicht 310 freizulegen. Die Hartmaske 711 kann unter Anwendung von Trockenplasmaätzen, chemischem Nassätzen, beliebigen geeigneten Ätzprozessen und Kombinationen davon entfernt werden. Die Oberseite der zweiten Monoschicht 310 kann nach dem Abtragprozess der Hartmaske 711 im Wesentlichen rückstandsfrei sein, da die zweite Monoschicht 310 inert gegenüber den Ätzprozesses sein kann, die zum Entfernen der Hartmaske 711 angewendet werden. Zum Beispiel kann im Vergleich zu Trägerfilmen aus organischem Polymer die Hartmaske 711 weniger Rückstände auf der zweiten Monoschicht 310 hinterlassen, nachdem sie entfernt wurde.
  • 11 ist ein Flussdiagramm eines Verfahrens 1100 zum Ausbilden eines Heterostacks, der 2D Materialien enthält, gemäß einigen Ausführungsformen. Für Zwecke der Veranschaulichung werden die Arbeitsschritte, die in 11 angegeben sind, unter Bezugnahme auf den in 12 - 15 dargestellten beispielhaften Fertigungsprozess beschrieben. In Abhängigkeit von speziellen Anwendungen können Arbeitsschritte in einer anderen Reihenfolge ausgeführt werden oder nicht ausgeführt werden. Es ist anzumerken, dass mit dem Verfahren 1100 möglicherweise kein vollständiges Halbleiterbauelement hergestellt wird. Dementsprechend versteht es sich, dass zusätzliche Prozesse vor, während und nach dem Verfahren 1100 vorgesehen sein können, und dass einige andere Prozesse hier möglicherweise nur kurz beschrieben werden. Ähnliche Elemente in 12 - 15 und 2-5 sind der Einfachheit halber mit denselben Bezeichnungen versehen. Der Transferprozess, der in 12 - 15 beschrieben ist, kann in einer Prozesskammer unter Vakuum durchgeführt werden, ohne dass die Strukturen einer Umgebung ausgesetzt werden, was den Vorteil bieten kann, dass eine Oberflächenoxidation und eine Adsorption von Verunreinigungen verhindert werden, was wiederum die Größe der Van-der-Waals-Kräfte an der Grenzfläche erhöht. Bei einigen Ausführungsformen kann das Vakuum zwischen etwa 1 × 10-3 Torr und etwa 1 × 10-5 Torr gehalten werden.
  • Es wird auf 11 Bezug genommen; gemäß einigen Ausführungsformen kann im Arbeitsschritt 1102 eine erste Monoschicht aus 2D Material auf einem Substrat abgeschieden werden. Wie in 12 dargestellt, kann eine erste Monoschicht 1206 auf einem Substrat 1202 abgeschieden werden. Bei einigen Ausführungsformen kann die erste Monoschicht 1206 unter Verwendung von TMDs ausgebildet werden. Geeignete TMDs können mit MX2 bezeichnet werden, wobei M ein Übergangsmetallelement bezeichnet und X ein Chalkogenelement bezeichnet. Zum Beispiel kann das Übergangsmetallelement Molybdän oder Wolfram sein. Bei einigen Ausführungsformen kann das Chalkogenelement eines von Schwefel, Selen oder Tellur sein. Bei einigen Ausführungsformen können andere geeignete TMD-Materialien verwendet werden. Die erste Monoschicht 1206 kann unter Anwendung von ALD, LPCVD, ALCVD, UHVCVD, RPCVD, MBE, eines beliebigen geeigneten Epitaxie-Prozesses und von Kombinationen davon abgeschieden werden. Bei einigen Ausführungsformen kann das Substrat 1202 dem in 2 beschriebenen Substrat 202 ähnlich sein und wird der Einfachheit halber hier nicht im Detail beschrieben.
  • Es wird auf 11 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 1104 eine zweite Monoschicht aus 2D Material an der ersten Monoschicht aus 2D Material befestigt. Eine Unterseite einer zweiten Monoschicht 1210 kann an einer Oberseite der ersten Monoschicht 1206 befestigt werden. Die erste und die zweite Monoschicht 1206 und 1210 können durch Van-der-Waals-Bindung aneinander befestigt werden, um einen Heterostack zu bilden. Zusätzlich kann ein Trägerfilm 1212 an einer Oberseite der zweiten Monoschicht 1210 befestigt werden. Bei einigen Ausführungsformen kann die zweite Monoschicht 1210 unter Verwendung eines 2D Materials ausgebildet werden, wie etwa h-BN. Bei einigen Ausführungsformen kann die zweite Monoschicht 1210 unter Verwendung eines beliebigen geeigneten 2D Materials ausgebildet werden, wie etwa von TMD-Materialien. Bei einigen Ausführungsformen können die erste und die zweite Monoschicht 1206 und 1210 unter Verwendung unterschiedlicher 2D Materialien ausgebildet werden. Zum Beispiel kann die erste Monoschicht 1206 unter Verwendung von Molybdändisulfid ausgebildet werden, und die zweite Monoschicht 1210 kann unter Verwendung von h-BN ausgebildet werden. Die erste und die zweite Monoschicht 1206 und 1210 können unter Verwendung von Van-der-Waals-Bindung befestigt werden. Bei einigen Ausführungsformen kann ein Trägerfilm 1212 an einer Oberseite der zweiten Monoschicht 1210 befestigt werden. Der Trägerfilm 1212 kann eine Polymerschicht sein, die unter Verwendung von PMMA, PVA, PPC, PS, eines beliebigen geeigneten Polymermaterials und von Kombinationen davon ausgebildet ist. Bei einigen Ausführungsformen kann der Trägerfilm 1212 dem in 3A und 3B beschriebenen Trägerfilm 312 ähnlich sein und wird der Einfachheit halber hier nicht im Detail beschrieben. Bei einigen Ausführungsformen kann eine äußere Kraft 1214 ausgeübt werden, um die Gleichförmigkeit und Stärke der Bindung zu erhöhen. Die äußere Kraft 1214 kann der Kraft 314 ähnlich sein und wird der Einfachheit halber hier nicht im Detail beschrieben.
  • Es wird auf 11 Bezug genommen; gemäß einigen Ausführungsformen kann im Arbeitsschritt 1106 die erste Monoschicht von dem Substrat getrennt und an einer dritten Monoschicht befestigt werden. Wie in 13 dargestellt, kann die erste Monoschicht 1206 von dem Substrat 1202 getrennt werden. Bei einigen Ausführungsformen kann die erste Monoschicht 1206 von dem Substrat 1202 unter Anwendung eines Ablöseprozesses entfernt werden, wie etwa eines Prozesses des thermischen Ablösens (Thermal Release), eines Prozesses des Ablösens mittels Laser (Laser Release), eines Prozesses der Ultraviolettbehandlung (UV-Behandlung), eines Prozesses des chemischen Ablösens, eines beliebigen geeigneten Abtragprozesses und/oder von Kombinationen davon. Bei einigen Ausführungsformen können Umfangsbereiche des Trägerfilms 1212 und der ersten Monoschicht 1206 miteinander verbunden werden, und die Stärke der Bindung kann ausreichend sein, um das Ablösen der ersten Monoschicht 1206 vom Substrat 1202 mechanisch zu unterstützen. Bei einigen Ausführungsformen kann die erste Monoschicht 1206 auf einer Metallschicht des Substrats 1202 ausgebildet werden, und als Ablösungsprozess kann ein elektrochemischer Delaminationsprozess angewendet werden.
  • Die erste Monoschicht 1206 kann an einer dritten Monoschicht 1322 befestigt werden, die aus 2D Materialien ausgebildet ist. Bei einigen Ausführungsformen kann die dritte Monoschicht 1322 unter Verwendung von h-BN ausgebildet werden. Bei einigen Ausführungsformen kann die dritte Monoschicht 1322 unter Verwendung eines geeigneten 2D Materials ausgebildet werden, wie etwa einer TMD-Schicht. Bei einigen Ausführungsformen werden die erste und die dritte Monoschicht 1206 und 1322 unter Verwendung unterschiedlicher 2D Materialien ausgebildet. Bei einigen Ausführungsformen können die erste Monoschicht 1206 und die dritte Monoschicht 1322 unter Verwendung von Van-der-Waals-Bindung aneinander befestigt werden. Bei einigen Ausführungsformen kann eine äußere Kraft 1314 angewendet werden, um die Gleichförmigkeit der Bindung an Grenzflächen zu erhöhen. Zum Beispiel kann die Kraft 1314 der Kraft 314 ähnlich sein. Bei einigen Ausführungsformen kann eine Flächengröße der ersten Monoschicht 1206 größer als eine Flächengröße der zweiten Monoschicht 1210 sein, und der Verbindungsprozess kann das physische Verbinden von Umfangsbereichen der ersten Monoschicht 1206 und des Trägerfilms 1212 umfassen, um die umschlossene zweite Monoschicht 1210 zu schützen. Die Grenzfläche zwischen der ersten und der zweiten Monoschicht 1206 und 1210 sowie die Grenzfläche zwischen der ersten Monoschicht 1206 und der dritten Monoschicht 1322 können im Wesentlichen rückstandsfrei sein, da diese Grenzflächen während des Transferprozesses eingeschlossen und nicht der Einwirkung von Verunreinigungen ausgesetzt sind. Ein Heterostack 1401 weist eine erste, eine zweite und eine dritte Monoschicht 1206, 1210 und 1322 auf, welche durch Prozesse der Van-der-Waals-Bindung zusammengehalten werden, wie oben in 12 und 13 beschrieben.
  • Es wird auf 11 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 1108 die dritte Monoschicht von dem Substrat getrennt. Wie in 14 dargestellt, kann die dritte Monoschicht 1322 von dem Substrat 1302 getrennt werden. Die dritte Monoschicht 1322 kann von dem Substrat 1302 durch Ziehen des Trägerfilms 1212 in einer Richtung weg vom Substrat 1302 getrennt werden. Bei einigen Ausführungsformen kann das Trennen der dritten Monoschicht 1322 von dem Substrat 1302 dem Prozess des Trennens der ersten Monoschicht 1206 von dem Substrat 1202 ähnlich sein und wird der Einfachheit halber hier nicht im Detail beschrieben.
  • Es wird auf 11 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 1110 die dritte Monoschicht an einem Substrat befestigt, und der Trägerfilm wird entfernt. Wie in 15 dargestellt, kann die dritte Monoschicht 1322 des Heterostacks 1401 an einer Oberseite des Substrats 1502 befestigt werden. Bei einigen Ausführungsformen kann das Substrat 1502 dem in 2 beschriebenen Substrat 202 ähnlich sein. Bei einigen Ausführungsformen kann das Substrat 1502 eine oder mehrere zusätzliche Schichten und geeignete Halbleiterbauelemente aufweisen, die in 15 der Einfachheit halber nicht dargestellt sind. Zum Beispiel kann das Substrat 1502 ein oder mehrere nicht aktive Bauelemente und logische Bauelemente aufweisen, die darin eingebettet sind. Bei einigen Ausführungsformen kann das Substrat 1502 ein 4-Inch-Wafer sein, der unter Verwendung von Silizium oder Siliziumoxid ausgebildet ist. Der Trägerfilm 1212 kann entfernt werden, nachdem der Heterostack 1401 auf eine Oberseite des Substrats 1502 übertragen worden ist. Der Trägerfilm 1212 kann unter Anwendung von Trockenplasmaätzen, chemischem Nassätzen, eines Plasmaätzprozesses, eines beliebigen geeigneten Abtragprozesses und von Kombinationen davon entfernt werden.
  • 16 ist ein Flussdiagramm eines Verfahrens 1600 zum Ausbilden eines Heterostacks, der 2D Materialien enthält, gemäß einigen Ausführungsformen. Für Zwecke der Veranschaulichung werden die Arbeitsschritte, die in 16 angegeben sind, unter Bezugnahme auf den in 17-21 dargestellten beispielhaften Fertigungsprozess beschrieben. In Abhängigkeit von speziellen Anwendungen können Arbeitsschritte in einer anderen Reihenfolge ausgeführt werden oder nicht ausgeführt werden. Es ist anzumerken, dass mit dem Verfahren 1600 möglicherweise kein vollständiges Halbleiterbauelement hergestellt wird. Dementsprechend versteht es sich, dass zusätzliche Prozesse vor, während und nach dem Verfahren 1600 vorgesehen sein können, und dass einige andere Prozesse hier möglicherweise nur kurz beschrieben werden. Ähnliche Elemente in 17 - 21 und 2-5 sind der Einfachheit halber mit denselben Bezeichnungen versehen. Der Transferprozess, der in 17 - 21 beschrieben ist, kann in einer Prozesskammer unter Vakuum durchgeführt werden, ohne dass die Strukturen einer Umgebung ausgesetzt werden, was den Vorteil bieten kann, dass eine Oberflächenoxidation und eine Adsorption von Verunreinigungen verhindert werden, was wiederum die Größe der Van-der-Waals-Kräfte an der Grenzfläche erhöht. Bei einigen Ausführungsformen kann das Vakuum zwischen etwa 1 × 10-3 Torr und etwa 1 × 10-5 Torr gehalten werden.
  • Es wird auf 16 Bezug genommen; gemäß einigen Ausführungsformen kann im Arbeitsschritt 1602 eine erste Monoschicht aus 2D Material auf einem Substrat abgeschieden werden. Wie in 17 dargestellt, kann eine erste Monoschicht 1706 auf einem Substrat 1702 abgeschieden werden. Bei einigen Ausführungsformen kann die erste Monoschicht 1706 unter Verwendung von TMDs ausgebildet werden. Geeignete TMDs können mit MX2 bezeichnet werden, wobei M ein Übergangsmetallelement bezeichnet und X ein Chalkogenelement bezeichnet. Zum Beispiel kann das Übergangsmetallelement Molybdän oder Wolfram sein. Bei einigen Ausführungsformen kann das Chalkogenelement eines von Schwefel, Selen oder Tellur sein. Bei einigen Ausführungsformen können andere geeignete TMD-Materialien verwendet werden. Die erste Monoschicht 1706 kann unter Anwendung von ALD, LPCVD, ALCVD, UHVCVD, RPCVD, MBE, eines beliebigen geeigneten Epitaxie-Prozesses und von Kombinationen davon abgeschieden werden. Bei einigen Ausführungsformen kann die erste Monoschicht 1706 der in 12 beschriebenen ersten Monoschicht 1206 ähnlich sein. Bei einigen Ausführungsformen kann das Substrat 1702 dem in 2 beschriebenen Substrat 202 ähnlich sein und wird der Einfachheit halber hier nicht im Detail beschrieben.
  • Es wird auf 16 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 1604 eine zweite Monoschicht aus 2D Material an der ersten Monoschicht aus 2D Material befestigt. Eine Unterseite einer zweiten Monoschicht 1710 kann an einer Oberseite der ersten Monoschicht 1706 befestigt werden. Die erste und die zweite Monoschicht 1706 und 1710 können durch Van-der-Waals-Bindung aneinander befestigt werden, um einen Heterostack zu bilden. Bei einigen Ausführungsformen kann die zweite Monoschicht 1710 unter Verwendung eines 2D Materials ausgebildet werden, wie etwa h-BN. Bei einigen Ausführungsformen kann die zweite Monoschicht 1710 unter Verwendung eines beliebigen geeigneten 2D Materials ausgebildet werden, wie etwa von TMD-Materialien. Bei einigen Ausführungsformen können die erste und die zweite Monoschicht 1706 und 1710 unter Verwendung unterschiedlicher 2D Materialien ausgebildet werden. Zum Beispiel kann die erste Monoschicht 1706 unter Verwendung von Molybdändisulfid ausgebildet werden, und die zweite Monoschicht 1710 kann unter Verwendung von h-BN ausgebildet werden. Zusätzlich kann eine Hartmaske 1711 auf einer Oberseite der zweiten Monoschicht 1710 ausgebildet werden. Die Hartmaske 1711 kann unter Verwendung eines Materials ausgebildet werden, das demjenigen der Hartmaske 711 ähnlich ist. Zum Beispiel kann die Hartmaske 1711 aus Aluminiumoxidmaterial ausgebildet und unter Anwendung von ALD abgeschieden werden. Ein Trägerfilm 1712 kann an einer Oberseite der Hartmaske 1711 befestigt werden. Der Trägerfilm 1712 kann dem Trägerfilm 312 ähnlich sein und unter Verwendung eines Polymermaterials ausgebildet werden, wie etwa von PMMA, PVA, PPC, PS, eines beliebigen geeigneten Polymermaterials und von Kombinationen davon. Bei einigen Ausführungsformen kann eine äußere Kraft 1714, welche der Kraft 314 ähnlich ist, ausgeübt werden, um die Gleichförmigkeit zwischen den Grenzflächen der Verbindung zu verbessern. davon. Bei einigen Ausführungsformen können Umfangsbereiche des Trägerfilms 1712 und der ersten Monoschicht 1706 miteinander verbunden werden, unter Anwendung eines Prozesses, der demjenigen des Trägerfilms 312 und der ersten Monoschicht 206, der in 3B beschrieben wurde, ähnlich ist und der Einfachheit halber hier nicht im Detail beschrieben wird.
  • Es wird auf 16 Bezug genommen; gemäß einigen Ausführungsformen kann im Arbeitsschritt 1606 die erste Monoschicht von dem Substrat getrennt und an einer dritten Monoschicht befestigt werden, um einen Heterostack aus 2D Materialien zu bilden. Das Trennen der ersten Monoschicht 1706 von dem Substrat 1702 kann durch Ziehen des Trägerfilms 1712 in einer Richtung weg vom Substrat 1702 erreicht werden. Der Trennungsprozess kann demjenigen des Trennens der ersten Monoschicht 1206 von dem Substrat 1202 ähnlich sein und wird der Einfachheit halber hier nicht im Detail beschrieben.
  • Nachdem die erste Monoschicht 1706 vom Substrat 1702 getrennt worden ist, kann die erste Monoschicht 1706 an der dritten Monoschicht 1822 befestigt werden, die aus 2D Materialien ausgebildet ist. Bei einigen Ausführungsformen kann die dritte Monoschicht 1822 unter Verwendung von h-BN ausgebildet werden. Bei einigen Ausführungsformen kann die dritte Monoschicht 1822 unter Verwendung eines geeigneten 2D Materials ausgebildet werden, wie etwa einer TMD-Schicht. Bei einigen Ausführungsformen werden die erste und die dritte Monoschicht 1706 und 1822 unter Verwendung unterschiedlicher 2D Materialien ausgebildet. Bei einigen Ausführungsformen können die erste Monoschicht 1706 und die dritte Monoschicht 1822 unter Verwendung von Van-der-Waals-Bindung aneinander befestigt werden. Bei einigen Ausführungsformen kann eine äußere Kraft 1814 angewendet werden, um die Gleichförmigkeit der Bindung an Grenzflächen zu verbessern. Zum Beispiel kann die Kraft 1814 der Kraft 314 ähnlich sein.
  • Bei einigen Ausführungsformen kann eine Flächengröße der ersten Monoschicht 1706 größer als eine Flächengröße der zweiten Monoschicht 1710 sein, und der Verbindungsprozess kann das physische Verbinden von Umfangsbereichen der ersten Monoschicht 1706 und des Trägerfilms 1712 umfassen, um die umschlossene zweite Monoschicht 1710 zu schützen. Die Grenzfläche zwischen der ersten und der zweiten Monoschicht 1706 und 1710 sowie die Grenzfläche zwischen der ersten Monoschicht 1706 und der dritten Monoschicht 1822 können im Wesentlichen rückstandsfrei sein, da diese Grenzflächen während des Transferprozesses eingeschlossen und nicht der Einwirkung von Verunreinigungen ausgesetzt sind. Ein Heterostack 1801 kann eine erste, eine zweite und eine dritte Monoschicht 1806, 1810 und 1822 aufweisen, welche durch Prozesse der Van-der-Waals-Bindung zusammengehalten werden, wie in 17 und 18 beschrieben.
  • Es wird auf 16 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 1608 die dritte Monoschicht von dem Substrat getrennt. Wie in 19 dargestellt, kann die dritte Monoschicht 1822 von dem Substrat 1802 getrennt werden. Die dritte Monoschicht 1822 kann von dem Substrat 1802 durch Ziehen des Trägerfilms 1712 in einer Richtung weg vom Substrat 1802 getrennt werden. Der Trennungsprozess kann dem in 12 und 14 beschriebenen Trennungsprozess ähnlich sein und wird der Einfachheit halber hier nicht im Detail beschrieben.
  • Es wird auf 16 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 1610 die dritte Monoschicht an einem Substrat befestigt, und der Trägerfilm wird entfernt. Wie in 20 dargestellt, kann die dritte Monoschicht 1822 des Heterostacks 1801 an einer Oberseite des Substrats 1902 befestigt werden. Bei einigen Ausführungsformen kann das Substrat 1902 dem in 2 beschriebenen Substrat 202 ähnlich sein. Bei einigen Ausführungsformen kann das Substrat 1902 eine oder mehrere zusätzliche Schichten und geeignete Halbleiterbauelemente aufweisen, die in 20 der Einfachheit halber nicht dargestellt sind. Der Trägerfilm 1712 kann entfernt werden, nachdem der Heterostack 1801 auf eine Oberseite des Substrats 1902 übertragen worden ist. Der Trägerfilm 1712 kann unter Anwendung von Trockenplasmaätzen, chemischem Nassätzen, eines Plasmaätzprozesses, eines beliebigen geeigneten Abtragprozesses und von Kombinationen davon entfernt werden.
  • Es wird auf 16 Bezug genommen; gemäß einigen Ausführungsformen wird im Arbeitsschritt 1612 die Hartmaskenschicht von der Oberseite der zweiten Monoschicht entfernt. Wie in 21 dargestellt, kann die Hartmaske 1711 entfernt werden, um die darunterliegende zweite Monoschicht 1810 freizulegen. Die Hartmaske 1711 kann unter Anwendung von Trockenplasmaätzen, chemischem Nassätzen, eines beliebigen geeigneten Abtragprozesses und von Kombinationen davon entfernt werden. Der Abtragprozess der Hartmaske 1711 kann dem in 10 beschriebenen Abtragprozess ähnlich sein und wird der Einfachheit halber hier nicht im Detail beschrieben.
  • 22A, 22B und 23 beschreiben beispielhafte zusätzliche Fertigungsprozesse, nachdem der Heterostack ausgebildet worden ist, wie in 1-21 beschrieben. 22A und 22B sind eine Draufsicht bzw. eine Schnittansicht eines Trägerfilms 2220, die auf dem Heterostack 1801 und dem Substrat 1902 von 21 ausgebildet sind. Die in 22B dargestellte Schnittansicht zeigt einen Schnitt entlang der Ebene A-A' von 22A. Es können auch andere geeignete Strukturen ausgebildet werden; sie sind in 22A und 22B der Einfachheit halber nicht dargestellt. Der Trägerfilm 2220 kann verwendet werden, um den Heterostack 1801 zur weiteren Bearbeitung auf andere Substrate zu übertragen.Wie in 22A und 22B dargestellt, kann ein Trägerfilm 2220 auf der Oberseite des Heterostacks 1801 ausgebildet werden. Zum Beispiel kann der Trägerfilm 2220 auf der Oberseite der zweiten Monoschicht 1710 ausgebildet werden. Außerdem kann der Trägerfilm 2220 auch in den Heterostack 1801 abgeschieden werden. Zum Beispiel kann sich ein Trägerfilmgitter 2221 vertikal (z. B. in z-Richtung) durch die erste, zweite und dritte Monoschicht 1706, 1710 und 1822 erstrecken. Der Begriff „vertikal“, wie er hier verwendet wird, bedeutet „senkrecht zur Oberfläche“ eines Substrats. Das Trägerfilmgitter 2221 kann ausgebildet werden, indem mehrere Gräben in den Heterostack 1801 geätzt werden und ein Trägerfilmmaterial in die Gräben abgeschieden wird, bis die Gräben vollständig gefüllt sind. Bei einigen Ausführungsformen können das Trägerfilmgitter 2221 und der Trägerfilm 2220 unter Verwendung desselben Materials ausgebildet werden, wie etwa eines Polymermaterials. Die Abscheidung des Trägerfilmmaterials kann fortgesetzt werden, bis der Trägerfilm 2220 auf der Oberseite der zweiten Monoschicht 1710 ausgebildet ist. Die Implementierung eines Trägerfilmgitters 2221 kann die strukturelle Integrität des Heterostacks 1801 während eines Transferprozesses verbessern. Der Trägerfilm 2220 und das Trägerfilmgitter 2221 können mithilfe von Materialien und Prozessen ausgebildet werden, die denjenigen des Trägerfilms 312 ähnlich sind, und werden der Einfachheit halber hier nicht im Detail beschrieben. Wie in 22A und 22B dargestellt, kann das Trägerfilmgitter 2221 den Heterostack 1801 in eine Anordnung von Dies 2230 aufteilen, wobei jeder Die einen Heterostack aus 2D Materialien aufweist. Bei einigen Ausführungsformen kann die Grenze jedes Dies der Anordnung von Dies 2230 auch mit Halbleiter-Dies fluchten, auf welche der Heterostack 1801 übertragen werden soll. Bei einigen Ausführungsformen kann das Trägerfilmgitter 2221 auch in Heterostacks 501, 701 und 1401 implementiert werden, die in 5, 7 bzw. 14 beschrieben sind.
  • 23 veranschaulicht eine dreidimensionale (3D) monolithische Halbleiterstruktur gemäß einigen Ausführungsformen, in die Halbleiterbauelemente integriert sind, die Heterostacks aus 2D Materialien aufweisen. Die Heterostacks aus 2D Materialien können auf vorhandene Halbleiterbauelemente unter Anwendung eines oder mehrerer der Transferprozesse übertragen werden, die oben in 1 - 22B beschrieben wurden. Zusätzliche Strukturen können in der in 23 dargestellten Struktur enthalten sein und sind der Einfachheit halber nicht dargestellt.
  • Die in 23 dargestellte 3D monolithische Halbleiterstruktur kann mehrere Front-End-of-Line-Strukturen (FEOL-Strukturen) 2300 und mehrere Back-End-of-Line-Strukturen (BEOL-Strukturen) 2320 aufweisen. Der Heterostack 1801 von 21, 22A und 22B kann auf Oberseiten der BEOL-Strukturen 2320 unter Verwendung des Trägerfilms 2220 und des Trägerfilmgitters 2221 übertragen werden, die in 22A und 22B beschrieben sind. Nach dem Transferprozess können der Trägerfilm 2220 und das Trägerfilmgitter 2221 durch geeignete Ätzprozesse entfernt werden.
  • Die FEOL-Strukturen 2300 können mehrere Transistoren aufweisen, wie etwa Transistoren 2303, die über einem Substrat 2302 ausgebildet sind. Das Substrat 2302 kann dem in 2 beschriebenen Substrat 202 ähnlich sein und wird der Einfachheit halber hier nicht im Detail beschrieben. Die Transistoren 2303 können verschiedene Typen von Transistorbauelementen enthalten, wie etwa ein Paar von n- und p-Metall-Oxid-Halbleiter-Transistoren (n- und p-MOS-Transistoren). Die Transistoren 2303 können ein Substrat 2301, ein Paar Source/Drain-Bereiche 2304, eine Gate-Dielektrikumsschicht 2305, Spacer 2306, eine Gate-Elektrode 2307 und Source/Drain-Kontakte 2308 aufweisen. Die Source/Drain-Bereiche beziehen sich auf die Source- und/oder Drain-Übergänge, welche zwei Anschlüsse eines FET bilden. Zusätzliche Strukturen können in den Transistoren 2303 ausgebildet sein und sind in 23 der Einfachheit halber nicht dargestellt.
  • Die BEOL-Strukturen 2320 können mehrere Interconnect-Strukturen aufweisen, die in einer dielektrischen Zwischenschicht (Interlayer Dielectric Layer, ILD-Schicht) ausgebildet sind. Zum Beispiel können in der ILD-Schicht 2322 ausgebildete Durchkontaktierungen 2324 elektrisch und physisch mit Source/Drain-Kontakten 2308 der FEOL-Strukturen 2300 verbunden werden. Leiterbahnen 2326, die in der ILD-Schicht 2322 ausgebildet sind, können mit einer oder mehreren Durchkontaktierungen 2324 verbunden werden, um eine seitliche elektrische Verbindung (z. B. in x-Richtung) bereitzustellen. Durchkontaktierungen 2324 können auch mit anderen Strukturen verbunden werden, die über BEOL-Strukturen 2320 ausgebildet sind. Bei einigen Ausführungsformen können die Durchkontaktierungen 2324 und Leiterbahnen 2326 unter Verwendung von Kupfer, Cobalt, eines beliebigen geeigneten leitfähigen Materials und von Kombinationen davon ausgebildet werden.
  • Auf den BEOL-Strukturen 2320 können Halbleiterstrukturen 2340 ausgebildet werden. Die Halbleiterstrukturen 2340 können Transistoren 2343 aufweisen, in welche Heterostacks aus 2D Materialien integriert sind. Zum Beispiel können die Heterostacks 1801 von 22A und 22B übertragen und auf einer Oberseite der ILD-Schicht 2322 der BEOL-Strukturen 2320 angeordnet werden. Heterostacks, die von mehreren Schichten von 2D Materialien gebildet werden, können als Kanalbereiche 2345 verwendet werden, um die Bauelementleistung der Transistoren 2343 zu verbessern. Abschnitte von Heterostacks 1801 können als Kanalbereiche für Transistoren 2343 verwendet werden. Obwohl in 23 der Heterostack 1801 dargestellt ist, können auch andere Heterostacks in Transistoren 2343 implementiert werden. Zum Beispiel können die Heterostacks 501, 701 und 1401, die in 5, 7 bzw. 14 beschrieben sind, ebenfalls in Transistoren 2343 implementiert werden. Zusätzliche Schichten von 2D Materialien können unter Anwendung der Transferprozesse auf Waferebene hinzugefügt werden, wie in der vorliegenden Offenbarung beschrieben, bis die nominelle Dicke oder nominelle elektrische Eigenschaften des Heterostacks erreicht worden sind. Wie in 23 dargestellt, können Transistorbauelemente 2343 einen Kanalbereich 2345 aufweisen, der zwischen Source/Drain-Bereichen 2348 ausgebildet ist. Die Source/Drain-Bereiche 2348 können unter Verwendung eines leitfähigen Materials ausgebildet werden, wie etwa von Kupfer und dotiertem Silizium. Bei einigen Ausführungsformen können Kanalbereiche 2345 unter Verwendung von Dies 2230 ausgebildet werden, die in einem Heterostack 1810 durch ein Trägerfilmgitter 2221 ausgebildet sind, das in 22A und 22B beschrieben ist. Die Transistorbauelemente 2343 können außerdem Gate-Dielektrikumsschichten 2345, Spacer 2346 und eine Gate-Elektrode 2347 aufweisen. Weitere Strukturen können ebenfalls in Transistorbauelementen 2343 enthalten sein und sind der Einfachheit halber nicht dargestellt. Zum Beispiel können eine oder mehrere Austrittsarbeitsschichten zwischen einer Gate-Dielektrikumsschicht 2345 und einer Gate-Elektrode 2347 ausgebildet werden. Source/Drain-Bereiche 2348 von Transistoren 2343 können mit Source/Drain-Kontakten 2308 von Transistoren 2303 durch die Interconnect-Strukturen elektrisch verbunden werden, die in BEOL-Strukturen 2320 ausgebildet sind. Source/Drain-Bereiche 2348 können durch Ätzen von Öffnungen durch die ILD-Schicht 2342 und den Heterostack 1801 hindurch und Abscheiden von leitfähigem Material in die Öffnungen ausgebildet werden. Bei einigen Ausführungsformen kann das leitfähige Material Kupfer enthalten.
  • Verschiedene Ausführungsformen in der vorliegenden Offenbarung beschreiben Verfahren zum Ausbilden von Halbleiterbauelementen, welche im Wesentlichen rückstandsfreie 2D Materialien enthalten. Schichten aus 2D Material können durch Van-der-Waals-Kräfte übereinander gestapelt und auf ein Substrat übertragen werden. Eine Monoschicht aus 2D Material wird an einer anderen Monoschicht aus 2D Material durch Van-der-Waals-Kräfte befestigt, um einen Van-der-Waals-Heterostack zu bilden. Weitere Monoschichten aus 2D Materialien können zu dem Heterostack hinzugefügt werden, indem weitere Befestigungsprozesse und Transferprozesse auf Waferebene durchgeführt werden. Der Stapel kann an einer Trägerschicht (z. B. einem Polymerfilm) befestigt und zur weiteren Verarbeitung auf andere Substrate oder Bauelemente übertragen werden. Die Nutzung von Van-der-Waals-Kräften, um einen Heterostack von 2D Materialien zu bilden, kann unter anderem den Vorteil von im Wesentlichen rückstandsfreien Flächen und intakten Schichten nach dem Transfer verschaffen. Außerdem werden keine Klebstoffe benötigt, um die Schichten von 2D Material während des Transferprozesses auf Waferebene miteinander zu verbinden.
  • Bei einigen Ausführungsformen umfasst ein Verfahren das Ausbilden einer ersten zweidimensionalen (2D) Schicht auf einem ersten Substrat und das Befestigen einer zweiten 2D Schicht an einem Trägerfilm. Das Verfahren umfasst außerdem die Bindung der zweiten 2D Schicht an die erste 2D Schicht, um einen Heterostack zu bilden, der die erste und die zweite 2D Schicht aufweist. Das Verfahren umfasst ferner das Trennen der ersten 2D Schicht des Heterostacks von dem ersten Substrat und das Befestigen des Heterostacks an einem zweiten Substrat. Das Verfahren umfasst ferner das Entfernen des Trägerfilms von der zweiten 2D Schicht.
  • Bei einigen Ausführungsformen umfasst ein Verfahren das Ausbilden einer ersten zweidimensionalen (2D) Schicht auf einer Metallschicht und das Abscheiden einer Hartmaskenschicht auf einer zweiten 2D Schicht. Das Verfahren umfasst außerdem das Befestigen eines Trägerfilms an der Hartmaskenschicht und die Bindung der zweiten 2D Schicht an die erste 2D Schicht, um einen Heterostack zu bilden, der die erste und die zweite 2D Schicht aufweist. Das Verfahren umfasst ferner das Trennen der ersten 2D Schicht des Heterostacks von der Metallschicht und das Befestigen des Heterostacks an einem zweiten Substrat. Das Verfahren umfasst ferner das Entfernen des Trägerfilms und der Hartmaskenschicht von der zweiten 2D Schicht.
  • Bei einigen Ausführungsformen umfasst ein Verfahren das Ausbilden einer ersten zweidimensionalen (2D) Schicht auf einem Substrat und die Bindung einer zweiten 2D Schicht an die erste 2D Schicht. Das Verfahren umfasst ferner das Trennen der ersten 2D Schicht von dem Substrat und die Bindung der ersten 2D Schicht an eine dritte 2D Schicht, um einen Heterostack zu bilden, der die erste, die zweite und die dritte 2D Schicht aufweist. Das Verfahren umfasst außerdem das Ausbilden eines Transistors, welches das Ausbilden eines ersten und eines zweiten Source/Drain-Bereichs in dem Heterostack; das Ausbilden eines Kanalbereichs unter Verwendung eines Abschnitts des Heterostacks zwischen dem ersten und dem zweiten Source/Drain-Bereich; und das Ausbilden einer Gate-Elektrode über dem Kanalbereich umfasst.
  • In der vorstehenden Offenbarung werden Merkmale verschiedener Ausführungsformen dargelegt, so dass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Offenbarung ohne Weiteres als Grundlage zum Gestalten oder Modifizieren anderer Prozesse und Strukturen zum Erreichen derselben Ziele und/oder zum Erzielen derselben Vorteile wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von der Grundidee und vom Schutzumfang der vorliegenden Offenbarung abweichen, und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von der Grundidee und vom Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/031229 [0001]

Claims (20)

  1. Verfahren, welches umfasst: Ausbilden einer ersten zweidimensionalen (2D) Schicht auf einem ersten Substrat; Befestigen einer zweiten 2D Schicht an einem Trägerfilm; Binden der zweiten 2D Schicht an die erste 2D Schicht, um einen Heterostack zu bilden, der die erste und die zweite 2D Schicht aufweist; Trennen der ersten 2D Schicht des Heterostacks von dem ersten Substrat; Befestigen des Heterostacks an einem zweiten Substrat; und Entfernen des Trägerfilms von der zweiten 2D Schicht.
  2. Verfahren nach Anspruch 1, wobei das Binden eine Van-der-Waals-Bindung umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Ausbilden der ersten 2D Schicht ein Abscheiden eines hexagonalen Bornitrid-Materials (h-BN-Materials) umfasst.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Befestigen der zweiten 2D Schicht an dem Trägerfilm umfasst: Aufwachsen der zweiten 2D Schicht auf einer Stützstruktur; und Pressen des Trägerfilms auf die zweite 2D Schicht.
  5. Verfahren nach Anspruch 4, wobei das Aufwachsen der zweiten 2D Schicht ein Abscheiden eines Übergangsmetall-Dichalkogenid-Materials (Transition Metal Dichalcogenide Material, TMD-Material) umfasst.
  6. Verfahren nach Anspruch 5, wobei das Übergangsmetall-Dichalkogenid-Material MX2 umfasst, wobei M ein Übergangsmetallelement umfasst und X ein Chalkogenelement umfasst.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei der Trägerfilm einen Polymerfilm enthält.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Befestigen des Heterostacks ein Befestigen der ersten 2D Schicht an einer Metallschicht umfasst, die auf dem zweiten Substrat ausgebildet ist.
  9. Verfahren nach einem der vorhergehenden Ansprüche, welches ferner ein Befestigen eines Umfangsabschnitts des Trägerfilms an einem Umfangsabschnitt der ersten 2D Schicht umfasst.
  10. Verfahren nach Anspruch 9, wobei das Befestigen des Umfangsabschnitts des Trägerfilms umfasst zu bewirken, dass sich die Umfangsabschnitte des Trägerfilms und der ersten 2D Schicht überlappen, so dass sich die zweite 2D Schicht nicht in Kontakt mit den Umfangsabschnitten des Trägerfilms und der ersten 2D Schicht befindet.
  11. Verfahren, welches umfasst: Ausbilden einer ersten zweidimensionalen (2D) Schicht auf einer Metallschicht; Abscheiden einer Hartmaskenschicht auf einer zweiten 2D Schicht; Befestigen eines Trägerfilms an der Hartmaskenschicht; Binden der zweiten 2D Schicht an die erste 2D Schicht, um einen Heterostack zu bilden, der die erste und die zweite 2D Schicht aufweist; Trennen der ersten 2D Schicht des Heterostacks von der Metallschicht; Befestigen des Heterostacks an einem zweiten Substrat; und Entfernen des Trägerfilms und der Hartmaskenschicht von der zweiten 2D Schicht.
  12. Verfahren nach Anspruch 11, wobei das Binden eine Van-der-Waals-Bindung umfasst.
  13. Verfahren nach Anspruch 11 oder 12, wobei das Ausbilden der ersten 2D Schicht ein Abscheiden eines hexagonalen Bornitrid-Materials (h-BN-Materials) umfasst.
  14. Verfahren nach einem der Ansprüche 11 bis 13, wobei das Befestigen der zweiten 2D Schicht an dem Trägerfilm ein Aufwachsen der zweiten 2D Schicht auf einer Stützstruktur und ein Pressen des Trägerfilms auf die zweite 2D Schicht umfasst.
  15. Verfahren nach Anspruch 14, wobei das Aufwachsen der zweiten 2D Schicht ein Abscheiden eines Übergangsmetall-Dichalkogenid-Materials (Transition Metal Dichalcogenide Material, TMD-Material) umfasst.
  16. Verfahren, welches umfasst: Ausbilden einer ersten zweidimensionalen (2D) Schicht auf einem Substrat; Binden einer zweiten 2D Schicht an die erste 2D Schicht; Trennen der ersten 2D Schicht von dem Substrat; Binden der ersten 2D Schicht an eine dritte 2D Schicht, um einen Heterostack zu bilden, der die erste, die zweite und die dritte 2D Schicht aufweist; und Ausbilden eines Transistors, welcher umfasst: Ausbilden eines ersten und eines zweiten Source/Drain-Bereichs in dem Heterostack; Ausbilden eines Kanalbereichs unter Verwendung eines Abschnitts des Heterostacks zwischen dem ersten und dem zweiten Source/Drain-Bereich; und Ausbilden einer Gate-Elektrode über dem Kanalbereich.
  17. Verfahren nach Anspruch 16, wobei das Ausbilden der ersten 2D Schicht ein Aufwachsen eines Übergangsmetall-Dichalkogenid-Materials (Transition Metal Dichalcogenide Material, TMD-Material) umfasst.
  18. Verfahren nach Anspruch 16 oder 17, welches ferner umfasst: Ätzen mehrerer Gräben in den Heterostack; und Abscheiden eines Trägerfilms in die mehreren Gräben und auf eine Oberseite des Heterostacks.
  19. Verfahren nach einem der Ansprüche 16 bis 18, wobei die zweite und die dritte 2D Schicht hexagonales Bornitrid (h-BN) umfassen.
  20. Verfahren nach einem der Ansprüche 16 bis 19, welches ferner umfasst: Befestigen der dritten 2D Schicht des Heterostacks an einer Oberseite eines anderen Transistors; und elektrisches Verbinden des ersten und des zweiten Source/Drain-Bereichs mit einem ersten bzw. einem zweiten Anschluss des anderen Transistors.
DE102020128628.7A 2020-05-28 2020-10-30 Halbleiterbauelement mit zweidimensionalen materialien Pending DE102020128628A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031229P 2020-05-28 2020-05-28
US63/031,229 2020-05-28
US17/078,247 2020-10-23
US17/078,247 US11688605B2 (en) 2020-05-28 2020-10-23 Semiconductor device with two-dimensional materials

Publications (1)

Publication Number Publication Date
DE102020128628A1 true DE102020128628A1 (de) 2021-12-02

Family

ID=77570700

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020128628.7A Pending DE102020128628A1 (de) 2020-05-28 2020-10-30 Halbleiterbauelement mit zweidimensionalen materialien

Country Status (5)

Country Link
US (1) US20230307234A1 (de)
KR (1) KR102652151B1 (de)
CN (1) CN113380629A (de)
DE (1) DE102020128628A1 (de)
TW (1) TWI785466B (de)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257509B2 (en) * 2010-12-21 2016-02-09 The Trustees Of Columbia University In The City Of New York Electrical devices with graphene on boron nitride
CN103493203B (zh) * 2011-03-22 2016-12-28 曼彻斯特大学 晶体管器件以及用于制造晶体管器件的材料
KR20140114199A (ko) * 2013-03-18 2014-09-26 삼성전자주식회사 이종 적층 구조체 및 그 제조방법, 및 상기 이종 적층 구조체를 구비하는 전기소자
JP2015231682A (ja) * 2014-06-09 2015-12-24 覚 増渕 ファンデアワールスヘテロ構造の作製方法
KR101770235B1 (ko) * 2015-07-29 2017-08-22 한국표준과학연구원 2 차원 전이금속 디칼코지나이드의 제조 방법
US10424782B2 (en) * 2018-01-09 2019-09-24 Saudi Arabian Oil Company Nanocomposite electrode materials for use in high temperature and high pressure rechargeable batteries
US11037783B2 (en) * 2018-09-25 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using transition metal dichalcogenide and a method for forming the same

Also Published As

Publication number Publication date
TWI785466B (zh) 2022-12-01
US20230307234A1 (en) 2023-09-28
CN113380629A (zh) 2021-09-10
KR20210148835A (ko) 2021-12-08
KR102652151B1 (ko) 2024-03-27
TW202145374A (zh) 2021-12-01

Similar Documents

Publication Publication Date Title
DE102017114427B4 (de) Verfahren zur Herstellung von Trennelementen für Halbleiterstrukturen
DE112006000175B4 (de) Graben Schottky-Sperrschichtdiode mit unterschiedlicher Oxiddicke und Verfahren zu ihrer Herstellung
DE102004036734A1 (de) Kostengünstige organische Solarzelle und Verfahren zur Herstellung
WO2007124737A1 (de) Strahlungsemittierender halbleiterkörper mit trägersubstrat und verfahren zur herstellung eines solchen
DE112012004882B4 (de) Verbesserung von Metallkontakten zu Gruppe-IV-Halbleitern durch Einfügung grenzflächiger atomischer Monoschichten
DE102020100942B4 (de) Halbleitervorrichtung und verfahren zur herstellung einer halbleitervorrichtung
DE102012206482A1 (de) Solarzellen mit Wafer-Verbindung und Herstellungsverfahren
DE102014107105B4 (de) Verfahren zur verarbeitung eines trägers und eine elektronische komponente
DE112019007477T5 (de) Halbleitereinheit und herstelungsverfahren für eine halbleitereinheit
DE102015102718A1 (de) Halbleitervorrichtung mit plattiertem Leiterrahmen und Verfahren zum Herstellen davon
DE102021112667A1 (de) Zweidimensionales (2d) material für oxidhalbleiter-ferroelektrische-feldeffekttransistor-vorrichtung (os-fefet-vorrichtung)
DE102017119047B4 (de) Verfahren zur herstellung von monolithischen dreidimensionalen (3d) integrierten schaltkreisen
DE102019127654A1 (de) Hexagonale bornitrid-einkristallschicht und verfahren zur bildung derselben
DE102014101283A1 (de) Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
DE102016115336B4 (de) Verfahren zum verarbeiten eines trägers und verfahren zum übertragen einer graphenschicht
DE102016116192B3 (de) Photovoltaikmodul mit integriert serienverschalteten Stapel-Solarzellen und Verfahren zu seiner Herstellung
DE102020128628A1 (de) Halbleiterbauelement mit zweidimensionalen materialien
DE102015122639A1 (de) Halbleiteranordnung mit vergrabener anodischer oxidstruktur und herstellungsverfahren
EP0429697B1 (de) Halbleiterscheibe mit dotiertem Ritzrahmen
DE102019101304B4 (de) Leistungshalbleitervorrichtung und Verfahren zum Bilden einer Leistungshalbleitervorrichtung
DE112021000892T5 (de) Halbleiterbauteil, ein dieses aufweisendes halbleitergehäuse, und verfahren zur herstellung eines halbleiterbauteils
DE102021110375A1 (de) Vergrösserung von abscheidungsfenster
DE102016110523B4 (de) Verarbeiten einer Leistungshalbleitervorrichtung
US11688605B2 (en) Semiconductor device with two-dimensional materials
DE112018001069B4 (de) Verfahren zum Ausbilden einer Halbleitereinheit und Halbleitereinheit

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication