TWI785466B - 形成半導體裝置的方法 - Google Patents

形成半導體裝置的方法 Download PDF

Info

Publication number
TWI785466B
TWI785466B TW110101678A TW110101678A TWI785466B TW I785466 B TWI785466 B TW I785466B TW 110101678 A TW110101678 A TW 110101678A TW 110101678 A TW110101678 A TW 110101678A TW I785466 B TWI785466 B TW I785466B
Authority
TW
Taiwan
Prior art keywords
layer
monolayer
forming
substrate
carrier film
Prior art date
Application number
TW110101678A
Other languages
English (en)
Other versions
TW202145374A (zh
Inventor
陳則安
李連忠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/078,247 external-priority patent/US11688605B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145374A publication Critical patent/TW202145374A/zh
Application granted granted Critical
Publication of TWI785466B publication Critical patent/TWI785466B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • H01L21/187Joining of semiconductor bodies for junction formation by direct bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/7806Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
    • H01L21/7813Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate leaving a reusable substrate, e.g. epitaxial lift off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1606Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/7606Transistor-like structures, e.g. hot electron transistor [HET]; metal base transistor [MBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate

Abstract

本揭示內容描述一種方法其包括在第一基板上形成第一二維(2D)層並且將第二2D層附接至載體膜。方法亦包括將第二2D層鍵結至第一2D層以形成包括第一和第二2D層的異質堆疊。方法進一步包括使異質堆疊的第一2D層與第一基板分離並且將異質堆疊附接至第二基板。方法進一步包括將載體膜從第二2D層移除。

Description

形成半導體裝置的方法
本揭示內容係關於形成具有二維材料的半導體裝置的方法。
隨著半導體技術的進步,對更高儲存容量、更快處理系統、更高性能、和更低成本的需求不斷增長。為了滿足此等需求,半導體工業持續縮小半導體裝置的尺寸。二維(two dimensional;2D)材料層可用於形成半導體裝置的通道區域,以減少裝置覆蓋區並提高裝置性能。
本揭示內容的一些實施方式提供了一種形成半導體裝置的方法,包含:在第一基板上方形成第一二維(2D)層;將第二2D層附接至載體膜;將第二2D層鍵結至第一2D層以形成包含第一2D層和第二2D層的異質堆疊;使異質堆疊的第一2D層與第一基板分離;將異質堆疊附接至第二基板;以及將載體膜從第二2D層移除。
本揭示內容的另一些實施方式提供了一種形成半 導體裝置的方法,包含:在金屬層上方形成第一二維(2D)層;在第二2D層上沈積硬遮罩層;將載體膜附接至硬遮罩層;將第二2D層鍵結至第一2D層以形成包含第一2D層和第二2D層的異質堆疊;將異質堆疊的第一2D層與金屬層分離;將異質堆疊附接至第二基板;以及將載體膜和硬遮罩層從第二2D層移除。
本揭示內容又另一些實施方式提供了一種形成半導體裝置的方法,包含:在基板上形成第一二維(2D)層;將第二2D層鍵結至第一2D層;將第一2D層與基板分離;將第一2D層鍵結至第三2D層以形成包含第一2D層、第二2D層、和第三2D層的異質堆疊;以及形成電晶體。形成電晶體包含:在異質堆疊中形成第一和第二源極/汲極區域;使用異質堆疊的在第一源極/汲極區域與第二源極/汲極區域之間的一部分來形成通道區域;和在通道區域上方形成閘極電極。
100:方法
102:操作
104:操作
106:操作
108:操作
201:基板
202:塊體基板
204:金屬層
206:第一單層
206A:周界部分
301:放大視圖
310:第二單層
312:載體膜
312A:周界部分
314:力
501:異質堆疊
502:基板
600:方法
602:操作
604:操作
606:操作
608:操作
610:操作
701:異質堆疊
711:硬遮罩層
712:載體膜
714:力
902:基板
1100:方法
1102:操作
1104:操作
1106:操作
1108:操作
1202:基板
1206:第一單層
1210:第二單層
1212:載體膜
1214:力
1302:基板
1314:力
1322:第三單層
1401:異質堆疊
1502:基板
1600:方法
1602:操作
1604:操作
1606:操作
1608:操作
1610:操作
1612:操作
1702:基板
1706:第一單層
1710:第二單層
1711:硬遮罩層
1712:載體膜
1714:外力
1801:異質堆疊
1802:基板
1806:第一單層
1810:第二單層
1814:外力
1822:第三單層
1902:基板
2220:載體膜
2221:載體膜網格
2230:晶粒
2300:前段製程結構
2301:基板
2302:基板
2303:電晶體
2304:源極/汲極區域
2305:閘極介電質層
2306:間隔物
2307:閘極電極
2308:源極/汲極接點
2320:後段製程結構
2322:層間介電質層
2324:導孔
2326:導電線
2340:半導體結構
2342:層間介電質層
2343:電晶體(電晶體裝置)
2344:通道區域
2345:閘極介電質層
2346:間隔物
2347:閘極電極
2348:源極/汲極區域
A-A’:平面
由以下的詳細描述,並與所附圖式一起閱讀,會最佳地理解本揭示內容的各方面。要強調的是,根據業界的標準作法,各個特徵沒有按比例繪製。事實上,為了清楚地討論,各個特徵的尺寸可任意地增加或減小。
第1圖為根據一些實施方式的用於轉移多個二維(2D)材料層的方法的流程圖。
第2圖至第5圖為根據一些實施方式,繪示在2D材料的多個層的製造製程的各個階段時的2D材料的多個層的各個視圖。
第6圖為根據一些實施方式的用於轉移多個2D材料層的方法的流程圖。
第7圖至第10圖為根據一些實施方式,繪示在2D材料的多個層的製造製程的各個階段時的2D材料的多個層的各個視圖。
第11圖為根據一些實施方式的用於轉移多個2D材料層的方法的流程圖。
第12圖至第15圖為根據一些實施方式,繪示在2D材料的多個層的製造製程的各個階段時的2D材料的多個層的各個視圖。
第16圖為根據一些實施方式的用於轉移多個2D材料層的方法的流程圖。
第17圖至第21圖為根據一些實施方式,繪示在2D材料的多個層的製造製程的各個階段時的2D材料的多個層的各個視圖。
第22A圖和第22B圖為根據一些實施方式,繪示在轉移製程期間的多種2D材料的異質堆疊。
第23圖為根據一些實施方式,繪示三維(3D)整體(monolithic)半導體裝置。
現在參考所附圖式來描述多個說明性實施方式。在圖式中,相同參考標號通常指示相同、在功能上類似、和/或 在結構上類似的多個元件。
以下揭示內容提供實行所提供主題的不同特徵的不同實施方式、或實施例。以下描述組件和佈置的特定實施例以便簡化本揭示內容。當然,此等僅僅為實施例並且不意欲具有限制性。例如,在以下描述中,在第二特徵上方形成第一特徵可包括其中第一和第二特徵直接接觸地形成的實施方式,並且亦可包括其中附加的特徵設置在第一與第二特徵之間,以使得第一與第二特徵不直接接觸的實施方式。另外,本揭示內容可在各個實施例中重複參考數字和/或字母。此重複本身不指示所論述的各個實施方式和/或配置之間的關係。
為了便於描述,可在本文中使用空間相對用語,諸如「在...之下」、「在...以下」、「下方」、「在...以上」、「上方」、和類似用語,以便描述如在圖式中所示的一個元件或特徵與另外一或多個元件或一或多個特徵的關係。除了圖式中描述的取向以外,空間相對用語意欲涵蓋在使用中或操作中的裝置的不同取向。裝置可以其他的方式取向(旋轉90度或處於其他的取向),並且本文使用的空間相對描述用語可同樣地相應地解釋。
如本文使用的用語「標稱」係指在產物或製程的設計階段期間設定的組件或製程操作的特徵或參數的期望、或目標數值,以及高於和/或低於此期望數值的數值的範圍。 數值的範圍通常歸因於在製造製程或容差中的輕微變異。
在一些實施方式中,用語「約」和「實質上」可指示在數值的5%(例如,值的±1%、±2%、±3%、±4%、±5%)內變化的給定數量的數值。此等數值僅僅為實施例並且不意欲具有限制性。用語「約」和「實質上」可指代如相關技術的熟習人士鑒於本文中的教導所理解的數值的百分比。
本揭示內容提供形成示例互補金屬氧化物半導體(CMOS)裝置的方法。方法亦可應用於形成任何合適的半導體結構,諸如閘極全環(GAA)場效應電晶體(FET)、鰭式場效電晶體(FinFET)、水平或垂直的閘極全環場效電晶體、和平面型場效電晶體。場效電晶體的一實施例為金屬氧化物半導體場效應電晶體(MOSFET)。金屬氧化物半導體場效應電晶體可為例如(i)建置在基板(例如半導體晶圓)的平面的表面裡面和上面的平面的結構,或(ii)以垂直的結構建置。用語「鰭式場效電晶體」係指在相對於晶圓的平面的表面垂直地取向的鰭片上方形成的場效電晶體。如本文中所使用的用語「垂直」意謂名義上垂直於基板的表面。
儘管實行各種增強技術,諸如用於增強靜電控制的新穎裝置架構、經由應變通道的傳輸增強、經改良的摻雜劑活化、和寄生電阻降低,但是當前矽基電晶體的性能和可調整尺寸之能力達到基本極限。隨著裝置尺寸減小以達成更高的封裝密度,縮小矽基電晶體一直是一個難題。
二維(two-dimensional;2D)材料為經由化學鍵來保持在一起的材料單層並且可用於各種應用中以增加性能。例如,2D材料可實行於半導體裝置、電極、水淨化裝置、和光伏裝置中。個別的2D單層可彼此堆疊以形成2D材料多層的堆疊,並且2D材料多層的堆疊的厚度可經由堆疊不同數目的個別單層來改變。多種2D材料的堆疊可用於形成在半導體電晶體裝置中的通道區域,以減少裝置覆蓋區(footprint)並提高裝置性能。在半導體裝置中實行多種2D材料可經由薄膜轉移製程來達成,薄膜轉移製程可包括將2D材料的單層附接至載體膜,將2D材料的單層從宿主晶圓上移除,和將2D材料的單層放置在半導體基板上以供進一步的製造操作。由於2D材料單層具有原子級別厚度,因此保持2D材料單層的較高級別的清潔度對於達成高裝置性能和產率而言為關鍵性的。然而,各個製造製程和轉移製程的副產物可在2D材料的多個單層上留下不理想的殘餘物,尤其在2吋和3吋晶圓的較大的區域上。採用清潔製程來移除界面污染可導致對2D材料單層的表面的破壞。例如,諸如水和烴的吸附物可覆蓋2D材料的表面。清潔製程,包括乾式電漿蝕刻、濕式蝕刻、和退火,可增加表面粗糙度或蝕刻穿過單層,同時在清潔製程之後,蝕刻副產物可保留在表面上。另外,溶劑清潔製程亦可在單層的表面上留下殘餘物。
在本揭示內容中的各個實施方式描述用於形成併入實質上無殘餘物2D材料(例如,沒有殘餘物的2D材料) 的半導體裝置的方法。2D材料的多個層可經由凡得瓦力堆疊在一起並且轉移至基板上。在一些實施方式中,介在各個2D材料的單層之間的界面可實質上不含殘餘物(例如,沒有殘餘物)。在一些實施方式中,層堆疊的頂部和底部表面亦可實質上不含殘餘物。在一些實施方式中,經由凡得瓦力,2D材料的第一單層附接至2D材料的第二單層,以形成凡得瓦異質堆疊。堆疊可附接至載體層(例如,聚合物膜)並且轉移至基板,以供進一步的處理。經由執行額外的附接和晶圓級轉移製程,可將多種2D材料的多個額外的單層添加至異質堆疊。使用凡得瓦力來形成多種2D材料的異質堆疊可尤其提供實質上無殘餘物表面以及在轉移之後的完整的層的益處。另外,在晶圓級轉移製程期間,不需要黏著劑來將2D材料的多個層黏合在一起。
第1圖為根據一些實施方式的形成包括多種2D材料的異質堆疊的方法100的流程圖。出於說明性目的,在第1圖所繪示的操作參考在第2圖至第5圖中所繪示的示例製造製程來描述。多個操作可用不同順序來執行或取決於具體應用不予執行。應注意方法100可能不產生完整的半導體裝置。因此,應瞭解多個額外的製程可在方法100之前、期間、和之後提供,並且一些其他的製程可僅在本文中簡短地描述。在第2圖至第5圖中所描述的轉移製程可在未將結構暴露於周圍環境的情況下在真空下的製程腔室中執行,從而可提供防止表面氧化和污染物吸附,進而增加界面處的凡得瓦力的大小的益處。在一些實施方式中, 真空級別可保持在約1x10-3托(Torr)與約1x10-5托之間。
參考第1圖,根據一些實施方式,在操作102中,將2D材料的第一單層沈積在基板上。如在第2圖中所示,第一單層206沈積在基板201上。在一些實施方式中,為了簡化起見,各種結構統稱為基板。例如,基板201可包括塊體基板202和金屬層204。塊體基板202可為載體晶圓並且使用合適的材料形成,諸如元素半導體、化合物半導體、合金半導體、或任何合適的材料。例如,形成塊體基板202可使用矽、氧化矽、藍寶石、氮化矽、氮化鈦、矽鍺、任何合適的材料、或其組合。形成金屬層204可使用合適的金屬材料,例如銅。在一些實施方式中,鎳、金、銅、釕、鎢、銀、鈷、任何合適的金屬、或其組合可用於形成金屬層204。因為沈積製程可在未使基板或沈積膜暴露於周圍溫度的情況下在保持在真空下的沈積腔室中執行,所以在沈積製程之後,第一單層206的頂部表面可實質上無殘餘物。
2D材料的第一單層206可沈積在金屬層204上。在一些實施方式中,第一單層206可直接地沈積在塊體基板202上。在一些實施方式中,形成第一單層206可使用合適的2D材料,諸如六角形氮化硼(hexagonal boron nitride;h-BN)材料。沉積第一單層206可使用合適的沈積方法,諸如(i)原子層沈積(ALD);(ii)化學氣相沈積(CVD),諸如低壓化學氣相沉積(LPCVD)、原子層化學 氣相沉積(ALCVD)、超高真空化學氣相沉積(UHVCVD)、減壓化學氣相沉積(RPCVD)、或任何其他的合適的化學氣相沉積;(iii)分子束磊晶(MBE)製程;(iv)任何合適的磊晶製程;或(v)其組合。第一單層206的厚度t可為2D材料的單層的厚度。例如,使用六角形氮化硼(h-BN)材料形成的第一單層206具有約0.33nm(奈米)的厚度t。在一些實施方式中,厚度t可在約0.30nm與約0.36nm之間。在一些實施方式中,厚度t可在約0.2nm與約0.8nm之間。
參考第1圖,根據一些實施方式,在操作104中,將2D材料的第二單層附接至2D材料的第一單層。如在第3A圖中所示,將第二單層310附接至第一單層206。在一些實施方式中,載體膜可在附接製程之前附接至第二單層310。例如,載體膜312可附接至第二單層310的頂部表面。在一些實施方式中,載體膜312可為聚合物層並且黏附至第二單層310。在一些實施方式中,形成載體膜312可使用聚甲基丙烯酸甲酯(PMMA)、聚乙烯醇(PVA)、聚丙烯碳酸酯(PPC)、聚苯乙烯(PS)、任何合適的聚合物材料、或其組合。在一些實施方式中,可經由將聚合物材料沈積在第二單層310上以及將第二單層310從載體基板移除,將載體膜312附接至第二單層310。
在一些實施方式中,形成第二單層310可使用過渡金屬二硫化物(TMD)。合適的過渡金屬二硫化物可稱為MX2,其中M表示過渡金屬元素並且X表示硫族元素。例 如,過渡金屬元素可為鉬或鎢。在一些實施方式中,硫族元素可為硫、硒、或碲中的一者。在一些實施方式中,可使用其他的合適的過渡金屬二硫化物材料。第二單層310的厚度可為2D材料的單層的厚度。例如,使用二硫化鉬所形成的第二單層310的厚度可具有約0.65nm的厚度。在一些實施方式中,第二單層310的厚度可在約0.45nm與約1.2nm之間。
第一單層206和第二單層310可經由凡得瓦力彼此附接。附接製程可在真空環境(例如,真空腔室)中執行避免可使凡得瓦力降級的界面處的雜質或水分污染。在第一單層206和第二單層310實體接觸之後,可選的外力314可施加至載體膜312的頂部表面,以確保在兩個單層之間的整個界面中,接觸為均勻的。在一些實施方式中,外力可在表面之間的界面處產生約60N/in2與約1600N/in2之間的壓力。大於1600N/in2的壓力可導致對膜的實體性損壞,而小於約60N/in2的壓力可能不足以增加凡得瓦鍵強度。附接製程可在真空環境(例如保持在真空下的製程腔室中)中執行。在一些實施方式中,真空級別可保持在約1x10-3托與約1x10-5托之間,以增加界面處的凡得瓦力的大小。介於第一單層206和第二單層310之間的界面可實質上無殘餘物,因為載體膜312或其他的結構不與第一單層206接觸。
雖然在第3A圖中所繪示的第一單層206和載體膜312不彼此實體接觸,但是第一單層206和載體膜312 的邊緣可密封在一起,以在轉移製程期間保護第二單層310並且防止暴露於污染。另外,將邊緣密封亦可在轉移製程期間增強堆疊的多層(由載體膜和多個單層所形成)的結構完整性。為了在晶圓級轉移製程之前將膜邊緣密封,第一單層206和載體膜312的表面面積可都大於第二單層310的表面面積。例如,第一單層206可具有約2吋的直徑的圓形區域,並且第二單層310可具有小於2吋(例如約1.7吋與約1.9吋之間)的直徑的圓形區域。載體膜312可具有約2.1吋與約2.5吋之間的直徑。
由於表面面積差異,第一單層206和載體膜312的不與第二單層310接觸的部分亦可彼此重疊。例如,如在第3B圖中所示,其繪示堆疊的多層的邊緣區域的放大視圖301,載體膜312的周界部分312A和第一單層206的周界部分206A可在力314的施加下變形並且彼此實體接觸,從而在實體接觸之後將第二單層310密封。在一些實施方式中,使第一單層206和載體膜312實體接觸可導致在前述的膜的界面處形成化學鍵或其他的附接機制,由此增加堆疊的多層的結構完整性。重疊的周界部分312A和206A的寬度可大於約0.5mm(毫米)以確保可在轉移製程期間保持其結構完整性的緊固密封。
參考第1圖,根據一些實施方式,在操作106中,將第一單層與基板分離。如在第4圖中所示,由第一單層206和第二單層310所形成的異質堆疊在第一單層206和金屬層204的界面處與基板201分離。為了在保持異質 堆疊完整的同時,僅在第一單層206和金屬層204的界面處達成分離,可使用電化學分層製程。包括基板201的多個層的堆疊可浸沒於氫氧化鈉(NaOH)的水溶液中。經由使用金屬層204作為陰極和在載體膜312的頂部形成的鉑(Pt)箔作為陽極,可將直流電壓施加至層堆疊。在一些實施方式中,所施加的直流電電壓可在約3V與約5V之間。例如,所施加的直流電電壓可為約4V。在電化學分層製程期間,經由產生在第一單層206和金屬層204的界面處形成的氫氣體,可使第一單層206與金屬層204分離。在電化學分層製程期間,第一單層206和第二單層310可經由凡得瓦力保持在一起並且隨後轉移至其他的合適的基板。在一些實施方式中,可使用其他的合適的分離製程。
參考第1圖,根據一些實施方式,在操作108中,將第一單層附接至另一個基板。如在第5圖中所示,由第一單層206和第二單層310所形成的異質堆疊501可附接至另一個基板502,以在額外的製造製程中使用。在一些實施方式中,基板502可為使用矽或氧化矽所形成的4吋晶圓。在一些實施方式中,基板502可為具有任何合適的直徑的晶圓。例如,基板502可為具有約2吋與約12吋之間的直徑的晶圓。在一些實施方式中,經由合適的附接機制,諸如凡得瓦鍵結、化學鍵結、黏著劑、任何合適的鍵結方法、或其組合,第一單層206的底部表面可附接至基板502的頂部表面。在一些實施方式中,移除載體膜312可使用合適的方法,諸如乾式蝕刻製程、濕式蝕刻製 程、灰化製程、任何合適的移除製程、或其組合。在一些實施方式中,由於載體膜移除製程和/或後續製程,殘餘物可保留在第二單層310的頂部表面上,但是第一單層206與第二單層310之間的界面在沒有暴露的情況下可受到保護並且保持實質上無殘餘物。
第6圖為根據一些實施方式的形成包括多種2D材料的異質堆疊的方法600的流程圖。出於說明性目的,在第6圖中所繪示的操作參考如在第7圖至第10圖中所繪示的示例製造製程來描述。多個操作可用不同順序來執行或取決於具體應用不予執行。應注意方法600可能不產生完整的半導體裝置。因此,應瞭解多個額外的製程可在方法600之前、期間、和之後提供,並且一些其他的製程可僅在本文中簡短地描述。為了簡化起見,在第7圖至第10圖中和在第2圖至第5圖中的相似的多個元件用相同的標記來標示。在第7圖至第10圖中所描述的轉移製程可在未將結構暴露於周圍環境的情況下在真空下的製程腔室中執行,從而可提供防止表面氧化和污染物吸附,進而增加界面處的凡得瓦力的大小的益處。在一些實施方式中,真空可保持在約1x10-3托與約1x10-5托之間。
參考第6圖,根據一些實施方式,在操作602中,將2D材料的第一單層沈積在基板上。如在第7圖中所示,第一單層206可沈積在基板201上。在一些實施方式中,第一單層206可沈積在金屬層204上。與在第2圖中描述的第一單層206類似,在第7圖中描述的第一單層206 可使用六角形氮化硼材料來形成。在一些實施方式中,第一單層206可直接地沈積在塊體基板202上。沉積第一單層206可使用任何合適的沈積方法,諸如原子層沉積和化學氣相沉積。
參考第6圖,根據一些實施方式,在操作604中,將載體膜和硬遮罩層附接至2D材料的第二單層。如在第7圖中所示,硬遮罩層711的底部表面可附接至第二單層310的頂部表面。另外,載體膜712可附接至硬遮罩層711的頂部表面。在一些實施方式中,在第7圖中所描述的第二單層310和此第二單層310可使用類似的材料來形成。例如,形成第二單層310可使用過渡金屬二硫化物(TMD)。合適的過渡金屬二硫化物可稱為MX2,其中M表示過渡金屬元素並且X表示硫族元素。由於第二單層310由具有原子級別厚度的2D材料所形成,因此硬遮罩層711可為第二單層310提供額外的機械支持,以在製造製程期間增加第二單層310的結構完整性並且亦在形成和移除載體膜712期間保護第二單層310免受化學反應。在一些實施方式中,形成硬遮罩層711可由氧化鋁、氮化矽、氧化矽、任何合適的介電質材料、或其組合。在一些實施方式中,沉積硬遮罩層711可使用原子層沉積、化學氣相沉積、物理氣相沉積、任何合適的沈積方法、或其組合。在一些實施方式中,載體膜712可類似於在第3A圖中所描述的載體膜312,並且為了簡化起見,在本文中不詳細描述。在一些實施方式中,載體膜712和第一單層206的表面面積 可大於第二單層310和硬遮罩層711的表面面積。外力714可施加至載體膜的頂部表面,以增加鍵結均勻性和凡得瓦鍵的強度。力714可類似於在第3A圖中所描述的力314,並且為了簡化起見,在本文中不詳細描述。
參考第6圖,根據一些實施方式,在操作606中,2D材料的第二單層附接至2D材料的第一單層,並且第一單層與基板分離。如在第8圖中所示,將第二單層310的底部表面附接至第一單層206的頂部表面。在一些實施方式中,前述的表面經由凡得瓦鍵結來附接,形成異質堆疊701,異質堆疊701包含第一單層206和第二單層310的一對的各自的2D材料膜。經由執行與在第4圖中所描述的分離製程類似的電化學分層製程,第一單層206可與基板201分離。另外,類似於在第3B圖中所描述的密封製程,可將載體膜712和第一單層206的周界區域擠壓並且密封在一起,以保護被封閉在其之間的第二單層310。
參考第6圖,根據一些實施方式,在操作608中,將2D材料的第一單層附接至另一個基板。如在第9圖中所示,將第一單層206的底部表面附接至基板902,以使得可製備異質堆疊701,以用於多個額外的製造製程。在一些實施方式中,基板902可為基板、半導體裝置、或任何合適的半導體結構。在一些實施方式中,基板902可為使用矽或氧化矽形成的4吋晶圓。在一些實施方式中,在將第一單層206附接至基板902之後,可使用移除製程來移除載體膜712。例如,聚合物去除劑或濕式化學蝕刻可 用於移除載體膜712並且暴露在下方的硬遮罩層711。殘餘物可保留在硬遮罩層711的頂部表面,但是在載體膜712的移除製程期間,第二單層310的頂部表面可受硬遮罩層711保護並且保持實質上不含殘餘物。
參考第6圖,根據一些實施方式,在操作610中,將硬遮罩層從2D材料的第二單層的頂部表面移除。如在第10圖中所示,可移除硬遮罩層711,以暴露在下方的第二單層310。移除硬遮罩層711可使用乾式電漿蝕刻、濕式化學蝕刻、任何合適的蝕刻製程、或其組合。在硬遮罩層711的移除製程之後,第二單層310的頂部表面可實質上不含殘餘物,因為對於用於移除硬遮罩層711的蝕刻製程而言,第二單層310可為惰性的。例如,與有機聚合物載體膜相比,硬遮罩層711在移除之後可在第二單層310上留下更少殘餘物。
第11圖為根據一些實施方式的形成包括多種2D材料的異質堆疊的方法1100的流程圖。出於說明性目的,在第11圖中所繪示的操作參考如在第12圖至第15圖中所繪示的示例製造製程來描述。多個操作可以不同順序來執行或取決於具體應用不予執行。應注意方法1100可能不產生完整的半導體裝置。因此,應瞭解多個額外的製程可在方法1100之前、期間、和之後提供,並且一些其他的製程可僅在本文中簡短地描述。為了簡化起見,在第12圖至第15圖中和在第2圖至第5圖中的相似的多個元件用相同的標記來標示。在第12圖至第15圖中所描述的轉 移製程可在未將結構暴露於周圍環境的情況下在真空下的製程腔室腔室中執行,從而可提供防止表面氧化和污染物吸附,進而增加界面處的凡得瓦力的大小的益處。在一些實施方式中,真空可保持在約1x10-3托與約1x10-5托之間。
參考第11圖,根據一些實施方式,在操作1102中,可將2D材料的第一單層沈積在基板上。如在第12圖中所示,第一單層1206可沈積在基板1202上。在一些實施方式中,形成第一單層1206可使用過渡金屬二硫化物(TMD)。合適的過渡金屬二硫化物可稱為MX2,其中M表示過渡金屬元素並且X表示硫族元素。例如,過渡金屬元素可為鉬或鎢。在一些實施方式中,硫族元素可為硫、硒、或碲中的一者。在一些實施方式中,可使用其他的合適的過渡金屬二硫化物材料。沉積第一單層1206可使用原子層沉積、低壓化學氣相沉積、原子層化學氣相沉積、超高真空化學氣相沉積、減壓化學氣相沉積、分子束磊晶、任何合適的磊晶製程、或其組合。在一些實施方式中,基板1202可類似於在第2圖中所描述的塊體基板202,並且為了簡化起見,在本文中不詳細描述。
參考第11圖,根據一些實施方式,在操作1104中,將2D材料的第二單層附接至2D材料的第一單層。第二單層1210的底部表面可附接至第一單層1206的頂部表面。第一單層1206和第二單層1210可經由凡得瓦鍵結來附接在一起以形成異質堆疊。另外,載體膜1212可 附接至第二單層1210的頂部表面。在一些實施方式中,形成第二單層1210可使用2D材料,諸如六角形氮化硼。在一些實施方式中,形成第二單層1210可使用任何合適的2D材料,例如過渡金屬二硫化物(TMD)材料。在一些實施方式中,形成第一單層1206和第二單層1210可使用不同2D材料。例如,形成第一單層1206可使用二硫化鉬,並且形成第二單層1210可使用六角形氮化硼。第一單層1206和第二單層1210可使用凡得瓦鍵結來附接。在一些實施方式中,載體膜1212可附接至第二單層1210的頂部表面。載體膜1212可為使用聚甲基丙烯酸甲酯、聚乙烯醇、聚丙烯碳酸酯、聚苯乙烯、任何合適的聚合物材料、或其組合來形成的聚合物層。在一些實施方式中,載體膜1212可類似於在第3A圖和第3B圖中所描述的載體膜312,並且為了簡化起見,在本文中不詳細描述。在一些實施方式中,外力1214可用於增加鍵結均勻性和強度。外力1214可類似於力314,並且為了簡化起見,在本文中不詳細描述。
參考第11圖,根據一些實施方式,在操作1106中,第一單層可與基板分離並且附接至第三單層。如在第13圖中所示,第一單層1206可與基板1202分離。在一些實施方式中,從基板1202移除第一單層1206可使用分離製程,諸如熱釋放製程、雷射釋放製程、紫外線(UV)處理製程、化學剝離製程、任何合適的移除製程、和/或其組合。在一些實施方式中,載體膜1212和第一單層1206 的周界區域可鍵結在一起,並且結合強度可足以支持第一單層1206與基板1202的機械性分離。在一些實施方式中,第一單層1206可在基板1202的金屬層上形成,並且電化學分層製程可用於分離製程。
第一單層1206可附接至由2D材料所形成的第三單層1322。在一些實施方式中,形成第三單層1322可使用六角形氮化硼。在一些實施方式中,形成第三單層1322可使用合適的2D材料,例如過渡金屬二硫化物(TMD)層。在一些實施方式中,形成第一單層1206和第三單層1322使用不同2D材料。在一些實施方式中,第一單層1206和第三單層1322可使用凡得瓦鍵結來彼此附接。在一些實施方式中,外力1314可用於改進界面處的鍵結均勻性。例如,力1314可類似於力314。在一些實施方式中,第一單層1206的表面面積可大於第二單層1210的表面面積,並且鍵結製程可包括第一單層1206與載體膜1212的周界區域實體鍵結,以保護所封閉的第二單層1210。介於第一單層1206與第二單層1210之間的界面以及介於第一單層1206與第三單層1322之間的界面可實質上不含殘餘物,因為在轉移製程期間,此等界面為被封閉的並且未暴露於污染物。異質堆疊1401包含經由如上在第12圖和第13圖中所描述的凡得瓦鍵結製程來保持在一起的第一單層1206、第二單層1210、和第三單層1322。
參考第11圖,根據一些實施方式,在操作1108中,將第三單層與基板分離。如在第14圖中所示,第三單 層1322可與基板1302分離。經由在遠離基板1302的方向上拉動載體膜1212,可使第三單層1322與基板1302分離。在一些實施方式中,使第三單層1322與基板1302分離可類似於使第一單層1206與基板1202分離的製程,並且為了簡化起見,在本文中不詳細描述。
參考第11圖,根據一些實施方式,在操作1110中,第三單層附接至基板並且將載體膜移除。如在第15圖中所示,異質堆疊1401的第三單層1322可附接至基板1502的頂部表面。在一些實施方式中,基板1502可類似於在第2圖中所描述的塊體基板202。在一些實施方式中,基板1502可包括一或多個額外的層和合適的半導體裝置,並且為了簡化起見,在第15圖中未示出。例如,基板1502可包括嵌入其中的一或多個非主動裝置和邏輯裝置。在一些實施方式中,基板1502可為使用矽或氧化矽形成的4吋晶圓。在將異質堆疊1401轉移至基板1502的頂部表面之後,可移除載體膜1212。移除載體膜1212可使用乾式電漿蝕刻、濕式化學蝕刻、灰化製程、任何合適的移除製程、或其組合。
第16圖為根據一些實施方式的形成包括多種2D材料的異質堆疊的方法1600的流程圖。出於說明性目的,在第16圖中所繪示的操作參考如在第17圖至第21圖中所繪示的示例製造製程來描述。多個操作可以不同順序來執行或取決於具體應用不予執行。應注意方法1600可能不產生完整的半導體裝置。因此,應瞭解多個額外的製程 可在方法1600之前、期間、和之後提供,並且一些其他的製程可僅在本文中簡短地描述。為了簡化起見,在第17圖至第21圖中和在第2圖至第5圖中的相似的多個元件用相同的標記來標示。在第17圖至第21圖中所描述的轉移製程可在未將結構暴露於周圍環境的情況下在真空下的製程腔室中執行,從而可提供防止表面氧化和污染物吸附,進而增加界面處的凡得瓦力的大小的益處。在一些實施方式中,真空可保持在約1x10-3托與約1x10-5托之間。
參考第16圖,根據一些實施方式,在操作1602中,可將2D材料的第一單層沈積在基板上。如第17圖中所示,第一單層1706可沈積在基板1702上。在一些實施方式中,形成第一單層1706可使用過渡金屬二硫化物(TMD)。合適的過渡金屬二硫化物可稱為MX2,其中M表示過渡金屬元素並且X表示硫族元素。例如,過渡金屬元素可為鉬或鎢。在一些實施方式中,硫族元素可為硫、硒、或碲中的一者。在一些實施方式中,可使用其他的合適的過渡金屬二硫化物材料。沉積第一單層1706可使用原子層沉積、低壓化學氣相沉積、原子層化學氣相沉積、超高真空化學氣相沉積、減壓化學氣相沉積、分子束磊晶、任何合適的磊晶製程、或其組合。在一些實施方式中,第一單層1706可類似於在第12圖中所描述的第一單層1206。在一些實施方式中,基板1702可類似於在第2圖中所描述的塊體基板202,並且為了簡化起見,在本文中不詳細描述。
參考第16圖,根據一些實施方式,在操作1604中,將2D材料的第二單層附接至2D材料的第一單層。第二單層1710的底部表面可附接至第一單層1706的頂部表面。第一單層1706和第二單層1710可經由凡得瓦鍵結來附接在一起以形成異質堆疊。在一些實施方式中,形成第二單層1710可使用2D材料,例如六角形氮化硼。在一些實施方式中,形成第二單層1710可使用任何合適的2D材料,例如過渡金屬二硫化物(TMD)材料。在一些實施方式中,形成第一單層1706和第二單層和1710可使用不同2D材料。例如,形成第一單層1706可使用二硫化鉬,並且形成第二單層1710可使用六角形氮化硼。另外,硬遮罩層1711可在第二單層1710的頂部表面上形成。形成硬遮罩層1711可使用與硬遮罩層711的材料類似的材料。例如,硬遮罩層1711可由氧化鋁材料來形成並且使用原子層沉積來沈積。載體膜1712可附接至硬遮罩層1711的頂部表面。形成載體膜1712可類似於載體膜312並且使用聚合物材料,諸如聚甲基丙烯酸甲酯、聚乙烯醇、聚丙烯碳酸酯、聚苯乙烯、任何合適的聚合物材料、或其組合。在一些實施方式中,可施加與力314類似的外力1714,以改進鍵結的界面之間的鍵結均勻性。在一些實施方式中,載體膜1712和第一單層1706的周界區域可使用與在第3B圖中所描述的載體膜312和第一單層206的製程類似的製程來鍵結在一起,並且為了簡化起見,在本文中不詳細描述。
參考第16圖,根據一些實施方式,在操作1606中,第一單層可與基板分離並且附接至第三單層以形成多種2D材料的異質堆疊。使第一單層1706與基板1702分離可經由在遠離基板1702的方向上拉動載體膜1712來達成。分離製程可類似於將第一單層1206與基板1202分離的分離製程並且為了簡化起見,在本文中不詳細描述。
在第一單層1706與基板1702分離之後,第一單層1206可附接至由2D材料形成的第三單層1822。在一些實施方式中,形成第三單層1822可使用六角形氮化硼。在一些實施方式中,形成第三單層1822可使用合適的2D材料,例如過渡金屬二硫化物(TMD)層。在一些實施方式中,形成第一單層1706和第三單層1822使用不同2D材料。在一些實施方式中,第一單層1706和第三單層1822可使用凡得瓦鍵結來彼此附接。在一些實施方式中,外力1814可用於改進界面處的鍵結均勻性。例如,力1814可類似於力314。
在一些實施方式中,第一單層1706的表面面積可大於第二單層1710的表面面積,並且鍵結製程可包括對第一單層1706與載體膜1712的周界區域進行實體鍵結,以保護所封閉的第二單層1710。介於第一單層1706與第二單層1710之間的界面以及介於第一單層1706與第三單層1822之間的界面可實質上不含殘餘物,因為在轉移製程期間,此等界面被封閉並且未暴露於污染物。異質堆 疊1801可包含經由在第17圖和第18圖中所描述的凡得瓦鍵結製程來保持在一起的第一單層1806、第二單層1810、和第三單層1822。
參考第16圖,根據一些實施方式,在操作1608中,將第三單層與基板分離。如在第19圖中所示,第三單層1822可與基板1802分離。經由在遠離基板1802的方向上拉動載體膜1712,可使第三單層1822與基板1802分離。分離製程可類似於在第12圖和第14圖中所描述的分離製程,並且為了簡化起見,在本文中不詳細描述。
參考第16圖,根據一些實施方式,在操作1610中,第三單層附接至基板並且將載體膜移除。如在第20圖中所示,異質堆疊1801的第三單層1822可附接至基板1902的頂部表面。在一些實施方式中,基板1902可類似於在第2圖中所描述的塊體基板202。在一些實施方式中,基板1902可包括一或多個額外的層和合適的半導體裝置,並且為了簡化起見,在第20圖中未示出。在將異質堆疊1801轉移至基板1902的頂部表面之後,可移除載體膜1712。移除載體膜1712可使用乾式電漿蝕刻、濕式化學蝕刻、灰化製程、任何合適的移除製程、或其組合。
參考第16圖,根據一些實施方式,在操作1612中,將硬遮罩層從第二單層的頂部表面移除。如在第21圖中所示,可移除硬遮罩層1711,以暴露在下方的第二單層1810。移除硬遮罩層1711可使用乾式電漿蝕刻、濕式化 學蝕刻、任何合適的蝕刻製程、或其組合。硬遮罩層1711的移除製程可類似於在第10圖中所描述的移除製程,並且為了簡化起見,在本文中不詳細描述。
第22A圖、第22B圖、和第23圖描述在如第1圖至第21圖中所描述的已形成異質堆疊之後的示例額外的製造製程。第22A圖和第22B圖為在第21圖的異質堆疊1801和基板1902上形成的載體膜2220的相應的平面和橫截面視圖。在第22B圖中所繪示的橫截面視圖自第22A圖的平面A-A’來檢視。可形成其他的合適的結構,並且為了簡化起見,未在第22A圖和第22B圖中示出。載體膜2220可用於將異質堆疊1801轉移至其他的基板,以供進一步處理。
如在第22A圖和第22B圖中所示,載體膜2220可在異質堆疊1801的頂部表面上形成。例如,載體膜2220可在第二單層1710的頂部表面上形成。另外,載體膜2220亦可沈積至異質堆疊1801中。例如,載體膜網格2221可垂直地(例如,z方向)延伸穿過第一單層1706、第二單層1710、和第三單層1822。如本文所使用的用語「垂直」意謂名義上垂直於基板的表面。形成載體膜網格2221可經由在異質堆疊1801中蝕刻複數個溝槽並且將載體膜材料沈積在溝槽中直到溝槽完全填充為止。在一些實施方式中,形成載體膜網格2221和載體膜2220可使用相同材料,諸如聚合物材料。沈積載體膜材料可持續直到載體膜2220在第二單層1710的頂部表面上形成為止。 實行載體膜網格2221可在轉移製程期間改進異質堆疊1801的結構完整性。形成載體膜2220和載體膜網格2221可使用與載體膜312的材料和製程類似的材料和製程,並且為了簡化起見,在本文中不詳細描述。如在第22A圖和第22B圖中所示,載體膜網格2221可將異質堆疊1801劃分成晶粒2230的陣列,其中各個晶粒包括多種2D材料的一異質堆疊。在一些實施方式中,晶粒2230的陣列的各個晶粒的邊界亦可與異質堆疊1801轉移至其上的半導體晶粒對準。在一些實施方式中,載體膜網格2221亦可在分別地在第5圖、第7圖、和第14圖中所描述的異質堆疊501、701、和1401中實行。
第23圖為根據一些實施方式,繪示三維(three-dimensional;3D)整體半導體結構,其併入具有多種2D材料的異質堆疊的半導體裝置。多種2D材料的異質堆疊可使用如以上在第1圖至第22B圖中所描述的轉移製程中的一或多者來轉移至現有的半導體裝置上。多個額外的結構可包含在如第23圖中所繪示的結構中,並且為了簡化起見未繪示。
在第23圖中所繪示的3D整體半導體結構可包括複數個前段製程(front-end-of-line,FEOL)結構2300和複數個後段製程(back-end-of-line,BEOL)結構2320。使用在第22A圖和第22B圖中所描述的載體膜2220和載體膜網格2221,可將第21圖、第22A圖、和第22B圖的異質堆疊1801轉移至後段製程結構2320 的頂部表面上。在轉移製程之後可經由合適的蝕刻製程,移除載體膜2220和載體膜網格2221。
前段製程結構2300可包括複數個電晶體,例如在基板2302上方形成的多個電晶體2303。基板2302可類似於在第2圖中所描述的塊體基板202,並且為了簡化起見,在本文中不詳細描述。電晶體2303可包括各種類型的電晶體裝置,例如一對的n型和p型金屬氧化物半導體(MOS)電晶體。電晶體2303可包括基板2301、一對的源極/汲極區域2304、閘極介電質層2305、間隔物2306、閘極電極2307、和源極/汲極接點2308。源極/汲極區域係指形成場效電晶體的兩個端子的源極接面和/或汲極接面。多個額外的結構可在電晶體2303中形成,並且為了簡化起見,未在第23圖中繪示。
後段製程結構2320可包括在層間介電質(ILD)層中形成的複數個互連結構。例如,在層間介電質層2322中形成的導孔2324可電性和實體地連接至前段製程結構2300的源極/汲極接點2308。在層間介電質層2322中形成的導電線2326可連接至一或多個導孔2324,以提供側向的(例如,x方向)電性連接。導孔2324亦可連接至在後段製程結構2320上方形成的其他的結構。在一些實施方式中,形成導孔2324和導電線2326可使用銅、鈷、鋁、任何合適的導電材料、或其組合。
半導體結構2340可在後段製程結構2320上形成。半導體結構2340可包括併入多種2D材料的異質堆疊的 電晶體2343。例如,第22A圖和第22B圖的異質堆疊1801可轉移並且放置在後段製程結構2320的層間介電質層2322的頂部表面上。由多種2D材料的多個層形成的異質堆疊可用來作為通道區域2344,以改進電晶體2343的裝置性能。異質堆疊1801的多個部分可用來作為電晶體2343的通道區域。雖然在第23圖中繪示了異質堆疊1801,但是其他的異質堆疊亦可在電晶體2343中實行。例如,分別地在第5圖、第7圖、和第14圖中所描述的異質堆疊501、701、和1401亦可在電晶體2343中實行。可使用在本揭示內容中所描述的晶圓級轉移製程來添加額外的多種2D材料的多個層,直到達成異質堆疊的標稱厚度或電性性質為止。如在第23圖中所示,電晶體裝置2343可包括在介於源極/汲極區域2348之間所形成的通道區域2344。形成源極/汲極區域2348可使用導電的材料,諸如銅和摻雜的矽。在一些實施方式中,形成通道區域2344可使用經由在第22A圖和第22B圖中所描述的載體膜網格2221在異質堆疊1801中所形成的晶粒2230。電晶體裝置2343亦可包括閘極介電質層2345、間隔物2346、和閘極電極2347。多個額外的結構亦可包含在電晶體裝置2343中,並且為了簡化起見未繪示。例如,一或多個功函數層可在介於閘極介電質層2345與閘極電極2347之間形成。經由在後段製程結構2320中形成的互連結構,電晶體2343的源極/汲極區域2348可電性連接至電晶體2303的源極/汲極接點2308。形成源極/汲極區域 2348可經由蝕刻穿過層間介電質層2342和異質堆疊1801的開口並且在開口中沈積導電材料。在一些實施方式中,導電材料可包括銅。
在本揭示內容中的各個實施方式描述了形成併入實質上無殘餘物2D材料的半導體裝置的方法。2D材料的多個層可經由凡得瓦力堆疊在一起並且轉移至基板上。2D材料的單層經由凡得瓦力來附接至另一個2D材料的單層,以形成凡得瓦異質堆疊。經由執行額外的附接和晶圓級轉移製程,可將額外的多種2D材料的多個單層添加至異質堆疊。堆疊可附接至載體層(例如,聚合物膜)並且轉移至其他的基板或裝置,以供進一步處理。使用凡得瓦力來形成2D材料的異質堆疊可尤其提供實質上無殘餘物表面以和在轉移之後的完整的層的益處。另外,在晶圓級轉移製程期間,不需要黏著劑來將多種2D材料的多個層黏合在一起。
在一些實施方式中,方法包括在第一基板上形成第一二維(two-dimensional;2D)層並且將第二2D層附接至載體膜。方法亦包含將第二2D層鍵結至第一2D層以形成包括第一和第二2D層的異質堆疊。方法進一步包含使異質堆疊的第一2D層與第一基板分離並且將異質堆疊附接至第二基板。方法還包含將載體膜從第二2D層移除。
在一些實施方式中,方法包含在金屬層上形成第一二維(2D)層並且在第二2D層上沈積硬遮罩層。方法亦包含將載體膜附接至硬遮罩層並且將第二2D層鍵結至第一 2D層以形成包括第一和第二2D層的異質堆疊。方法亦包含將異質堆疊的第一2D層與金屬層分離並且將異質堆疊附接至第二基板。方法還包含將載體膜和硬遮罩層從第二2D層移除。
在一些實施方式中,方法包含在基板上形成第一二維(2D)層並且將第二2D層鍵結至第一2D層。方法還包含將第一2D層與基板分離並且將第一2D層鍵結至第三2D層以形成包括第一、第二、和第三2D層的異質堆疊。方法亦包括形成電晶體,形成電晶體包括在異質堆疊中形成第一和第二源極/汲極區域;使用異質堆疊的在第一和第二源極/汲極區域之間的部分來形成通道區域;以及在通道區域上方形成閘極電極。
本揭示內容的一些實施方式提供了一種形成半導體裝置的方法,包含:在第一基板上方形成第一二維(2D)層;將第二2D層附接至載體膜;將第二2D層鍵結至第一2D層以形成包含第一2D層和第二2D層的異質堆疊;使異質堆疊的第一2D層與第一基板分離;將異質堆疊附接至第二基板;以及將載體膜從第二2D層移除。
在一些實施方式中,在形成半導體裝置的方法中,鍵結包含凡得瓦鍵結。
在一些實施方式中,在形成半導體裝置的方法中,形成第一2D層之步驟包含:沈積六角形氮化硼(h-BN)材料。
在一些實施方式中,在形成半導體裝置的方法中, 將第二2D層附接至載體膜之步驟包含:在支撐結構上成長第二2D層;以及將載體膜按壓至第二2D層上。
在一些實施方式中,在形成半導體裝置的方法中,成長第二2D層之步驟包含:沈積過渡金屬二硫化物(TMD)材料。
在一些實施方式中,在形成半導體裝置的方法中,過渡金屬二硫化物材料包含MX2,其中M包含過渡金屬元素並且X包含硫族元素。
在一些實施方式中,在形成半導體裝置的方法中,載體膜包含聚合物膜。
在一些實施方式中,在形成半導體裝置的方法中,將異質堆疊附接之步驟包含:將第一2D層附接至在第二基板上形成的金屬層。
在一些實施方式中,形成半導體裝置的方法進一步包含:將載體膜的周界部分附接至第一2D層的周界部分。
在一些實施方式中,在形成半導體裝置的方法中,將載體膜的周界部分附接之步驟包含:使載體膜的周界部分和第一2D層的周界部分重疊,以使得第二2D層不與載體膜的周界部分和第一2D層的周界部分接觸。
本揭示內容的另一些實施方式提供了一種形成半導體裝置的方法,包含:在金屬層上方形成第一二維(2D)層;在第二2D層上沈積硬遮罩層;將載體膜附接至硬遮罩層;將第二2D層鍵結至第一2D層以形成包含第一2D層和第二2D層的異質堆疊;將異質堆疊的第一2D層與金 屬層分離;將異質堆疊附接至第二基板;以及將載體膜和硬遮罩層從第二2D層移除。
在一些實施方式中,在形成半導體裝置的方法中,鍵結包含凡得瓦鍵結。
在一些實施方式中,在形成半導體裝置的方法中,形成第一2D層之步驟包含:沈積六角形氮化硼(h-BN)材料。
在一些實施方式中,在形成半導體裝置的方法,中,將第二2D層附接至載體膜之步驟包含:在支撐結構上成長第二2D層並且將載體膜按壓至第二2D層上。
在一些實施方式中,在形成半導體裝置的方法中,成長第二2D層之步驟包含:沈積過渡金屬二硫化物(TMD)材料。
本揭示內容又另一些實施方式提供了一種形成半導體裝置的方法,包含:在基板上形成第一二維(2D)層;將第二2D層鍵結至第一2D層;將第一2D層與基板分離;將第一2D層鍵結至第三2D層以形成包含第一2D層、第二2D層、和第三2D層的異質堆疊;以及形成電晶體。形成電晶體包含:在異質堆疊中形成第一和第二源極/汲極區域;使用異質堆疊的在第一源極/汲極區域與第二源極/汲極區域之間的一部分來形成通道區域;和在通道區域上方形成閘極電極。
在一些實施方式中,在形成半導體裝置的方法中,成長第一2D層之步驟包含:成長過渡金屬二硫化物(TMD) 材料。
在一些實施方式中,形成半導體裝置的方法還包含:在異質堆疊中蝕刻複數個溝槽;以及在複數個溝槽中和在異質堆疊的頂部表面上沈積載體膜。
在一些實施方式中,在形成半導體裝置的方法中,第二2D層和第三2D層包含六角形氮化硼(h-BN)。
在一些實施方式中,形成半導體裝置的方法,還包含:將異質堆疊的第三2D層附接至其他的電晶體的頂部表面;以及將第一源極/汲極區域和第二源極/汲極區域分別地電性連接至其他的電晶體的第一和第二端子。
前述揭示內容概述多個實施方式的特徵以使得熟習此項技術者可更好理解本揭示內容的態樣。熟習此項技術者應認識到其可容易使用本揭示內容作為設計或改進執行相同目的和/或達成本文介紹的實施方式的相同優勢的其他的製程和結構的基礎。熟習此項技術者應亦認識到這樣的均等構建不脫離本揭示內容的精神和範圍,並且他們可對本文進行各種變化、取代、和變更而不脫離本揭示內容的精神和範圍。
100:方法
102:操作
104:操作
106:操作
108:操作

Claims (10)

  1. 一種形成半導體裝置的方法,包含:在一第一基板上方形成一第一二維(2D)層;將一第二2D層附接至一載體膜;將該第二2D層鍵結至該第一2D層以形成包含該第一2D層和該第二2D層的一異質堆疊,其中所述將該第二2D層鍵結至該第一2D層在一真空環境中執行,使該第一2D層和該第二2D層經由凡得瓦力彼此鍵結;使該異質堆疊的該第一2D層與該第一基板分離;將該異質堆疊附接至一第二基板;以及將該載體膜從該第二2D層移除。
  2. 如請求項1所述之形成半導體裝置的方法,其中該第一2D層的表面面積和該載體膜的表面面積都大於該第二2D層的表面面積,並且該方法進一步包含:將該體膜的一周界部分附接至該第一2D層的一周界部分。
  3. 如請求項1所述之形成半導體裝置的方法,其中形成該第一2D層之步驟包含:沈積六角形氮化硼(h-BN)材料。
  4. 如請求項1所述之形成半導體裝置的方法,其中該載體膜包含一聚合物膜。
  5. 如請求項1所述之形成半導體裝置的方法,其中將該異質堆疊附接之步驟包含:將該第一2D層附接至在該第二基板上形成的一金屬層。
  6. 一種形成半導體裝置的方法,包含:在一金屬層上方形成一第一二維(2D)層;在一第二2D層上沈積一硬遮罩層;將一載體膜附接至該硬遮罩層;將該第二2D層鍵結至該第一2D層以形成包含該第一2D層和該第二2D層的一異質堆疊;將該異質堆疊的該第一2D層與該金屬層分離;將該異質堆疊附接至一第二基板;以及將該載體膜和該硬遮罩層從該第二2D層移除。
  7. 如請求項6所述之形成半導體裝置的方法,其中將該第二2D層附接至該載體膜之步驟包含:在一支撐結構上成長該第二2D層並且將該載體膜按壓至該第二2D層上。
  8. 一種形成半導體裝置的方法,包含:在一基板上形成一第一二維(2D)層;將一第二2D層鍵結至該第一2D層;將該第一2D層與該基板分離;將該第一2D層鍵結至一第三2D層以形成包含該第一 2D層、該第二2D層、和該第三2D層的一異質堆疊;以及形成一電晶體,包含:在該異質堆疊中形成第一和第二源極/汲極區域;使用該異質堆疊的在該第一源極/汲極區域與該第二源極/汲極區域之間的一部分來形成一通道區域;和在該通道區域上方形成一閘極電極。
  9. 如請求項8所述之形成半導體裝置的方法,還包含:在該異質堆疊中蝕刻複數個溝槽;以及在所述複數個溝槽中和在該異質堆疊的一頂部表面上沈積一載體膜。
  10. 如請求項8所述之形成半導體裝置的方法,還包含:將該異質堆疊的該第三2D層附接至一其他的電晶體的一頂部表面;以及將該第一源極/汲極區域和該第二源極/汲極區域分別地電性連接至所述其他的電晶體的第一和第二端子。
TW110101678A 2020-05-28 2021-01-15 形成半導體裝置的方法 TWI785466B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031229P 2020-05-28 2020-05-28
US63/031,229 2020-05-28
US17/078,247 2020-10-23
US17/078,247 US11688605B2 (en) 2020-05-28 2020-10-23 Semiconductor device with two-dimensional materials

Publications (2)

Publication Number Publication Date
TW202145374A TW202145374A (zh) 2021-12-01
TWI785466B true TWI785466B (zh) 2022-12-01

Family

ID=77570700

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101678A TWI785466B (zh) 2020-05-28 2021-01-15 形成半導體裝置的方法

Country Status (5)

Country Link
US (1) US20230307234A1 (zh)
KR (1) KR102652151B1 (zh)
CN (1) CN113380629A (zh)
DE (1) DE102020128628A1 (zh)
TW (1) TWI785466B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201937790A (zh) * 2018-01-09 2019-09-16 沙烏地阿拉伯商沙烏地阿拉伯油品公司 用於高溫及高壓可充電電池之奈米複合電極材料
CN110942980A (zh) * 2018-09-25 2020-03-31 台湾积体电路制造股份有限公司 形成二维材料层的方法、场效晶体管及其制造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257509B2 (en) * 2010-12-21 2016-02-09 The Trustees Of Columbia University In The City Of New York Electrical devices with graphene on boron nitride
KR101962870B1 (ko) * 2011-03-22 2019-03-27 더 유니버시티 오브 맨체스터 트랜지스터 소자 및 제조를 위한 물질들
KR20140114199A (ko) * 2013-03-18 2014-09-26 삼성전자주식회사 이종 적층 구조체 및 그 제조방법, 및 상기 이종 적층 구조체를 구비하는 전기소자
JP2015231682A (ja) * 2014-06-09 2015-12-24 覚 増渕 ファンデアワールスヘテロ構造の作製方法
KR101770235B1 (ko) * 2015-07-29 2017-08-22 한국표준과학연구원 2 차원 전이금속 디칼코지나이드의 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201937790A (zh) * 2018-01-09 2019-09-16 沙烏地阿拉伯商沙烏地阿拉伯油品公司 用於高溫及高壓可充電電池之奈米複合電極材料
CN110942980A (zh) * 2018-09-25 2020-03-31 台湾积体电路制造股份有限公司 形成二维材料层的方法、场效晶体管及其制造方法

Also Published As

Publication number Publication date
TW202145374A (zh) 2021-12-01
KR20210148835A (ko) 2021-12-08
US20230307234A1 (en) 2023-09-28
KR102652151B1 (ko) 2024-03-27
CN113380629A (zh) 2021-09-10
DE102020128628A1 (de) 2021-12-02

Similar Documents

Publication Publication Date Title
TWI751371B (zh) 在fet元件的奈米通道結構中納入單擴散中斷之方法和裝置
TWI809188B (zh) 形成二維材料層的方法、場效電晶體及其製造方法
US20120032237A1 (en) Semiconductor device structures and methods of forming semiconductor structures
US10516054B2 (en) Electronic device including two-dimensional material
CN109216428B (zh) 半导体结构及其制造方法
US8906775B2 (en) Semiconductor device and method for fabricating the same
KR102311451B1 (ko) 반도체 디바이스 및 반도체 디바이스를 제조하는 방법
US20240063297A1 (en) Semiconductor device
TW202224028A (zh) 使用3d緊密元件設計的先進3d技術架構佈局
US10319675B2 (en) Capacitor embedded with nanocrystals
TW201834198A (zh) 半導體裝置及製造方法
TW201214679A (en) High density thyristor random access memory device and method
TWI785466B (zh) 形成半導體裝置的方法
TWI577016B (zh) 形成具有操作基板之半導體功率裝置的方法、裝置及系統
US11688605B2 (en) Semiconductor device with two-dimensional materials
CN107644906B (zh) 一种黑磷场效应晶体管及其制造方法
US20210118874A1 (en) Semiconductor device and method for fabricating the same
CN113228279B (zh) 用于形成半导体结构的方法
CN114664814A (zh) 无衬底静电放电(esd)集成电路结构
CN114664951A (zh) 无衬底垂直二极管集成电路结构
US11784225B2 (en) Semiconductor structure, method of forming stacked unit layers and method of forming stacked two-dimensional material layers
US20240107903A1 (en) Memory device and manufacturing method thereof
JP5931583B2 (ja) 半導体装置の作製方法
TW202343790A (zh) 半導體元件及其製造方法
CN115863345A (zh) 具有掺杂子鳍状物的全环栅集成电路结构