DE102020122798A1 - Ionenimplantationsverfahren zur defektbeseitigung bei einer metallschichtplanarisierung - Google Patents

Ionenimplantationsverfahren zur defektbeseitigung bei einer metallschichtplanarisierung Download PDF

Info

Publication number
DE102020122798A1
DE102020122798A1 DE102020122798.1A DE102020122798A DE102020122798A1 DE 102020122798 A1 DE102020122798 A1 DE 102020122798A1 DE 102020122798 A DE102020122798 A DE 102020122798A DE 102020122798 A1 DE102020122798 A1 DE 102020122798A1
Authority
DE
Germany
Prior art keywords
ruthenium metal
doping
ruthenium
openings
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020122798.1A
Other languages
English (en)
Inventor
Chia-Cheng Chen
Huicheng Chang
Fu-Ming HUANG
Kei-Wei Chen
Liang-Yin Chen
Tang-Kuei Chang
Yee-Chia Yeo
Wei-Wei Liang
Ji Cui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/997,616 external-priority patent/US11450565B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020122798A1 publication Critical patent/DE102020122798A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photovoltaic Devices (AREA)

Abstract

In der vorliegenden Erfindung wird ein Verfahren zum Planarisieren von Rutheniummetallschichten in leitfähigen Strukturen beschrieben. Das Verfahren umfasst ein Herstellen einer ersten leitfähigen Struktur auf einer zweiten leitfähigen Struktur, wobei das Herstellen der ersten leitfähigen Struktur Folgendes umfasst: Erzeugen von Öffnungen in einer dielektrischen Schicht, die auf der zweiten leitfähigen Struktur angeordnet ist; und Abscheiden eines Rutheniummetalls in den Öffnungen so, dass es die Öffnungen überfüllt. Das Herstellen der ersten leitfähigen Struktur umfasst weiterhin ein Dotieren des Rutheniummetalls; und ein Polieren des dotierten Rutheniummetalls, um die erste leitfähige Struktur herzustellen.

Description

  • Querverweis auf verwandte Anmeldung
  • Die vorliegende Anmeldung beansprucht die Priorität der am 30. Mai 2020 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 63/002.291 und dem Titel „Ion Implant Process for Defect Elimination in Metal Layer Planarization“ („Ionenimplantationsverfahren zur Defektbeseitigung bei einer Metallschichtplanarisierung“), die durch Bezugnahme aufgenommen ist.
  • Hintergrund
  • Eine chemisch-mechanische Polierung oder Planarisierung (CMP) ist ein Verfahren zum Glätten und Planarisieren von Oberflächen mit einer Kombination aus chemischen und mechanischen Kräften. Für die CMP wird ein abrasiver Chemikalienschlamm in Verbindung mit einem Polierkissen und einem Stützring verwendet. Bei der Halbleiterherstellung wird die CMP zum Planarisieren und Polieren von unterschiedlichen Arten von Materialien (z. B. Dielektrika, Metallen und Halbleitern) verwendet, die kristalline, polykristalline oder amorphe Mikrostrukturen haben.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen.
    • 1 ist eine Schnittansicht von Metallisierungsschicht-Öffnungen gemäß einigen Ausführungsformen.
    • 2 ist ein Ablaufdiagramm eines Verfahrens, in dem verschiedene Schritte zur Herstellung von leitfähigen Strukturen in einer Verbindungsschicht gemäß einigen Ausführungsformen beschrieben sind.
    • Die 3 bis 8 sind Schnittansichten von Zwischenstrukturen bei der Herstellung von leitfähigen Strukturen in einer Verbindungsschicht gemäß einigen Ausführungsformen.
    • 9 ist eine Schnittansicht einer Struktur mit darauf befindlichen leitfähigen Strukturen gemäß einigen Ausführungsformen.
    • 10 ist ein Ablaufdiagramm eines Verfahrens, in dem verschiedene Schritte zur Herstellung von leitfähigen Strukturen gemäß einigen Ausführungsformen beschrieben sind.
    • Die 11 bis 15 sind Schnittansichten von Zwischenstrukturen bei der Herstellung von leitfähigen Strukturen gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können entsprechend interpretiert werden.
  • Der hier verwendete Begriff „Nenn-“ bezeichnet einen gewünschten oder Sollwert einer Eigenschaft oder eines Parameters für eine Komponente oder einen Prozessschritt, die/der während der Entwurfsphase für ein Produkt oder einen Prozess festgelegt wird, zusammen mit einem Bereich von Werten über und/oder unter dem Sollwert. Der Bereich von Werten ergibt sich normalerweise aus geringfügigen Abweichungen bei Herstellungsprozessen und/oder -toleranzen.
  • Bei einigen Ausführungsformen können die Begriffe „etwa“ und „im Wesentlichen“ einen Wert einer gegebenen Größe angeben, der innerhalb von 5 % des Werts (z. B. ±1 %, ±2 %, ±3 %, ±4 %, ±5 % des Werts) variiert. Diese Werte sind lediglich Beispiele und sollen nicht beschränkend sein. Es versteht sich, dass sich die Begriffe „etwa“ und „im Wesentlichen“ auf einen Prozentsatz der Werte beziehen können, die von Fachleuten vor dem Hintergrund der hier verwendeten Grundsätze interpretiert werden.
  • Rutheniummetall kann als ein Füllmaterial für leitfähige Strukturen in einem MEOL-Prozess (MEO: Middle End of Line) und als eine Diffusionssperrschicht oder Seedschicht für Kupfer-Verbindungen in einem BEOL-Prozess (BEOL: Back End of Line) verwendet werden. Dies liegt daran, dass Rutheniummetall einen niedrigen spezifischen Volumenwiderstand (z. B. von etwa 7,7 µΩ·cm) und eine ausreichende Korrosionsbeständigkeit gegen Kupfer-Plattierungschemikalien hat.
  • Die Verwendung von Ruthenium bei der Halbleiterherstellung bringt jedoch Probleme mit sich. Zum Beispiel lässt sich Ruthenium, das gegen einen Chemikalienangriff beständig ist, schwer mit vorhandenen CMP-Schlämmen, wie etwa denen, die für andere Metalle wie Kupfer, Aluminium, Wolfram, Cobalt usw. bei der Halbleiterherstellung verwendet werden, planarisieren (z. B. hat es eine niedrige Poliergeschwindigkeit). Daher werden andere CMP-Schlämme für Ruthenium-Planarisierungsprozesse entwickelt. Diese anderen CMP-Schlämme erfordern jedoch starke Oxidationsmittel, wie etwa Cer-Ammoniumhydrat [(NH4)2Ce(NO3)6], Natriumtetroxoiodat (NaIO4), Kaliummetaperiodat (KIO4) oder Kaliumpermanganat (KMnO4), und lange Polierzeiten zum Entfernen von Rutheniummetallschichten. Lange Polierzeiten und starke Oxidationsmittel können umgebende Materialien beschädigen. Zum Beispiel können starke Oxidationsmittel zu einer galvanischen Korrosion z. B. von Kupfer führen, d. h., einem elektrochemischen Prozess, bei dem ein Metall (z. B. Kupfer) vorzugsweise dann korrodiert, wenn es in Kontakt mit einem anderen Metall (z. B. Ruthenium) in Gegenwart eines Elektrolyten (z. B. dem CMP-Schlamm) ist. Außerdem muss der pH des Schlamms, der bei der Ruthenium-Polierung zum Einsatz kommen, auf etwa 8,4 bis etwa 10 eingestellt werden, um die Bildung von Rutheniumtetroxid (RuO4) zu verhindern, das ein toxisches Nebenprodukt der chemischen Reaktion zwischen dem Rutheniummetall und dem CMP-Schlamm ist.
  • Um die vorgenannten Nachteile anzugehen, sind Ausführungsformen, die hier beschrieben werden, auf ein Ionenimplantationsverfahren gerichtet, das so konfiguriert ist, dass es die Poliergeschwindigkeit von Rutheniummetall für CMP-Schlämme wie die vorgenannten erhöht und die Ruthenium-Polierdauer verkürzt. Eine reduzierte Polierdauer ist für die umgebenden Materialien günstig, wie vorstehend dargelegt worden ist. Bei einigen Ausführungsformen umfasst das Implantationsverfahren Implantationen mit einer Implantationsenergie von etwa 0,3 keV bis etwa 50 keV und einem Einfallswinkel von 0° bis etwa 80°. Bei einigen Ausführungsformen beträgt die Dotierungsdosis etwa 1 × 1014 Dotanden/cm2 bis etwa 1 × 1017 Dotanden/cm2, und es werden Dotanden aus der Gruppe Kohlenstoff (C), Bor (B), Phosphor (P), Sauerstoff (O), Silizium (Si), Argon (Ar), Germanium (Ge), Arsen (As) und Xenon (Xe) oder andere geeignete Dotanden verwendet. Bei einigen Ausführungsformen werden nach dem Implantationsprozess die dotierten Rutheniumschichten während eines späteren CMP-Prozesses entfernt. Bei einigen Ausführungsformen ist die Poliergeschwindigkeit, die für eine dotierte Rutheniumschicht erzielt wird, um etwa das 1,1- bis etwa 1,7-fache höher als die für eine undotierte Rutheniumschicht. Dies liegt daran, dass Dotanden Defekte in dem Rutheniummetall induzieren. Das dotierte Rutheniummetall oxidiert während des Ruthenium-CMP-Prozesses schneller und wird daher schneller poliert. Bei einigen Ausführungsformen umfassen Implantationsprozess-Parameter, die die Poliergeschwindigkeit für die Rutheniumschicht während des CMP-Prozesses modulieren, die Dotandenspezies, die Dotierungsdosis, die Implantationsenergie und den Einfallswinkel, mit dem die Dotanden auf die Oberfläche des Rutheniummetalls auftreffen.
  • 1 ist eine Teil-Schnittansicht einer teilweise hergestellten Verbindungsschicht 100, die auf einem Substrat 110 hergestellt ist, gemäß einigen Ausführungsformen. Auf dieser Herstellungsstufe weist die Verbindungsschicht 100 Öffnungen 120, 130 und 140 auf, die in einem Low-k-Dielektrikum 150 erzeugt worden sind, das auf dem Substrat 110 angeordnet ist. In späteren Prozessen werden die Öffnungen 120, 130 und 140 mit einer oder mehreren leitfähigen Schichten, wie etwa einer Rutheniumschicht, gefüllt, um jeweilige leitfähige Strukturen für die Verbindungsschicht 100 herzustellen. 1 ist gemäß einigen Ausführungsformen eine Vorstufenstruktur (z. B. eine Ausgangsstruktur) für die hier beschriebenen Ausführungsformen.
  • Beispielhaft und nicht beschränkend kann das Substrat 110 ein teilweise hergestellter Wafer sein, auf dem eine oder mehrere Schichten hergestellt sind. Diese eine oder mehreren Schichten, die der Einfachheit halber in 1 nicht dargestellt sind, können zum Beispiel FEOL-Strukturen (FEOL: Front End of Line), wie etwa aktive Vorrichtungen, passive Vorrichtungen, dotierte Bereiche, Epitaxialstrukturen und dergleichen, und lokale oder globale Verbindungsschichten (z. B. MEOL-Metallschichten, BEOL-Metallschichten oder Kombinationen davon) sein. Daher kann die Verbindungsschicht 100 eine erste BEOL-Schicht eines Stapels von BEOL-Metallisierungsschichten oder eine BEOL-Schicht in einem Stapel von BEOL-Metallisierungsschichten sein, der auf dem Substrat 110 angeordnet ist. Nach ihrer Herstellung wird die Verbindungsschicht 100 mit darunter befindlichen Metallisierungsschichten (z. B. MEOL- und/oder BEOL-Metallisierungsschichten) oder Vorrichtungen des Substrats 110 elektrisch verbunden. Zum Beispiel können leitfähige Strukturen, die in den Öffnungen 120 und 130 hergestellt werden, in Kontakt mit jeweiligen leitfähigen Strukturen von darunter befindlichen Metallisierungsschichten (z. B. MEOL- oder BEOL-Metallisierungsschichten) sein, die in dem Substrat 110 verwendet werden. Die vorgenannten Schichten und Strukturelemente, die in dem Substrat 110 verwendet werden und in 1 nicht dargestellt sind, liegen ebenfalls innerhalb des Grundgedankens und Schutzumfangs der vorliegenden Erfindung.
  • Bei einigen Ausführungsformen werden die Öffnungen 120, 130 und 140 durch Strukturieren des Low-k-Dielektrikums 150 zum Beispiel mit aufeinanderfolgenden fotolithografischen und Ätzprozessen hergestellt. Beispielhaft und nicht beschränkend können die Öffnungen 120 und 130 einen Doppelstrukturierungsprozess erfordern, während die Öffnung 140 einen Einzelstrukturierungsprozess erfordern kann. Bei einigen Ausführungsformen ist das Low-k-Dielektrikum 150 eine kohlenstoffreiche Siliziumoxidschicht mit oder ohne Poren, die eine Dielektrizitätskonstante von etwa 2 bis etwa 3 hat. Bei einigen Ausführungsformen ist das Low-k-Dielektrikum 150 ein Stapel von dielektrischen Schichten, wie etwa ein Low-k-Dielektrikum und ein anderes Dielektrikum, zum Beispiel: (I) ein Low-k-Dielektrikum (z. B. mit Kohlenstoff dotiertes Siliziumoxid) und Siliziumcarbid, das mit Stickstoff dotiert ist; (II) ein Low-k-Dielektrikum (z. B. mit Kohlenstoff dotiertes Siliziumoxid) und Siliziumcarbid, das mit Sauerstoff dotiert ist; (III) ein Low-k-Dielektrikum (z. B. mit Kohlenstoff dotiertes Siliziumoxid) mit Siliziumnitrid; oder (IV) ein Low-k-Dielektrikum (z. B. mit Kohlenstoff dotiertes Siliziumoxid) mit Siliziumoxid.
  • 2 ist ein Ablaufdiagramm eines Verfahrens 200, in dem verschiedene Schritte zur Herstellung von leitfähigen Strukturen in einer Verbindungsschicht, wie etwa der in 1 gezeigten Verbindungsschicht 100, beschrieben sind. Insbesondere umfasst das Verfahren 200 einen Implantationsprozess, der so konfiguriert ist, dass er die Poliergeschwindigkeit für Rutheniummetallschichten verbessert, die während der Herstellung von leitfähigen Strukturen in der Verbindungsschicht abgeschieden werden. Zwischen den verschiedenen Schritten des Verfahrens 200 können weitere Herstellungsschritte durchgeführt werden, die der Übersichtlichkeit und Einfachheit der Beschreibung halber weggelassen sind. Diese verschiedenen Schritte liegen ebenfalls innerhalb des Grundgedankens und Schutzumfangs der vorliegenden Erfindung. Außerdem sind möglicherweise nicht alle Schritte erforderlich, um die hier offenbarte Erfindung auszuführen. Einige der Schritte können gleichzeitig durchgeführt werden, oder sie können in einer anderen Reihenfolge als der in 2 angegebenen Reihenfolge durchgeführt werden. Bei einigen Ausführungsformen können ein oder mehrere weitere Schritte zusätzlich zu den oder statt der hier beschriebenen Schritte durchgeführt werden. Das Verfahren 200 wird unter Bezugnahme auf die 1 und 3 bis 8 beschrieben.
  • In 2 beginnt das Verfahren 200 mit einem Schritt 210, in dem ein Rutheniummetallbelag in Öffnungen abgeschieden wird, die in einer dielektrischen Schicht einer Verbindungsschicht hergestellt sind. Zum Beispiel können die Öffnungen in dem Schritt 210 den Öffnungen 120, 130 und 140 entsprechen, die in dem in 1 gezeigten Low-k-Dielektrikum 150 der Verbindungsschicht 100 hergestellt sind. Beispielhaft und nicht beschränkend zeigt 3 die Verbindungsschicht 100 nach dem Schritt 210 und eine Abscheidung eines Rutheniummetallbelags 300 in den Öffnungen 120, 130 und 140. Bei einigen Ausführungsformen wird der Rutheniummetallbelag 300 durch Schutzabscheidung auf der Verbindungsschicht 100 abgeschieden, um freiliegende Oberflächen des Low-k-Dielektrikums 150 in Bereichen innerhalb und außerhalb der Öffnungen 120, 130 und 140 zu bedecken, wie in 3 gezeigt ist. Bei einigen Ausführungsformen wird der Rutheniummetallbelag 300 durch chemische Aufdampfung (CVD) oder Atomlagenabscheidung (ALD) bei einer Temperatur von weniger als etwa 200 °C (z. B. von etwa 180 °C) unter Verwendung eines Rutheniumcarbonyl-Vorläufers, wie etwa Trirutheniumdodecacarbonyl [Ru3(CO)12], abgeschieden. Bei einigen Ausführungsformen wird der Rutheniummetallbelag 300 mit einer geeigneten Dicke abgeschieden, um als eine Sperr- oder Seedschicht für das Kupfermetall zu dienen. Die Dicke des Rutheniummetallbelags 300 kann zum Beispiel etwa 3 Å bis etwa 30 Å betragen.
  • In 2 geht das Verfahren 200 mit einem Schritt 220 weiter, in dem Kupfermetall auf dem Rutheniummetallbelag (z. B. dem Rutheniummetallbelag 300) abgeschieden wird, um die Öffnungen (z. B. die Öffnungen 120, 130 und 140) der Verbindungsschicht (z. B. der Verbindungsschicht 100) zu füllen. 4 zeigt die Verbindungsschicht 100 nach dem Schritt 220, in dem bei einigen Ausführungsformen ein Kupfermetall 400 auf dem Rutheniummetallbelag 300 abgeschieden wird und die in 3 gezeigten Öffnungen 120, 130 und 140 weitgehend füllt. Bei einigen Ausführungsformen wird das Kupfermetall 400 mit einem geeigneten Verfahren (z. B. durch Elektroplattierung) direkt auf dem Rutheniummetallbelag 300 aufgewachsen. Bei einigen Ausführungsformen erstreckt sich das Kupfermetall 400 über Oberseiten des Low-k-Dielektrikums 150, wie in 4 gezeigt ist, und es bildet einen Überhang 410, der anschließend mit einem Kupfer-CMP-Prozess entfernt (z. B. herunterpoliert) wird.
  • In 2 geht das Verfahren 200 mit einem Schritt 230 weiter, in dem das Kupfermetall 400 mit einem Kupfer-CMP-Prozess poliert wird. In 4 wird der Kupfer-Überhang 410 entsprechend dem Schritt 230 mit einem Kupfer-CMP-Prozess 420 entfernt. Bei einigen Ausführungsformen ist der Kupfer-CMP-Prozess 420 aus den vorgenannten Gründen nicht in der Lage, den Rutheniummetallbelag 300 von den Oberseiten des Low-k-Dielektrikums 150 zu entfernen. Zum Beispiel wird in dem Kupfer-CMP-Prozess 420 das Kupfermetall 400 mit einer höheren Geschwindigkeit als der Rutheniummetallbelag 300 poliert. Daher erscheint nach dem Kupfer-CMP-Prozess 420 der Rutheniummetallbelag 300 in Bezug zu einer Oberseite des Kupfermetalls 400 „erhaben“, wie in der resultierenden Struktur von 5 gezeigt ist. Wenn der Kupfer-CMP-Prozess 420 in dem Bestreben, den Rutheniummetallbelag 300 zu entfernen, fortgesetzt wird, kommt es zu einem übermäßigen Kupfer-Dishing, d. h., durch den Kupfer-CMP-Prozess 420 wird die Oberseite des Kupfermetalls 400 weiter als die umgebenden Materialien (z. B. der Rutheniummetallbelag 300 und das Low-k-Dielektrikum 150) ausgespart.
  • In 2 geht das Verfahren 200 mit einem Schritt 240 weiter, in dem der Rutheniummetallbelag 300 mit einem Implantationsprozess dotiert wird. Im Gegensatz zu einem undotierten Rutheniummetallbelag kann der dotierte Rutheniummetallbelag 300 bei einigen Ausführungsformen leicht oxidiert werden und anschließend von der Oberseite des Low-k-Dielektrikums 150 mit einem Ruthenium-CMP-Prozess entfernt (z. B. poliert) werden. Bei einigen Ausführungsformen induziert der Implantationsprozess Defekte in dem Rutheniummetall, die die Ruthenium-Oxidationsgeschwindigkeit während des CMP-Prozesses erhöhen.
  • Während des Implantationsprozesses in dem Schritt 240 werden ionisierte Dotanden 600 zu dem Substrat 110 beschleunigt, und sie treffen in einem Einfallswinkel θ auf die Oberseite der Verbindungsschicht 100 auf, wie in 6 gezeigt ist. Bei einigen Ausführungsformen beträgt der Einfallswinkel θ, der aus einer Richtung senkrecht zu dem Substrat 110 (z. B. aus der z-Richtung) gemessen wird, etwa 0° bis etwa 80°. Zum Beispiel treffen ionisierte Dotanden 600A in 6 mit einem senkrechten Winkel (d. h., mit einem Einfallswinkel θ von 0°) auf die Oberseite der Verbindungsschicht 100 auf, und ionisierte Dotanden 600B treffen mit einem Einfallswinkel von etwa 45° auf die Oberseite der Verbindungsschicht 100 auf. Bei einigen Ausführungsformen können bei kleinen Einfallswinkeln (z. B. näher an 0°) die ionisierten Dotanden 600 tiefer in das Low-k-Dielektrikum 150 eindringen als zum Beispiel ionisierte Dotanden, die mit einem großen Einfallswinkel (z. B. näher an 80°) auf die Oberseite der Verbindungsschicht auftreffen. Wie in 6 gezeigt ist, kann unter identischen Implantationsbedingungen hinsichtlich Dotandenspezies und Dotierungsenergie zum Beispiel eine Implantationstiefe D1 für die ionisierten Dotanden 600A größer als eine Implantationstiefe D2 für die ionisierten Dotanden 600B sein (d. h., D1 > D2). Bei einigen Ausführungsformen ist der Einfallswinkel θ für die Dauer des Implantationsprozesses in dem Schritt 240 feststehend.
  • Bei einigen Ausführungsformen kann die Implantationstiefe in dem Low-k-Dielektrikum 150 größer als die in dem Rutheniummetallbelag 300 und dem Kupfermetall 400 sein. Dies liegt daran, dass das Low-k-Dielektrikum 150 eine geringere Dichte als der Rutheniummetallbelag 300 und das Kupfermetall 400 hat. Zum Beispiel kann das Low-κ-Dielektrikum 150 porös sein. Außerdem kann der Implantationsprozess in dem Schritt 240 das Low-k-Dielektrikum 150 beschädigen. Bei einigen Ausführungsformen können Parameter des Implantationsprozesses, wie etwa der Einfallswinkel θ, zum Steuern der Implantationstiefe verwendet werden und können die Beschädigung abschwächen, die von den Dotanden an dem Low-k-Dielektrikum 150 verursacht wird. Zum Beispiel kann ein streifender Einfallswinkel θ (der z. B. näher an etwa 80° ist) zum Reduzieren der Implantationstiefe in Low-k-Dielektrika verwendet werden, die anfällig für Schäden während des Implantationsprozesses in dem Schritt 240 sind.
  • Außer mit dem Einfallswinkel θ kann die Implantationstiefe auch mit der Implantationsenergie der ionisierten Dotanden 600 gesteuert werden, die etwa 0,3 keV bis etwa 50 keV betragen kann. Bei einigen Ausführungsformen können bei einem feststehenden Einfallswinkel θ und identischen Dotandenspezies hohe Implantationsenergien (z. B. näher an etwa 50 keV) zu einer größeren Implantationstiefe als niedrige Implantationsenergien (z. B. näher an etwa 30 keV) führen. Bei einigen Ausführungsformen kann die Implantationstiefe mit dem Einfallswinkel θ oder der Implantationsenergie der ionisierten Dotanden oder einer Kombination davon eingestellt werden, um Implantationsschäden an dem Low-k-Dielektrikum 150 abzuschwächen. Dadurch wird gewährleistet, dass der Rutheniummetallbelag 300 während des Ruthenium-CMP-Prozesses entfernt wird, ohne das darunter befindliche Low-k-Dielektrikum zu beeinträchtigen.
  • Bei einigen Ausführungsformen können nicht-polierte Teile des Rutheniummetallbelags 300, des Kupfermetalls 400 und des Low-κ-Dielektrikums 150 eine Rest-Dotierungskonzentration aufweisen, die gleich oder größer als etwa 1 × 1012 Atome/cm3 ist. Zum Beispiel kann eine Dotierungskonzentration von etwa 1 × 1012 Atomen/cm3 oder mehr mittels Sekundärionen-Massenspektroskopie (SIMS) in dem Low-k-Dielektrikum 150 nach dem Ruthenium-CMP-Prozess detektiert werden. Bei einigen Ausführungsformen stellt ein schraffierter oberer Teil der Ruthenium-Verbindungsschicht 100, die in 6 gezeigt ist, einen beispielhaften dotierten Bereich dar, der durch den Implantationsprozess in dem Schritt 240 entstanden ist. Bei einigen Ausführungsformen hat der dotierte Bereich eine Schwanzverteilung zu seiner Unterseite, die in den nicht-polierten Teilen detektiert werden kann, wie vorstehend dargelegt worden ist. Mit anderen Worten, die Unterseite des dotierten Bereichs, der in 6 gezeigt ist, ist möglicherweise nicht spitz, aber sie hat einen Schwanz mit einer Rest-Dotierungskonzentration, die gleich oder größer als etwa 1 × 1012 Atome/cm3 ist.
  • Bei einigen Ausführungsformen wird für den Implantationsprozess in dem Schritt 240 außerdem eine Dotierungsdosis von etwa 1 × 1014 Dotanden/cm2 bis etwa 1 × 1017 Dotanden/cm2 verwendet. Bei einigen Ausführungsformen sind die ionisierten Dotanden 600 unter anderem C, B, P, O, Si, Ar, Ge, As, Xe oder andere geeignete Dotanden. Bei einigen Ausführungsformen sind einige Dotandenspezies möglicherweise nicht mittels SIMS detektierbar. Zum Beispiel kann die Konzentration von O, Xe oder Ar in dem Low-k-Dielektrikum 150 möglicherweise nicht mittels SIMS bestimmt werden. Bei einigen Ausführungsformen induzieren die ionisierten Dotanden Defekte in dem Rutheniummetallbelag 300, was die Oxidation des Rutheniummetallbelags 300 beschleunigt, wenn er mit einem Ruthenium-CMP-Schlamm behandelt wird. Bei einigen Ausführungsformen oxidiert dotiertes Rutheniummetall mit einer höheren Geschwindigkeit als undotiertes Rutheniummetall. Bei einigen Ausführungsformen ist die Poliergeschwindigkeit, die für einen dotierten Rutheniummetallbelag erzielt wird, um das etwa 1,1- bis etwa 1,7-fache höher als die für einen undotierten Rutheniummetallbelag.
  • Bei einigen Ausführungsformen wird die CMP-Polierrate für den Rutheniummetallbelag mittels Implantationsprozessparametern moduliert, wie etwa den verwendeten Dotandenspezies (z. B. der Atommasse der Dotandenspezies), der Implantationsenergie, des Einfallswinkels und der Dotierungsdosis. Mit den vorgenannten Implantationsprozessparametern können die Defekte oder „Schäden“, die von den Dotanden an dem Rutheniummetallbelag 300 verursacht werden, und somit die Oxidationsgeschwindigkeit des Rutheniummetallbelags 300 während eines späteren CMP-Prozesses beherrscht werden.
  • Bei einigen Ausführungsformen werden die Prozessparameter für den in dem Schritt 240 angegebenen Implantationsprozess wie folgt gewählt. Zunächst werden die Dotandenspezies gewählt. Auf Grund der Atommasse der Dotandenspezies werden die Implantationsenergie und der Einfallswinkel θ so gewählt, dass die gewünschte Implantationstiefe erzielt wird. Für die Implantationstiefe werden unter anderem das Material des Low-k-Dielektrikums 150 und seine Toleranz in Bezug auf Implantationsschäden sowie die Dicke des zu polierenden Rutheniummetallbelags 300 berücksichtigt. Beispielhaft und nicht beschränkend können die Implantationsenergie und der Einfallswinkel θ mit einer Implantationssimulator-Software bereitgestellt werden, die die Atommasse der Dotandenspezies und die Implantationstiefe als Eingabeparameter verwendet. Nachdem die Dotandenspezies, die Implantationsenergie und der Einfallswinkel θ festgelegt worden sind, wird die Dotandendosis auf Grund der gewünschten Menge von Dotanden gewählt, die in den Rutheniummetallbelag 300 implantiert werden sollen.
  • Bei einigen Ausführungsformen erfordern schwerere Dotanden (d. h., mit einer höheren Atommasse, wie etwa Xe und As) eine niedrigere Dotierungsdosis als leichtere Dotanden (z. B. mit einer niedrigeren Atommasse, wie etwa C und Ar), um vergleichbare Ruthenium-Poliergeschwindigkeiten zu erzielen. Bei einigen Ausführungsformen kann die Implantationstiefe, die mit schwereren Dotanden erzielt wird, von der Implantationstiefe verschieden sein, die mit leichteren Dotanden erzielt wird. Bei einigen Ausführungsformen wird mit Implantationsenergien von weniger als etwa 0,3 keV und mit Dotierungsdosen von weniger als etwa 1 × 1014 Dotanden/cm2 die Poliergeschwindigkeit für den Rutheniummetallbelag 300 nicht wesentlich erhöht. Andererseits können Implantationsenergien von mehr als etwa 50 keV und Dotierungsdosen von mehr als etwa 1 × 1017 Dotanden/cm2 das Low-k-Dielektrikum 150 beschädigen.
  • In 2 geht das Verfahren 200 mit einem Schritt 250 weiter, in dem der dotierte Rutheniummetallbelag 300 mit einem Ruthenium-CMP-Prozess poliert wird, um leitfähige Strukturen in der Verbindungsschicht 100 herzustellen. In 7 wird mit einem Ruthenium-CMP-Prozess 700 der dotierte Rutheniummetallbelag 300 innerhalb einer Implantationstiefe D (d. h., innerhalb des oberen schaffierten Teils der Verbindungsschicht 100) planarisiert und entfernt. Bei einigen Ausführungsformen werden mit dem Ruthenium-CMP-Prozess 700 das Kupfermetall 400 und das Low-κ-Dielektrikum 150 mit einer Poliergeschwindigkeit, die der für den dotierten Rutheniummetallbelag 300 im Wesentlichen ähnlich ist, entfernt, um eine planarisierte Oberflächentopografie für die Verbindungsschicht 100 zu erzielen, wie in 8 gezeigt ist. Bei einigen Ausführungsformen, und unter Bezugnahme auf die 7 und 8, ist eine Höhe H1 der Verbindungsschicht 100 vor dem Ruthenium-CMP-Prozess 700 größer als eine Höhe H2 der Verbindungsschicht 100 nach dem Ruthenium-CMP-Prozess 700 (d. h., H1 > H2). Beispielhaft und nicht beschränkend kann eine Differenz zwischen den Höhen H1 und H2 gleich der, größer als die oder kleiner als die in 7 gezeigte Implantationstiefe D sein.
  • Bei einigen Ausführungsformen werden nach dem Ruthenium-CMP-Prozess 700 eine oder mehrere weitere Verbindungsschichten (in 8 nicht dargestellt) auf der Verbindungsschicht 100 hergestellt. Das Verfahren 200 kann für jede weitere Verbindungsschicht, die einen Rutheniummetallbelag aufweist und auf der Verbindungsschicht 100 hergestellt wird, wiederholt werden.
  • Bei einigen Ausführungsformen ist der Implantationsprozess in dem Schritt 240 des Verfahrens 200 nicht auf BEOL-Verbindungsschichten mit Rutheniummetallbelägen, wie etwa die Verbindungsschicht 100, beschränkt. Zum Beispiel kann der Implantationsprozess in dem Schritt 240 des Verfahrens 200 immer dann verwendet werden, wenn eine Planarisierung von Rutheniummetall in dem Halbleiterherstellungsprozess erforderlich ist. Bei einigen Ausführungsformen kann der Implantationsprozess in dem Schritt 240 zum Polieren/Planarisieren von mit Rutheniummetall gefüllten belagfreien leitfähigen MEOL-Strukturen, wie etwa belagfreien leitfähigen Strukturen 900, die in 9 gezeigt sind, verwendet werden.
  • 9 ist eine Schnittansicht einer Struktur mit leitfähigen Strukturen 900, die gemäß einigen Ausführungsformen auf einer leitfähigen Cobalt-Struktur 905 hergestellt werden. Bei einigen Ausführungsformen werden die leitfähigen Strukturen 900 mit einem Rutheniummetall 910 gefüllt. Bei einigen Ausführungsformen sind die leitfähigen Strukturen 900 belagfreie oder barrierefreie leitfähige Strukturen, die mit dem Rutheniummetall 910 gefüllt werden. Wie in 9 gezeigt ist, wird die leitfähige Cobalt-Struktur 905 auf einer verschmolzenen Source-/Drain-Epitaxialstruktur 915 hergestellt, die auf Finnenstrukturen 920 aufgewachsen ist, die wiederum auf einem Substrat 925 angeordnet sind. Bei einigen Ausführungsformen sind die Finnenstrukturen 920 und untere Teile der Source-/Drain-Epitaxialstruktur 915 von einer ersten dielektrischen Schicht 930 umschlossen, während obere Teile der Source-/Drain-Epitaxialstruktur 915 und der leitfähigen Cobalt-Struktur 905 von einer zweiten dielektrischen Schicht 935 umschlossen sind. Bei einigen Ausführungsformen bildet die erste dielektrische Schicht 930 eine Isolationsstruktur, wie etwa eine flache Grabenisolation (STI).
  • Obere und mittlere Teile der leitfähigen Strukturen 900 (z. B. über der leitfähigen Cobalt-Struktur 905) sind von einer Ätzstoppschicht 940 und einem Zwischenschicht-Dielektrikum (ILD) 945 umschlossen. Im Gegensatz dazu sind untere Teile der leitfähigen Strukturen 900 (z. B. unter der Oberseite der leitfähigen Cobalt-Struktur 905) in die leitfähige Cobalt-Struktur 905 eingebettet. Die unteren Teile der leitfähigen Strukturen 900 (z. B. in der leitfähigen Cobalt-Struktur 905) können eine „Verankerung“ 950 haben (oder auch nicht), die verhindert, dass das Rutheniummetall 910 während des Ruthenium-Planarisierungsprozesses (z. B. während des Ruthenium-CMP-Prozesses) „herausgezogen“ wird. Die Verankerung 950 vergrößert außerdem den Oberflächenbereich zwischen dem Rutheniummetall 910 und der leitfähigen Cobalt-Struktur 905, sodass ein Kontaktwiderstand zwischen den zwei Strukturen reduziert wird. Bei einigen Ausführungsformen wird eine Silizidschicht 955 zwischen die leitfähige Cobalt-Struktur 905 und die Source-/Drain-Epitaxialstruktur 915 geschichtet, um einen elektrischen Widerstand zwischen der leitfähigen Cobalt-Struktur 905 und der Source-/Drain-Epitaxialstruktur 915 zu reduzieren.
  • Die in 9 gezeigten Strukturen sind beispielhaft, und Abwandlungen liegen innerhalb des Grundgedankens und Schutzumfangs der vorliegenden Erfindung. Zum Beispiel kann jede Finnenstruktur 920 ihre eigene Source-/Drain-Epitaxialstruktur statt einer einzigen verschmolzenen Source-/Drain-Epitaxialstruktur 915 haben. Außerdem können weitere oder weniger leitfähige Strukturen 900 auf der leitfähigen Cobalt-Struktur 905 hergestellt werden. Auch auf dem Substrat 925 können weitere oder weniger Finnenstrukturen 920 hergestellt werden. Außerdem zeigt 9 selektive Teile der Strukturen, während andere Teile der Einfachheit halber nicht dargestellt sind. Zum Beispiel sind Deckschichten, Sperrschichten oder Haftschichten für die Cobalt-Struktur 905 in 9 nicht dargestellt. Darüber hinaus sind eine Gatestruktur, die auf den Finnenstrukturen 920 benachbart zu der Source-/Drain-Epitaxialstruktur 915 entlang der x-Richtung hergestellt ist, Abstandshalterstrukturen, dotierte Bereiche und Verkappungsschichten für die Source-/Drain-Epitaxialstruktur 915 und die Finnenstrukturen 920 nicht dargestellt.
  • Bei einigen Ausführungsformen ist die Cobalt-Struktur 905 ein Source-/Drain-Kontakt, auf dem leitfähige Strukturen 900 ohne dazwischen befindliche Schichten, wie etwa Sperrschichten, Deckschichten oder Haftschichten, hergestellt werden. Bei einigen Ausführungsformen bilden die leitfähigen Strukturen 900 ein Netzwerk von vertikalen Kontakten, die die Cobalt-Struktur 905 mit oberen Verbindungsschichten, wie etwa der in 8 gezeigten Verbindungsschicht 100, elektrisch verbinden. Bei einigen Ausführungsformen werden die leitfähigen Strukturen 900 mit ähnlichen Prozessen poliert, wie sie in den Schritten 240 und 250 des in 2 dargestellten Verfahrens 200 verwendet werden.
  • 10 ist ein Ablaufdiagramm eines Verfahrens 1000, in dem Schritte zur Herstellung der leitfähigen Strukturen 900 in dem in 9 gezeigten ILD 945 beschrieben sind. Insbesondere umfasst das Verfahren 1000 wie das in 2 gezeigte Verfahren 200 einen Implantationsprozess, der so konfiguriert ist, dass er die Poliergeschwindigkeit für das Rutheniummetall 910 erhöht, das während der Herstellung der leitfähigen Strukturen 900 abgeschieden wird. Zwischen den verschiedenen Schritten des Verfahrens 1000 können weitere Herstellungsschritte durchgeführt werden, die der Übersichtlichkeit und Einfachheit der Beschreibung halber weggelassen sind. Diese verschiedenen Schritte liegen ebenfalls innerhalb des Grundgedankens und Schutzumfangs der vorliegenden Erfindung. Außerdem sind möglicherweise nicht alle Schritte erforderlich, um die hier offenbarte Erfindung auszuführen. Einige der Schritte können gleichzeitig durchgeführt werden, oder sie können in einer anderen Reihenfolge als der in 10 angegebenen Reihenfolge durchgeführt werden. Bei einigen Ausführungsformen können ein oder mehrere weitere Schritte zusätzlich zu den oder statt der hier beschriebenen Schritte durchgeführt werden. Das Verfahren 1000 wird unter Bezugnahme auf die 11 bis 15 beschrieben.
  • Bei einigen Ausführungsformen ist 11 die in 9 gezeigte Struktur vor der Herstellung der leitfähigen Strukturen 900, und 12 ist die in 11 gezeigte Struktur nach der Herstellung von Kontaktöffnungen 1100 in dem ILD 945, aber nach der Abscheidung des Rutheniummetalls 910. Beispielhaft und nicht beschränkend können die Kontaktöffnungen 1100 in dem ILD 945 mit aufeinanderfolgenden fotolithografischen und Ätzprozessen erzeugt werden. Bei einigen Ausführungsformen ist 12 eine Vorstufenstruktur (z. B. eine Ausgangsstruktur) für das in 10 dargestellte Verfahren 1000.
  • In dem Beispiel von 12 werden die Kontaktöffnungen 1100 mit einer „Verankerungsaussparung“ 1110 erzeugt. Dies ist jedoch nicht beschränkend, und die Kontaktöffnungen 1100 können auch ohne die Verankerungsaussparung 1110 erzeugt werden. Bei einigen Ausführungsformen werden selektive Kontaktöffnungen 1100 mit einer Verankerungsaussparung 1110 erzeugt.
  • In 10 beginnt das Verfahren 1000 mit einem Schritt 1010, in dem Rutheniummetall (z. B. das Rutheniummetall 910) in Kontaktöffnungen (z. B. den Kontaktöffnungen 1100) abgeschieden wird, die in einer dielektrischen Schicht (z. B. dem ILD 945) hergestellt sind. Beispielhaft und nicht beschränkend kann das Rutheniummetall 910 mit ähnlichen Verfahren abgeschieden werden, wie sie für die Abscheidung des Rutheniummetallbelags 300 verwendet werden. Zum Beispiel kann das Rutheniummetall 910 durch CVD, ALD oder mit einem anderen geeigneten Verfahren bei einer Temperatur von weniger als etwa 200 °C (z. B. von etwa 180 °C) unter Verwendung eines Rutheniumcarbonyl-Vorläufers, wie etwa Ru3(CO)12, abgeschieden werden. Bei einigen Ausführungsformen wird das Rutheniummetall 910 mit einer Dicke von etwa 20 nm oder mit einer Dicke abgeschieden, die ausreichend ist, um die Kontaktöffnungen 1100, die die Verankerungsaussparung 1110 umfassen, weitgehend zu füllen.
  • 13 eine vergrößerte Darstellung der in 12 gezeigten Kontaktöffnungen 1100 nach der Abscheidung des Rutheniummetalls 910 entsprechend dem Schritt 1010, gemäß einigen Ausführungsformen. Bei einigen Ausführungsformen füllt das Rutheniummetall 910 die Kontaktöffnungen in dem ILD 945 und bildet einen Überhang über Oberseiten des ILD 945, wie in 13 gezeigt ist. Der Überhang wird mit einem Ruthenium-CMP-Prozess entfernt, sodass belagfreie Kontakte 900 entstehen. Wie vorstehend dargelegt worden ist, wird das Rutheniummetall 910 direkt auf der Cobalt-Struktur 905 abgeschieden, ohne dass sich Schichten dazwischen befinden. Außerdem ist das Rutheniummetall 910 in direktem Kontakt mit Seitenwandflächen der Ätzstoppschicht 940 und des ILD 945, die kollektiv die Seitenwandflächen der in 12 gezeigten Öffnungen 1100 bilden.
  • In 10 geht das Verfahren 1000 mit einem Schritt 1020 weiter, in dem das Rutheniummetall 910 mit einem Implantationsprozess dotiert wird. Bei einigen Ausführungsformen ist der Schritt 1020 des Verfahrens 1000 dem Schritt 240 des in 2 gezeigten Verfahrens 200 ähnlich. Zum Beispiel haben der Implantationsprozess des Schritts 1020 und der Implantationsprozess des Schritts 240 eine gemeinsame Funktionsweise. Zum Beispiel werden während des Implantationsprozesses in dem Schritt 1020 ionisierte Dotanden 600 zu dem Substrat 925 beschleunigt (wie z. B. in 12 gezeigt ist), und sie treffen in einem in 13 gezeigten Einfallswinkel θ auf Oberseiten des Rutheniummetalls 910 und des ILD 945 auf. Bei einigen Ausführungsformen wird der Einfallswinkel θ aus einer Richtung senkrecht zu der planaren Oberseite des ILD 945 (z. B. aus der z-Richtung) gemessen. Bei einigen Ausführungsformen beträgt der Einfallswinkel θ etwa 0° bis etwa 80°. Zum Beispiel treffen ionisierte Dotanden 600A in 13 mit einem senkrechten Winkel (z. B. mit einem Einfallswinkel θ von 0°) auf die Oberseite des ILD 945 auf, und ionisierte Dotanden 600B treffen mit einem Einfallswinkel von etwa 45° auf die Oberseite des ILD 945 auf. Bei einigen Ausführungsformen können bei kleinen Einfallswinkeln (z. B. näher an 0°) die ionisierten Dotanden 600 tiefer in das Rutheniummetall 910 und das ILD 945 eindringen als ionisierte Dotanden mit großen Einfallswinkeln (z. B. näher an 80°). Zum Beispiel ist unter ähnlichen Implantationsbedingungen (z. B. Implantationsenergie und Dotandenspezies) eine Implantationstiefe D3 für die ionisierten Dotanden 600A größer als eine Implantationstiefe D4 für die ionisierten Dotanden 600B (d. h., D3 > D4). Bei einigen Ausführungsformen ist der Einfallswinkel θ für die Dauer des Implantationsprozesses in dem Schritt 1020 feststehend.
  • Ähnlich wie bei dem Implantationsprozess, der vorstehend in dem Schritt 240 beschrieben worden ist, kann in dem Implantationsprozess des Schritts 1020 die Implantationstiefe mittels der Energie der ionisierten Dotanden 600 gesteuert werden. Bei einigen Ausführungsformen beträgt die Implantationsenergie für die ionisierten Dotanden etwa 0,3 keV bis etwa 50 keV. Bei einigen Ausführungsformen können für einen feststehenden Einfallswinkel θ und für die gleiche Art von Dotanden hohe Implantationsenergien (z. B. etwa 50 keV) zu einer größeren Implantationstiefe als niedrige Implantationsenergien (z. B. etwa 30 keV) führen. Bei einigen Ausführungsformen kann die Implantationstiefe mit dem Einfallswinkel θ oder der Implantationsenergie der ionisierten Dotanden oder einer Kombination davon eingestellt werden.
  • Bei einigen Ausführungsformen können nicht-polierte Teile des Rutheniummetalls 910 und des ILD 945 eine Rest-Dotierungskonzentration aufweisen, die gleich oder größer als etwa 1 × 1012 Atome/cm3 ist. Zum Beispiel kann eine Dotierungskonzentration von etwa 1 × 1012 Atomen/cm3 oder mehr mittels SIMS in dem ILD 945 detektiert werden. Bei einigen Ausführungsformen stellt ein schraffierter oberer Teil des Rutheniummetalls 910 und des ILD 945, der in 13 gezeigt ist, einen beispielhaften dotierten Bereich in dem Rutheniummetall 910 und dem ILD 945 dar, der mit dem Implantationsprozess in dem Schritt 1020 erzielt worden ist.
  • Bei einigen Ausführungsformen wird für den Implantationsprozess in dem Schritt 1020 außerdem eine Dotierungsdosis von etwa 1 × 1014 Dotanden/cm2 bis etwa 1 × 1017 Dotanden/cm2 verwendet. Bei einigen Ausführungsformen sind die ionisierten Dotanden 600 unter anderem C, B, P, O, Si, Ar, Ge, As oder Xe. Bei einigen Ausführungsformen induzieren die ionisierten Dotanden Defekte in dem Rutheniummetall 910, was die Oxidation des Rutheniummetalls 910 beschleunigt, wenn es mit einem Ruthenium-CMP-Schlamm behandelt wird. Bei einigen Ausführungsformen ist die Poliergeschwindigkeit, die für das dotierte Rutheniummetall erzielt wird, höher als für undotiertes Rutheniummetall, wenn beide unter ähnlichen CMP-Prozessbedingungen poliert werden. Bei einigen Ausführungsformen ist die Poliergeschwindigkeit für dotiertes Rutheniummetall um das etwa 1,1- bis etwa 1,7-fache höher als die für ein undotiertes Rutheniummetall.
  • Wie vorstehend für den Implantationsprozess in dem Schritt 240 dargelegt worden ist, kann die CMP-Poliergeschwindigkeit für das Rutheniummetall mittels einer Kombination aus Implantationsprozessparametern, wie etwa der Art von Dotandenspezies (z. B. der Atommasse der Dotandenspezies), der Implantationsenergie, dem Einfallswinkel und der Dotierungsdosis, moduliert werden. Mit den vorgenannten Implantationsprozessparametern können die Defekte oder „Schäden“, die von den Dotanden an dem Rutheniummetall 910 verursacht werden, und somit die Oxidationsgeschwindigkeit des Rutheniummetalls 910 während eines späteren CMP-Prozesses beherrscht werden.
  • Die Prozessparameter für den in dem Schritt 1020 angegebenen Implantationsprozess können so gewählt werden, wie es in dem Schritt 240 dargelegt worden ist. Zum Beispiel können zunächst die Dotandenspezies gewählt werden, und dann werden die Implantationsenergie und der Einfallswinkel und anschließend die Implantationsdosis bestimmt.
  • Bei einigen Ausführungsformen erfordern schwerere Dotanden eine niedrigere Dotierungsdosis als leichtere Dotanden, um vergleichbare Ruthenium-Poliergeschwindigkeiten zu erzielen. Bei einigen Ausführungsformen kann die Implantationstiefe, die mit schwereren Dotanden erzielt wird, von der Implantationstiefe verschieden sein, die mit leichteren Dotanden erzielt wird. Bei einigen Ausführungsformen wird mit Implantationsenergien von weniger als etwa 0,3 keV und mit Dotierungsdosen von weniger als etwa 1 × 1014 Dotanden/cm2 die Poliergeschwindigkeit für den Rutheniummetallbelag 300 nicht wesentlich erhöht. Andererseits können Implantationsenergien von mehr als etwa 50 keV und Dotierungsdosen von mehr als etwa 1 × 1017 Dotanden/cm2 das ILD 945 beschädigen.
  • In 10 geht das Verfahren 1000 mit einem Schritt 1030 weiter, in dem das dotierte Rutheniummetall 910 mit einem Ruthenium-CMP-Prozess poliert wird, um leitfähige Strukturen (z. B. die leitfähigen Strukturen 900) herzustellen. In 14 werden mit einem Ruthenium-CMP-Prozess 1400 das dotierte Rutheniummetall innerhalb einer Implantationstiefe D und der Rutheniummetall-Überhang planarisiert und entfernt. Bei einigen Ausführungsformen werden mit dem Ruthenium-CMP-Prozess 1400 das Rutheniummetall 910 und das ILD 945 mit einer im Wesentlichen ähnlichen Poliergeschwindigkeit entfernt, um eine planarisierte Oberflächentopografie zu erzielen, wie in 15 gezeigt ist. Bei einigen Ausführungsformen, und unter Bezugnahme auf 14, ist eine Höhe H3 des ILD 945 vor dem Ruthenium-CMP-Prozess 1400 größer als eine Höhe H4 des ILD 945 nach dem Ruthenium-CMP-Prozess 1400 (d. h., H3 > H4). Beispielhaft und nicht beschränkend ist eine Differenz zwischen den Höhen H3 und H4 im Wesentlichen gleich der in 14 gezeigten Implantationstiefe D.
  • Bei einigen Ausführungsformen ist auf Grund der Unterschiede zwischen den polierten Materialien der Ruthenium-CMP-Prozess 1400 von dem Ruthenium-CMP-Prozess in dem Schritt 250 verschieden.
  • Bei einigen Ausführungsformen können nach dem Ruthenium-CMP-Prozess 1400 eine oder mehrere Verbindungsschichten (wie zum Beispiel die in 8 gezeigte Verbindungsschicht 100) auf den leitfähigen Strukturen 900 und dem ILD 945 hergestellt werden.
  • Verschiedene Ausführungsformen gemäß der vorliegenden Erfindung sind auf ein Verfahren zum Planarisieren von Rutheniummetallschichten in leitfähigen MEOL- und BEOL-Strukturen gerichtet. Bei einigen Ausführungsformen wird das Rutheniummetall mit Dotandenspezies implantiert (dotiert), um seine Poliergeschwindigkeit während eines späteren Ruthenium-CMP-Prozesses zu erhöhen. Bei einigen Ausführungsformen umfasst der Implantationsprozess Implantationen mit einer Implantationsenergie von etwa 0,3 keV bis etwa 50 keV und einem Einfallswinkel von 0° bis etwa 80°. Bei einigen Ausführungsformen beträgt die Implantationsdosis etwa 1 × 1014 Dotanden/cm2 bis etwa 1 × 1017 Dotanden/cm2, und die Dotanden werden aus der Gruppe C, B, P, O, Si, Ar, Ge, As und Xe gewählt. Bei einigen Ausführungsformen werden die dotierten Rutheniumschichten während eines späteren CMP-Prozesses entfernt. Bei einigen Ausführungsformen kann eine Rest-Dotierungskonzentration von etwa 1 × 1013 Atomen/cm3 oder mehr mittels SIMS in dem umgebenden dielektrischen Material nach dem Ruthenium-CMP-Prozess detektiert werden. Bei einigen Ausführungsformen oxidieren während eines Ruthenium-CMP-Prozesses dotierte Rutheniummetallschichten schneller als undotierte Rutheniummetallschichten. Bei einigen Ausführungsformen haben dotierte Rutheniummetallschichten eine Poliergeschwindigkeit, die das etwa 1,1- bis etwa 1,7-fache der Poliergeschwindigkeit für undotierte Rutheniummetallschichten beträgt.
  • Bei einigen Ausführungsformen weist ein Verfahren ein Herstellen einer ersten Verbindungsschicht auf einem Substrat auf, wobei das Herstellen der ersten Verbindungsschicht Folgendes umfasst: Erzeugen von Öffnungen in einer dielektrischen Schicht, die auf dem Substrat angeordnet ist; Abscheiden eines Rutheniummetallbelags in den Öffnungen; und Abscheiden von Kupfermetall auf dem Rutheniummetall, um die Öffnungen zu füllen. Das Herstellen der ersten Verbindungsschicht umfasst weiterhin Folgendes: Polieren des Kupfermetalls; Dotieren des Rutheniummetallbelags; und Polieren des dotierten Rutheniummetallbelags, um leitfähige Strukturen in der ersten Verbindungsschicht herzustellen. Das Verfahren umfasst weiterhin ein Herstellen einer zweiten Verbindungsschicht auf der ersten Verbindungsschicht.
  • Bei einigen Ausführungsformen umfasst ein Verfahren ein Herstellen einer ersten leitfähigen Struktur auf einer zweiten leitfähigen Struktur, wobei das Herstellen der ersten leitfähigen Struktur Folgendes umfasst: Erzeugen von Öffnungen in einer dielektrischen Schicht, die auf der zweiten leitfähigen Struktur angeordnet ist; und Abscheiden eines Rutheniummetalls in den Öffnungen so, dass es die Öffnungen überfüllt. Das Herstellen der ersten leitfähigen Struktur umfasst weiterhin ein Dotieren des Rutheniummetalls; und ein Polieren des dotierten Rutheniummetalls, um die erste leitfähige Struktur herzustellen. Das Verfahren umfasst außerdem ein Herstellen einer Verbindungsschicht auf der ersten leitfähigen Struktur.
  • Bei einigen Ausführungsformen weist eine Verbindungsschicht eine dielektrische Schicht auf einem Substrat; und leitfähige Strukturen in der dielektrischen Schicht auf, wobei die leitfähigen Strukturen ein erstes leitfähiges Material und einen Rutheniumbelag umfassen, der eine Seitenwand und eine Unterseite des ersten leitfähigen Materials umschließt. Außerdem weist die dielektrische Schicht Dotanden mit einer Dotierungskonzentration von mindestens etwa 1 × 1012 Atomen/cm3 auf.
  • Es dürfte wohlverstanden sein, dass der Abschnitt „Detaillierte Beschreibung“ und nicht der Abschnitt „Zusammenfassung“ zum Auslegen der Ansprüche verwendet werden soll. Im Abschnitt „Zusammenfassung“ können eine oder mehrere, jedoch nicht alle, beispielhaften Ausführungsformen der vorliegenden Erfindung, die in Betracht gezogen werden, dargelegt sein, und daher sollen sie nicht auf die beigefügten Ansprüche beschränkt sein.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.

Claims (20)

  1. Verfahren mit den folgenden Schritten: Herstellen einer ersten Verbindungsschicht auf einem Substrat auf, wobei das Herstellen der ersten Verbindungsschicht Folgendes umfasst: Erzeugen von Öffnungen in einer dielektrischen Schicht, die auf dem Substrat angeordnet ist, Abscheiden eines Rutheniummetallbelags in den Öffnungen, Abscheiden von Kupfermetall auf dem Rutheniummetallbelag, um die Öffnungen zu füllen, Polieren des Kupfermetalls, Dotieren des Rutheniummetallbelags, und Polieren des dotierten Rutheniummetallbelags, um leitfähige Strukturen in der ersten Verbindungsschicht herzustellen; und Herstellen einer zweiten Verbindungsschicht auf der ersten Verbindungsschicht.
  2. Verfahren nach Anspruch 1, wobei das Abscheiden des Rutheniummetallbelags ein Abscheiden des Rutheniummetallbelags auf Oberflächen der dielektrischen Schicht außerhalb der Öffnungen umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Dotieren des Rutheniummetallbelags ein Dotieren eines Teils des Kupfermetalls und eines Teils der dielektrischen Schicht umfasst.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Polieren des dotierten Rutheniummetallbelags ein Polieren des Kupfermetalls, der dielektrischen Schicht und des dotierten Rutheniummetallbelags mit im Wesentlichen ähnlichen Poliergeschwindigkeiten umfasst.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Dotieren des Rutheniummetallbelags ein Dotieren des Rutheniummetallbelags mit Kohlenstoff (C), Bor (B), Phosphor (P), Sauerstoff (O), Silizium (Si), Argon (Ar), Germanium (Ge), Arsen (As) oder Xenon (Xe) umfasst.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Dotieren des Rutheniummetallbelags ein Dotieren des Rutheniummetallbelags mit einem Dotierungsverfahren umfasst, bei dem ein Ionenstrahl mit einem Einfallswinkel von etwa 0° bis etwa 80° verwendet wird.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Dotieren des Rutheniummetallbelags ein Dotieren des Rutheniummetallbelags mit einem Dotierungsverfahren umfasst, bei dem Dotanden mit einer Ionenenergie von etwa 0,3 keV bis etwa 20 keV verwendet werden.
  8. Verfahren mit den folgenden Schritten: Herstellen einer ersten leitfähigen Struktur auf einer zweiten leitfähigen Struktur, wobei das Herstellen der ersten leitfähigen Struktur Folgendes umfasst: Erzeugen von Öffnungen in einer dielektrischen Schicht, die auf der zweiten leitfähigen Struktur angeordnet ist, Abscheiden eines Rutheniummetalls in den Öffnungen so, dass es die Öffnungen überfüllt, Dotieren des Rutheniummetalls, und Polieren des dotierten Rutheniummetalls, um die erste leitfähige Struktur herzustellen; und Herstellen einer Verbindungsschicht auf der ersten leitfähigen Struktur.
  9. Verfahren nach Anspruch 8, wobei das Dotieren des Rutheniummetalls ein Dotieren von oberen Teilen des Rutheniummetalls und von oberen Teilen der dielektrischen Schicht mit Kohlenstoff (C), Bor (B), Phosphor (P), Sauerstoff (O), Silizium (Si), Argon (Ar), Germanium (Ge), Arsen (As) oder Xenon (Xe) umfasst.
  10. Verfahren nach Anspruch 8 oder 9, wobei das Dotieren des Rutheniummetalls ein Dotieren eines oberen Teils des Rutheniummetalls zum Herstellen eines oberen dotierten Teils und eines unteren undotierten Teils umfasst.
  11. Verfahren nach einem der Ansprüche 8 bis 10, wobei das Dotieren des Rutheniummetalls ein Behandeln des Rutheniummetalls mit einer Dotierungsdosis von etwa 1 × 1014 Dotanden/cm2 bis etwa 1 × 1017 Dotanden/cm2 umfasst.
  12. Verfahren nach einem der Ansprüche 8 bis 11, wobei das Dotieren des Rutheniummetalls ein Erhöhen einer Polierrate für das dotierte Rutheniummetall um das etwa 1,1- bis etwa 1,7-fache umfasst.
  13. Verfahren nach einem der Ansprüche 8 bis 12, wobei das Dotieren des Rutheniummetalls ein Dotieren des Rutheniummetalls umfasst, das auf der dielektrischen Schicht außerhalb der Öffnungen abgeschieden ist.
  14. Verfahren nach einem der Ansprüche 8 bis 13, wobei das Dotieren des Rutheniummetalls ein Dotieren eines oberen Teils der dielektrischen Schicht umfasst.
  15. Verfahren nach einem der Ansprüche 8 bis 14, wobei das Abscheiden des Rutheniummetalls ein Abscheiden des Rutheniummetalls auf Oberflächen der dielektrischen Schicht außerhalb der Öffnungen umfasst, um einen Überhang herzustellen.
  16. Verfahren nach einem der Ansprüche 8 bis 15, wobei das Polieren des dotierten Rutheniummetalls ein Polieren des dotierten Rutheniummetalls mit einem chemischmechanischen Planarisierungsprozess umfasst.
  17. Verbindungsschicht mit: einer dielektrischen Schicht auf einem Substrat; und leitfähigen Strukturen in der dielektrischen Schicht, wobei die leitfähigen Strukturen Folgendes umfassen: ein erstes leitfähiges Material, und einen Rutheniumbelag, der eine Seitenwand und eine Unterseite des ersten leitfähigen Materials umschließt, wobei Oberseiten des Rutheniumbelags und Oberseiten des ersten leitfähigen Materials koplanar sind, wobei die dielektrische Schicht Dotanden mit einer Dotierungskonzentration aufweist, die gleich oder höher als etwa 1 × 1012 Atome/cm3 ist.
  18. Verbindungsschicht nach Anspruch 17, wobei das erste leitfähige Material Kupfer umfasst.
  19. Verbindungsschicht nach Anspruch 17 oder 18, wobei das erste leitfähige Material von dem Rutheniumbelag verschieden ist.
  20. Verbindungsschicht nach einem der Ansprüche 17 bis 19, wobei die Dotanden Kohlenstoff (C), Bor (B), Phosphor (P), Sauerstoff (O), Silizium (Si), Argon (Ar), Germanium (Ge), Arsen (As) oder Xenon (Xe) umfassen.
DE102020122798.1A 2020-03-30 2020-09-01 Ionenimplantationsverfahren zur defektbeseitigung bei einer metallschichtplanarisierung Pending DE102020122798A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063002291P 2020-03-30 2020-03-30
US63/002,291 2020-03-30
US16/997,616 2020-08-19
US16/997,616 US11450565B2 (en) 2020-03-30 2020-08-19 Ion implant process for defect elimination in metal layer planarization

Publications (1)

Publication Number Publication Date
DE102020122798A1 true DE102020122798A1 (de) 2021-09-30

Family

ID=76507994

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020122798.1A Pending DE102020122798A1 (de) 2020-03-30 2020-09-01 Ionenimplantationsverfahren zur defektbeseitigung bei einer metallschichtplanarisierung

Country Status (4)

Country Link
US (1) US20220359277A1 (de)
CN (1) CN113053800A (de)
DE (1) DE102020122798A1 (de)
TW (1) TWI779413B (de)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100558009B1 (ko) * 2004-01-12 2006-03-06 삼성전자주식회사 확산방지막을 선택적으로 형성하여 반도체소자를 제조하는방법 및 그것에 의해 제조된 반도체소자
CN102832199A (zh) * 2012-09-25 2012-12-19 复旦大学 一种用于铜互连的混合介质抗铜扩散阻挡层及其制造方法
CN109904133A (zh) * 2017-12-11 2019-06-18 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法

Also Published As

Publication number Publication date
US20220359277A1 (en) 2022-11-10
TW202145386A (zh) 2021-12-01
CN113053800A (zh) 2021-06-29
TWI779413B (zh) 2022-10-01

Similar Documents

Publication Publication Date Title
DE102008007001B4 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102010040129B4 (de) Halbleiterbauelement und Verfahren zur Herstellung desselben
DE102008007671B4 (de) Verfahren zur Bildung feiner Strukturen eines Halbleiterbauelements
DE69931221T2 (de) SOI-Substrat und Herstellungsverfahren dafür
DE102010037093B4 (de) Halbleitervorrichtung mit vergrabenen Wortleitungen
DE19928570B4 (de) Verfahren zur Herstellung von Halbleitervorrichtungen
DE102015116912A1 (de) Halbleiterstruktur und Verfahren zum Herstellen von dieser
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE102006040585B4 (de) Verfahren zum Auffüllen eines Grabens in einem Halbleiterprodukt
DE102014119648B4 (de) METALL-GATE-STAPEL MIT TaAlCN-SCHICHT
DE102020101247B4 (de) Tiefe grabenisolationsstruktur und verfahren zu deren herstellung
DE102010028137A1 (de) Verfahren zum Herstellen einer elektrisch leitfähigen Verbindung
DE102017118311A1 (de) Verfahren zum reinigen eines wafers nach einem cmp-schritt
DE4420052A1 (de) Verfahren zur Herstellung eines Gates in einer Halbleitereinrichtung
DE102019117005A1 (de) Ausbildung und Struktur leitfähiger Kontaktmerkmale
DE102020116509A1 (de) Verhinderung von metallverlust in leitfähigen strukturen
DE102019117894A1 (de) Ätzstoppschicht mit hoher durchbruchspannung
DE102017104918B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit epitaktischen Schichten und einer Ausrichtungsstruktur
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren
DE102018126993A1 (de) Oberflächenänderungsschicht zum bilden eines leitenden merkmals
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102006041004B4 (de) Technik zum Reduzieren plasmainduzierter Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika
DE102020122798A1 (de) Ionenimplantationsverfahren zur defektbeseitigung bei einer metallschichtplanarisierung
DE102019130285A1 (de) Source- und drain-epitaxialschichten
DE10210233B4 (de) Neues Integrationsverfahren zur Ausbildung erhöhter Kontakte für Sub-150nm Bauelemente

Legal Events

Date Code Title Description
R012 Request for examination validly filed