TW202145386A - 互連層及其形成方法 - Google Patents

互連層及其形成方法 Download PDF

Info

Publication number
TW202145386A
TW202145386A TW109142568A TW109142568A TW202145386A TW 202145386 A TW202145386 A TW 202145386A TW 109142568 A TW109142568 A TW 109142568A TW 109142568 A TW109142568 A TW 109142568A TW 202145386 A TW202145386 A TW 202145386A
Authority
TW
Taiwan
Prior art keywords
ruthenium metal
ruthenium
doping
layer
doped
Prior art date
Application number
TW109142568A
Other languages
English (en)
Other versions
TWI779413B (zh
Inventor
陳佳政
張惠政
黃富明
陳科維
陳亮吟
張棠貴
育佳 楊
梁威威
驥 崔
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/997,616 external-priority patent/US11450565B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145386A publication Critical patent/TW202145386A/zh
Application granted granted Critical
Publication of TWI779413B publication Critical patent/TWI779413B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photovoltaic Devices (AREA)

Abstract

本揭露敘述一種使位於導電結構中之釕金屬層平坦化的方法。此方法包含形成一個第一導電結構於一個第二導電結構上,其中第一導電結構的形成包含形成開口於設置在第二導電結構上的一層介電層中,以及在開口中沉積釕金屬以過量填充其開口。第一導電結構的形成包含對釕金屬進行摻雜,以及拋光經摻雜之釕金屬以形成第一導電結構。

Description

用於金屬層平坦化之缺陷去除之離子植入步驟
化學機械研磨或平坦化(CMP)係一種藉由化學與機械作用力的結合使表面光滑與平坦化的一個製程。化學機械研磨利用化學研磨漿料與拋光板以及固定環做結合。在半導體製造中,CMP被用於具有晶體、多晶體或非晶體微結構之不同種類材料(例如:介電質、金屬以及半導體)。
以下揭露提供了許多不同的實施方式或實施例,以實現所提供的主體之不同特徵。為簡化本揭露,以下描述組件和配置的具體實施例。當然,這些僅是實施例,並不意欲為限制性。例如,在以下的描述中,形成第一特徵於第二特徵或於第二特徵上方或之上,可包含第一和第二特徵以直接接觸而形成的實施方式,且也可包含附加特徵形成於第一和第二特徵之間的實施方式,即第一和第二特徵無直接接觸的實施方式。除此之外,本揭露可在各個實施例中重複標示數字和/或字母。這樣的重複,並非限定所敘述的各個實施方式和/或配置之間的關係。
除此之外,為了便於描述,如圖式中所繪示之一個元件或特徵與另一個元件或特徵之間的關係,在此可使用空間上的相對術語,諸如「之下(beneath)」、「下方(below)」、「低於(lower)」、「之上(above)」、和「高於(upper)」等。除了涵蓋圖式中繪示之方向,空間上的相對術語旨在涵蓋裝置在使用中或操作中的不同方向。設備可有其他方向(旋轉90度或位於其他方向),並且此處所使用之空間上的相對術語也可同樣地對照解釋。
這裡使用的術語「額定(nominal)」,指的是在產品的設計階段或製程中,針對一個部件或一個處理作業的特性或參數所設定的預期或目標值。
在一些實施方式中,「約(about)」以及「實質上(substantially)」等術語可以指稱給定數量的數值之變化幅度為數值的5 %以內(例如:數值的±1 %、±2 %、±3 %、±4 %以及±5 %)。這些數值僅為一些實施例且並不意欲為限制性。應理解「約(about)」以及「實質上(substantially)」等術語可以指相關領域具有通常技術者經由此處的教示解釋為數值的百分比。
釕金屬可以用作中段製程(MEOL)中導電結構以及後段(BEOL)製程中銅金屬內連線的擴散阻障/種晶層之填充材料。這是因為釕金屬在鍍銅化學中展現了低體電阻率(例如:約7.7 µΩ·cm)及足夠的耐腐蝕性之特性。
在半導體製造中,釕的整合有其挑戰。舉例來說,耐化學腐蝕的釕(例如:拋光速率低)如同銅、鋁、鎢、鈷等其他用於半導體製造的其他金屬,很難透過現有的化學機械拋光(CMP)漿料進行平坦化。因此,其他CMP漿料被開發用於釕的平坦化製程。這些其他CMP漿料需要強氧化劑,像是硝酸鈰銨((NH4 )2 Ce(NO3 )6 )、過碘酸鈉(NaIO4 )、過碘酸鉀(KIO4 )、過錳酸鉀(KMnO4 ),以及需要長時間的拋光以去除釕金屬層。長時間的拋光加上強氧化劑會破壞周圍的材料,舉例來說,強氧化劑會導致銅的電化學腐蝕。例如,其中一種金屬(例如:銅)在電解質(例如CMP漿料)存在下與另一種金屬(例如:釕)產生電接觸時,會優先受到腐蝕的一種電化學過程。除此之外,用在拋光釕的漿料之pH值必須控制在介於約8.4以及約10之間,以防止四氧化釕(RuO4 )的產生,其為在釕金屬與CMP漿料的化學反應中所產生的毒性副產物。
為解決上述之缺點,此處敘述的實施方式指向一種離子植入方法,其增加上述之CMP漿料拋光釕金屬之速率,而減少或縮短釕的拋光時間。如同上述探討之內容,減少釕的拋光時間對其周圍的材料有所益處。在一些實施方式中,植入方法包含以介於約0.3 keV以及約50 keV之間的植入能量與介於0度以及約80度之間的入射角植入。在一些實施方式中,摻雜劑量之範圍介於約每平方公分1×1014 個摻雜物以及約每平方公分1×1017 個摻雜物之間且包含由碳(C)、硼(B)、磷(P)、氧(O)、矽(Si)、氬(Ar)、鍺(Ge)、砷(As)、氙(Xe)或其他合適的摻雜物所組成之群組中選擇之摻雜物。在一些實施方式中,經過植入步驟之後,經摻雜之釕層會在隨後的CMP製程中被去除。根據一些實施方式,經摻雜之釕層的拋光速率比未經摻雜之釕層的拋光速率高約1.1倍至1.7倍。這是因為摻雜物誘發了釕金屬中的缺陷。在釕的CMP製程中,因為經摻雜之釕金屬氧化較快,所以拋光較快。在一些實施方式中,調節在CMP製程中釕層之拋光速率的植入步驟參數包含摻雜物種、摻雜劑量、植入能量以及摻雜物撞擊釕金屬表面的入射角。
根據一些實施方式,第1圖為形成於基材110上之部分製造的互連層100之局部剖面圖。在此製造階段,互連層100包含形成於設置在基材110上的低κ介電質150中的開口120、130以及140。在隨後的步驟中,開口120、130以及140會填充單層或更多之導電層,包含一層釕層,以形成互連層100的各個導電層。在一些實施方式中,第1圖為此處描述的實施方式之一個前驅結構(例如:初始結構)。
舉例而非限制,基材110可為具有單層或多層之部分製造的晶圓。為簡要說明目的之第1圖沒有展示的這些單層或多層,舉例來說,可包含前段(FEOL)結構(例如:主動元件、被動元件、經摻雜區域、磊晶結構等)以及局部或整體之互連層(例如:中段金屬化層、後段金屬化層或其組合)。所以,互連層100可為設置於基材110上之第一後段層或一堆疊態的後段金屬化層之任何後段層。形成的時候,互連層100會電性耦合至底部的金屬化層(例如:中段和/或後段金屬化層)或基材110的裝置。舉例來說,形成於開口120以及130中的導電結構,可與基材110包含在內的底部金屬化層(例如:中段或後段金屬化層)之各個導電結構接觸。前述沒有展示在第1圖之基材110所包含在內的數層與數個特徵皆屬於本揭露的精神與範圍。
根據一些實施方式,開口120、130以及140 是由圖案化的低κ介電質150所形成。舉例來說,利用序列的光微影術與蝕刻步驟。舉例而非限制,開口120與130可能需要雙圖案化製程,而開口140可能需要單圖案化製程。在一些實施方式中,低κ介電質150包括介電常數介於約2以及約3之間之有孔隙或無孔隙的富含碳之矽氧化物層。在一些實施方式中,低κ介電質150包含介電層的堆疊態,像是一低κ介電質以及其他介電質:(i)一低κ介電質(例如:摻雜碳之矽氧化物)以及摻雜氮的碳化矽;(ii)一低κ介電質(例如:摻雜碳之矽氧化物)以及摻雜氧之碳化矽;(iii)一低κ介電質(例如:摻雜碳之矽氧化物)以及氮化矽;或(iv)一低κ介電質(例如:摻雜碳之矽氧化物)以及氧化矽。
第2圖為在互連層中形成導電結構之各種步驟的方法200之流程圖,像是第1圖所展示的互連層100。進一步來說,方法200包含一種植入步驟,其用於提升在互連層中形成導電結構時沉積的釕金屬層之拋光速率。可在方法200的各種步驟之間執行其他製造步驟,僅為了清楚和便於描述,而將其他製造步驟省略。這些各種步驟都在本揭露的精神與範圍之內。除此之外,並非需要全部的步驟才能實施本文提供的本揭露。某些步驟可以同時執行,或以與第2圖所示之順序之不同順序執行。在一些實施方式中,除了當前描述的步驟或代替當前描述的步驟,亦可執行一個或多個其他步驟。方法200將參考第1圖、第3圖至第8圖來進行描述。
參考第2圖,方法200以步驟210開始,以及沉積釕金屬襯層在互連層之介電層的開口中之製程。舉例來說,步驟210的開口可以對應到第1圖所示之形成於互連層100的低κ介電質150中之開口120、130以及140。舉例而非限制,第3圖展示了經過步驟210之後的互連層100以及沉澱在開口120、130以及140中之釕金屬襯層300。在一些實施方式中,如第3圖所示,釕金屬襯層300覆蓋式沉積在互連層100上,以覆蓋開口120、130以及140之內側與外側的低κ電介質140之裸露表面。在一些實施方式中,釕金屬襯層300的沉澱係利用釕羰基前驅化學反應,在約200℃以下(例如:約180℃)的溫度下進行化學氣相沉積(CVD)製程或原子層沉積(ALD)製程,像是十二羰基三釕(Ru3 (CO)12 )。在一些實施方式中,釕金屬襯層300沉積在一個適當的厚度以作為銅金屬的阻障/種晶層。舉例來說,釕金屬襯層300厚度之範圍介於約3埃(Å)以及約30埃(Å)之間。
參考第2圖,方法200繼續步驟220以及於釕金屬襯層(例如:釕金屬襯層300)上沉積銅金屬之製程,以填充互連層(例如:互連層100)的開口(例如:開口120、130以及140)。在一些實施方式中,第4圖展示了互連層100在經過步驟220之後,其銅金屬400沉積在釕金屬襯層300上,且實質上填充了第3圖所示的開口120、130以及140。在一些實施方式中,銅金屬400利用一種適合的方法(例如:電鍍)生成在釕金屬襯層300上。根據一些實施方式,如第4圖所示,銅金屬400延伸超過低κ介電質150的頂部表面並形成一層銅覆蓋層410,銅覆蓋層410會在隨後的銅CMP製程被去除(例如:被拋光)。
參考第2圖,方法200繼續步驟230以及利用銅CMP製程來拋光銅覆蓋層410的製程。參考第4圖,根據步驟230,銅CMP製程420去除了銅覆蓋層410。在一些實施方式中,因為上述的原因,銅CMP製程420無法去除低κ介電質150頂部表面的釕金屬襯層300。舉例來說,銅CMP製程420拋光銅金屬400的速率比拋光釕金屬襯層300更快。因此,經過銅CMP製程420之後,如同第5圖所示之結果結構,釕金屬襯層300與銅金屬400的頂部表面相比較為「凸起」。在一些實施方式中,如果銅CMP製程420容許繼續去除釕金屬襯層300,銅CMP製程420會導致過量的銅凹陷,例如:銅CMP製程420會使銅金屬400的頂部表面相對周圍材料(例如:釕金屬襯層300以及低κ介電質150)更加凹陷。
參考第2圖,方法200繼續步驟240以及利用植入步驟對釕金屬襯層300進行摻雜。在一些實施方式中,經摻雜之釕金屬襯層300不像未經摻雜之釕金屬襯層300,經摻雜之釕金屬襯層300容易氧化且可利用釕CMP製程將其從低κ介電質150之頂部表面輕易去除(例如:拋光)。在一些實施方式中,植入步驟誘發了釕金屬的缺陷,這樣的缺陷會加速釕在CMP製程中氧化的程度。
在步驟240的植入步驟中,離子化摻雜物600往基材110的方向被加速並以第6圖所示的入射角θ撞擊互連層100的頂部表面。在一些實施方式中,入射角θ以垂直於基材110的方向為基準來測量(例如:以z軸方向為基準),其範圍從約0度至約80度。舉例來說,第6圖中之離子化摻雜物600A以垂直角度(例如:入射角θ等於0度)撞擊互連層100的頂部表面,而離子化摻雜物600B以約45度之入射角θ撞擊互連層100的頂部表面。在一些實施方式中,舉例來說,相較於使離子化摻雜物以較大的入射角θ(例如:更接近80度)撞擊互連層的頂部表面,以較小的入射角(例如:更接近0度)會使離子化摻雜物600更深入穿透低κ介電質150中。舉例來說,如第6圖所示,在相同之有關摻雜物種類與摻雜物能量之植入條件下,離子化摻雜物600A的植入深度D1會比離子化摻雜物600B的植入深度D2更深(例如:D1>D2)。在一些實施方式中,在步驟240的植入步驟過程中,入射角θ會被固定。
在一些實施方式中,在低κ介電質150中的植入深度會比在釕金屬襯層300中以及在銅覆蓋層410中更深。這是因為低κ介電質150與釕金屬襯層300以及銅覆蓋層410相比密度更低。舉例來說,低κ介電質150可能具有孔隙。進一步來說,步驟240的植入步驟可能破壞低κ介電質150。在一些實施方式中,植入步驟的參數,像是入射角θ,可以被用來控制植入深度並減輕由摻雜物所誘發的低κ介電質150之破壞。舉例來說,低伸入射角θ(例如:更接近約80º)可被用來減少容易在步驟240的植入步驟被破壞的低κ介電質之植入深度。
除了入射角θ,植入深度可藉由離子化摻雜物600的植入能量來控制,其範圍從約0.3 keV至約50 keV。在一些實施方式中,在固定入射角θ與相同摻雜物種類之情形下,與低植入能量(例如:更接近約30 keV)相比,高植入能量(例如:更接近約50 keV)會導致更深的植入深度。在一些實施方式中,植入深度可藉由入射角θ、離子化摻雜物的植入能量或其組合來調整,以減輕低κ介電質150因植入而產生的破壞。這可以確保在不需要為了位於下方的低κ介電質150妥協,而在釕CMP製程中去除釕金屬襯層300。
在一些實施方式中,釕金屬襯層300未經拋光之部位、銅金屬400、以及低κ介電質150可包含等於或大於約1×1012 atoms/cm3 的殘留摻雜物濃度。舉例來說,經過釕CMP製程後,二次離子質譜儀(SIMS)可偵測到低κ介電質150中等於或大於約1×1012 atoms/cm3 的摻雜物濃度。在一些實施方式中,第6圖中以陰影區域標示的互連層100之頂部代表經步驟240之植入步驟形成之一個示範性的摻雜區域。在一些實施方式中,經摻雜之具有朝向底部表面的尾端分布,其可以藉由上述方法在未拋光部位被偵測到。換句話說,第6圖中經摻雜之區域之底部表面可能不是尖銳狀的,而包含剩餘摻雜物濃度約1×1012 atoms/cm3 的拖尾。
在一些實施方式中,步驟240的植入步驟更包含介於約每平方公分1×1014 個摻雜物以及約每平方公分 1×1017 個摻雜物之間的摻雜劑量。在一些實施方式中,離子化摻雜物600包含但不限於C、B、P、O、Si、Ar、Ge、As、Xe或其他合適的摻雜物。在一些實施方式中,有一些摻雜物種類無法被SIMS偵測到。舉例來說,SIMS可能無法對低κ介電質150中的O、Xe或Ar之濃度進行定量。根據一些實施方式,當釕金屬襯層300暴露於釕CMP漿料,離子化摻雜物600會誘發釕金屬襯層300中的缺陷,其會加速釕金屬襯層300的氧化。在一些實施方式中,經摻雜之釕金屬的氧化速率比未經摻雜之釕金屬更快。在一些實施方式中,經摻雜之釕金屬襯層300的拋光速率比未經摻雜之釕金屬襯層快約1.1倍至約1.7倍。
在一些實施方式中,釕金屬襯層的CMP拋光速率可藉由數個植入步驟參數來調節,像是使用的摻雜物種類(例如:摻雜物種類的原子量)、植入能量、入射角以及摻雜劑量。上述植入步驟參數,可控制在隨後的CMP製程中由摻雜物誘發之釕金屬襯層300的缺陷或「破壞」。
在一些實施方式中,步驟240所述之植入步驟的製程參數如下列敘述之方式作選擇。首先,先選定摻雜物種類。根據摻雜物種類的原子量,在選定植入能量與入射角,以達到預期的植入深度。植入深度的考量因子包含但不限於低κ介電質150的材料、其對因植入而產上的破壞之耐受度以及釕金屬襯層300可被拋光的厚度。舉例而非限制,植入模擬軟體將摻雜物種類的原子量與植入深度作為輸入參數,而可得到植入能量與入射角θ。當摻雜物種類、植入能量以及入射角決定之後,再根據對釕金屬襯層300植入的摻雜物之預期量來選定摻雜劑量。
在一些實施方式中,較重之摻雜物(例如:具有較大原子量,像是Xe以及As)比較輕之摻雜物(例如:具有較小原子量,像是C以及Ar),需要更低的摻雜劑量以達到相當之釕的拋光速率。在一些實施方式中,較重之摻雜物達到的植入深度與較輕之摻雜物達到的植入深度相異。在一些實施方式中,小於約0.3 keV之植入能量以及小於約每平方公分1×1014 個摻雜物之摻雜劑量實質上並不會增加釕金屬襯層300的拋光速率。另一方面,大於約50 keV的植入能量以及大於約每平方公分1×1017 個摻雜物之摻雜劑量會破壞低κ介電質150。
參考第2圖,方法200繼續步驟250以及利用釕CMP製程將經摻雜之釕金屬襯層300拋光以產生互連層100中的導電結構之步驟。參考第7圖,釕CMP製程700將經摻雜之釕金屬襯層300平坦化並將其去除了深度D(例如:互連層100的頂部陰影部位)。在一些實施方式中,釕CMP製程700利用對實質上相似於經摻雜之釕金屬襯層300的拋光速率來去除銅金屬400以及低κ介電質150,以達到如第8圖所示之互連層100的經平坦化後之頂部表面形狀。在一些實施方式中,參考第7圖與第8圖,在釕CMP製程700之前之互連層100的高度H1比經過釕CMP製程700之後之互連層100的高度H2更大(例如:H1>H2)。舉例而非限制,如第7圖所示,高度H1與H2之差可等於、大於或小於植入深度D。
根據一些實施方式,經過釕CMP製程700之後,單個或多個互連層(第8圖未繪示)形成於互連層100上。對於形成於互連層100上任何更多的互連層,方法200可重複實施。
在一些實施方式中,方法200中的步驟240之植入步驟不限於如互連層100之具有釕金屬襯層的BEOL互連層。舉例來說,不論在半導體製造製程中是否必須將釕金屬平坦化,方法200中的步驟240之植入步驟都可以實施。在一些實施方式中,如第9圖所示,步驟240之植入步驟可用於拋光/平坦化經釕金屬填充之MEOL無襯層導電結構,像是無襯層導電結構900。
根據一些實施方式,第9圖為導電結構900形成於鈷導電結構905上的結構之剖面圖。在一些實施方式中,導電結構900是有釕金屬910填充之無襯層或無阻障導電結構。如第9圖所示,鈷導電結構905形成於生長在鰭狀構造920上的合併之源極/汲極磊晶結構915,而鰭狀構造920設置於基材925上。在一些實施方式中,鰭狀構造920與源極/汲極磊晶結構915的底部被第一介電層930環繞,源極/汲極磊晶結構915之頂部與鈷導電結構905則被第二介電層935環繞。在一些實施方式中,第一介電層930形成一個隔絕結構,即淺溝渠隔離(STI)。
導電結構900的頂部與中間段(例如:鈷導電結構905之上)被一層蝕刻停止層940以及一層層間介電質945環繞。相反的,導電結構900的底段(例如:在鈷導電結構905之頂部表面的下方)嵌入鈷導電結構905。導電結構900的底段(例如:在鈷導電結構905中)可具有/不具有錨部950之特徵,其可防止釕金屬910在釕的平坦化製程(例如:在釕CMP製程)中被推出。錨部950也可增加釕金屬910與鈷導電結構905之間的表面積以減少兩者之間的接觸阻抗。在一些實施方式中,矽化物層955被安插於鈷導電結構905與源極/汲極磊晶結構915之間,以減少鈷導電結構905與源極/汲極磊晶結構915之間的電阻。
第9圖所示之結構為示範性,且其變化都在本揭露之精神與範圍內。舉例來說,每一個鰭狀結構920可具有自己的源極/汲極磊晶結構而非單個合併之源極/汲極磊晶結構915。進一步來說,形成於鈷導電結構905上的導電結構900可以更多或更少。形成於基材925上的鰭狀結構920也可以更多或更少。進一步來說,為了簡單敘述,第9圖所示為結構的選擇性部位,其他的部位則沒有展示。舉例來說,第9圖並沒有展示鈷導電結構905之襯層、阻障層或黏著層。進一步來說,沿著x軸方向形成於鰭狀結構920上並與源極/汲極磊晶結構915鄰接之閘極構造、間隔構造、摻雜區域以及源極/汲極磊晶結構915與鰭狀結構920的覆蓋層皆沒有展示出來。
在一些實施方式中,鈷導電結構905是一個形成不具有中介層的導電結構900之汲/源極接觸面,該中介層舉例來說像是阻障層、襯層或是黏著層。在一些實施方式中,例如第8圖所示之互連層100,導電結構900形成一個垂直接觸的網路,其將鈷導電結構905透過電子連接至互連層上方。根據一些實施方式,導電結構900使用與第2圖所示之步驟240以及250相似的製程進行拋光。
第10圖是方法1000的流程圖,其描述第9圖所示之形成導電結構900於層間介電質945中的步驟。進一步來說,方法1000如同第2圖所示之方法200,其包含一個製程,該製程用於增加在導電結構900形成過程中沉積之釕金屬910。其他的製造步驟可以在方法1000的各種步驟之間來執行,且這些其他製造步驟僅為簡單與清楚描述的目的而被省略。這些各種步驟都在本揭露的精神與範圍之內。除此之外,並非需要全部的步驟才能實施本文提供的本揭露。某些步驟可以同時執行,或以與第10圖所示之順序之不同順序執行。在一些實施方式中,除了當前描述的步驟或代替當前描述的步驟,亦可執行一個或多個其他步驟。方法1000將參考第11圖至第15圖來進行描述。
在一些實施方式中,第11圖是在形成導電結構900之前之第9圖中的結構,第12圖是在接觸開口1100形成於層間介電質945中之後但在形成釕金屬910沉積之前的第11圖的結構。舉例而非限制,在層間介電質945中的接觸開口1100可藉由序列性的光微影術與蝕刻步驟來形成。在一些實施方式中,第12圖為第10圖所示的方法1000之前驅結構(例如:初始結構)。
在第12圖之實施例中,接觸開口1100的形成具有一個錨狀凹陷1110。然而,形成之接觸開口1100可不具有錨狀凹陷1110,此非意欲為限制性。在一些實施方式中,形成之選擇性接觸開口1100具有一個錨狀凹陷1110。
參考第10圖,方法1000從步驟1010以及沉積釕金屬(例如:釕金屬910)於形成在介電層(例如:層間介電質945)中之接觸開口(例如:接觸開口1100)的製程開始。舉例而非限制,釕金屬910的沉澱可以使用與沉積釕金屬襯層300相似的方法。在一些實施方式中,釕金屬910的沉澱係利用釕羰基前驅化學反應,在約200℃以下(例如:約180℃)的溫度下進行化學氣相沉積(CVD)製程、原子層沉積(ALD)製程或其他適合的方法,像是十二羰基三釕(Ru3 (CO)12 )。在一些實施方式中,釕金屬910沉積之厚度約為20 nm或沉積足夠的厚度以實質上填充接觸開口1100,包含錨狀凹陷1110。
在一些實施方式中,根據步驟1010,第13圖是第12圖中經釕金屬910沉澱後的接觸開口1100之放大圖。在一些實施方式中,如第13圖所示,釕金屬910填充了層間介電質945中的接觸開口,並在層間介電質945的頂部表面形成一層覆蓋層。覆蓋層會在釕CMP製程中被去除,故便可形成導電結構900。如上開敘述,釕金屬910直接沉積在鈷導電結構905上而沒有出現中介層。進一步來說,釕金屬910是與蝕刻停止層940以及層間介電質945的側壁表面直接接觸,蝕刻停止層940以及層間介電質945共同形成如第12圖所示的接觸開口1100之側壁表面。
參考第10圖,方法1000繼續步驟1020以及具有植入步驟的摻雜釕金屬製程。根據一些實施方式,方法1000的步驟與第2圖中方法200的步驟240類似。舉例來說,步驟1020的植入步驟與步驟240的植入步驟具有共同的操作原則。舉例來說,在步驟1020的植入步驟中,離子化摻雜物600往基材925(例如第12圖所示)的方向被加速並以第13圖所示的入射角θ撞擊釕金屬910與層間介電質945的頂部表面。在一些實施方式中,入射角θ以垂直於層間介電質945的方向為基準來測量(例如:以z軸方向為基準),其範圍從約0度至約80度。舉例來說,第13圖中之離子化摻雜物600A以垂直角度(例如:入射角θ等於0度)撞擊層間介電質945的頂部表面,而離子化摻雜物600B以約45度之入射角θ撞擊層間介電質945的頂部表面。在一些實施方式中,相較於使離子化摻雜物以較大的入射角(例如:更接近80度)撞擊互連層的頂部表面,以較小的入射角(例如:更接近0度)會使離子化摻雜物600更深入穿透釕金屬910與層間介電質945中。舉例來說,在相似之之植入條件下(例如:植入能量與摻雜物種類),離子化摻雜物600A的植入深度D3會比離子化摻雜物600B的植入深度D4更深(例如:D3>D4)。在一些實施方式中,在步驟1020的植入步驟過程中,入射角θ會被固定。
與上述有關步驟240的植入步驟相似,在步驟1020的植入步驟中,可以透過離子化摻雜物600的能量來控制植入深度。其能量範圍從約0.3 keV至約50 keV。在一些實施方式中,在固定入射角θ與相同摻雜物種類之情形下,與低植入能量(例如:更接近約30 keV)相比,高植入能量(例如:更接近約50 keV)會導致更深的植入深度。在一些實施方式中,植入深度可藉由入射角θ、離子化摻雜物的植入能量或其組合來調整。
在一些實施方式中,釕金屬910與層間介電質945的未經拋光之部位可包含等於或大於約1×1012 atoms/cm3 的殘留摻雜物濃度。舉例來說,SIMS可偵測到層間介電質945中等於或大於約1×1012 atoms/cm3 的摻雜物濃度。在一些實施方式中,第13圖中以陰影區域標示的釕金屬910與層間介電質945之頂部代表經步驟1020之植入步驟形成之一個示範性的摻雜區域。
在一些實施方式中,步驟1020的植入步驟更包含介於約每平方公分1×1014 個摻雜物以及約每平方公分1×1017 個摻雜物之間的摻雜劑量。在一些實施方式中,離子化摻雜物600包含但不限於C、B、P、O、Si、Ar、Ge、As或Xe。根據一些實施方式,當釕金屬910暴露於釕CMP漿料,離子化摻雜物600會誘發釕金屬910中的缺陷,其會加速釕金屬910的氧化。在一些實施方式中,經摻雜之釕金屬的氧化速率比未經摻雜之釕金屬更快。在一些實施方式中,經摻雜之釕金屬的拋光速率比未經摻雜之釕金屬快約1.1倍至約1.7倍。
如上述有關步驟240的植入步驟,釕金屬的CMP拋光速率可藉由數個植入步驟參數來調節,像是使用的摻雜物種類(例如:摻雜物種類的原子量)、植入能量、入射角以及摻雜劑量。上述植入步驟參數,可控制在隨後的CMP製程中由摻雜物誘發之釕金屬910的缺陷或「破壞」,且因而可控制釕金屬910的氧化速率。
步驟1020所述之植入步驟的製程參數可依照步驟240所述來作選擇。舉例來說,首先可以先選定摻雜物種類,再選擇植入能量與入射角,之後再選擇植入劑量。
在一些實施方式中,較重之摻雜物相較於較輕之摻雜物,需要更低的摻雜劑量以達到相當之釕的拋光速率。在一些實施方式中,較重之摻雜物達到的植入深度與較輕之摻雜物達到的植入深度相異。在一些實施方式中,小於約0.3 keV之植入能量以及小於約每平方公分1×1014 個摻雜物之摻雜劑量實質上並不會增加釕金屬襯層300的拋光速率。另一方面,大於約50 keV的植入能量以及大於約每平方公分1×1017 個摻雜物之植入劑量會破壞層間介電質945。
參考第10圖,方法1000繼續步驟1030以及利用釕CMP製程將經摻雜之釕金屬910拋光以產生導電結構(例如:導電結構900)。參考第14圖,釕CMP製程1400將經摻雜之釕金屬平坦化並將其去除了深度D,且將覆蓋在層間介電質945上的釕金屬覆蓋層平坦化以及去除。在一些實施方式中,釕CMP製程1400利用對實質上相似的拋光速率來去除釕金屬以及層間介電質945,以達到如第15圖所示之經平坦化後之頂部表面形狀。在一些實施方式中,參考第14圖,在釕CMP製程1400之前之層間介電質945的高度H3比經過釕CMP製程1400之後之互連層100的高度H4更大(例如:H3>H4)。舉例而非限制,如第14圖所示,高度H3與H4之差可實質上等於植入深度D。
在一些實施方式中,由於拋光材料的不同,釕CMP製程1400與釕CMP製程250相異。
根據一些實施方式,經過釕CMP製程1400之後,單格或多個互連層(例如:第8圖所示之互連層100)可形成於導電結構900以及層間介電質945上。
根據本揭露之各種實施方式指向一種在MEOL以及BEOL導電結構中之平坦化釕金屬層方法。在一些實施方式中,在隨後的釕CMP製程中,釕金屬植入(摻雜)摻雜物品種以增加其拋光速率。在一些實施方式中,植入方法包含以介於約0.3 keV以及約50 keV之間的植入能量與介於0度以及約80度之間的入射角植入。在一些實施方式中,摻雜劑量之範圍介於約每平方公分1×1014 個摻雜物以及約每平方公分1×1017 個摻雜物之間且包含選自碳(C)、硼(B)、磷(P)、氧(O)、矽(Si)、氬(Ar)、鍺(Ge)、砷(As)或氙(Xe)之摻雜物。在一些實施方式中,經摻雜之釕層會在隨後的CMP製程中被去除。在一些實施方式中,根據一些實施方式,經摻雜之釕層的拋光速率比未經摻雜之釕層的拋光速率高約1.1倍至1.7倍。在一些實施方式中,經過釕CMP製程之後,二次離子質譜儀(SIMS)可偵測到周圍介電材料中等於或大於約1×1012 atoms/cm3 之殘留摻雜物濃度。在一些實施方式中,在釕CMP製程中,經摻雜之釕金屬層比未經摻雜之釕金屬層更快氧化。在一些實施方式中,經摻雜之釕金屬層的拋光速率比未經摻雜之釕金屬層的拋光速率高約1.1倍至1.7倍。
在一些實施方式中,一種方法包含形成一層第一互連層在一個基材上,其中形成第一互連層包含在設置於基材上的介電層形成開口、沉積一層釕金屬襯層於開口以及沉積銅金屬在釕金屬上以填充開口。形成第一互連層更包含拋光銅金屬、對釕金屬襯層進行摻雜、以及拋光經摻雜之釕金屬襯層以形成第一互連層中的導電結構。此方法更包含形成一層第二互連層在第一互連層上。
在一些實施方式中,一種方法包含形成一個第一導電結構在第二導電結構上,其中形成第一導電結構包含在設置於第二導電結構上之介電層中形成開口以及沉積一層釕金屬於開口以過量填充開口。形成第一導電結構更包含對釕金屬進行摻雜以及拋光經摻雜之釕金屬以形成第一導電結構。該方法也包含形成一層互連層在第一導電結構上。
在一些實施方式中,一層互連層包含一層介電層在一個基材上。導電結構在介電層中,其中導電結構包含第一導電材料以及環繞第一導電材料側壁與底部表面的一層釕襯層。進一步來說,介電層包含摻雜物濃度至少約1×1012 atoms/cm3 的摻雜物。
應當理解,詳細之說明書段落,而非本揭露之摘要,旨在用於解釋請求項。本揭露之摘要可闡述一種或多種但不是全部的示範性實施方式。因此,本揭露之摘要並不旨在限制所附隨之請求項。
以上概述了數個實施方式,以便本領域技術人員可以較佳地理解本揭露的各個方面。本領域技術人員應當理解,他們可以容易地使用本揭露作為設計或修改其他製程和結構的基礎,以實現與本文介紹的實施方式相同的目的和/或達到相同的優點。本領域技術人員也應該了解,這樣的均等建構不脫離本揭露的精神和範圍,並且他們可對本文進行各種改變、替換以及變更,而不脫離本揭露的精神和範圍。
100:互連層 110,925:基材 120,130,140:開口 150:低κ介電質 200,1000:方法 210,220,230,240,250,1010,1020,1030:步驟 300:釕金屬襯層 400:銅金屬 410:銅覆蓋層 420:銅CMP製程 600,600A,600B:離子化摻雜物 700,1400:釕CMP製程 900:導電結構 905:鈷導電結構 910:釕金屬 915:源極/汲極磊晶結構 920:鰭狀構造 930:第一介電層 935:第二介電層 940:蝕刻停止層 945:層間介電質 950:錨部 955:矽化物層 1100:接觸開口 1110:錨狀凹陷 D,D1,D2,D3,D4:植入深度 H1,H2:高度 θ:入射角
本揭露的各方面,可藉由以下的詳細描述與附加的圖式一起閱讀,而得到最佳的理解。 第1圖為根據一些實施方式之金屬化層開口之剖面圖。 第2圖為根據一些實施方式之在互連層中形成導電結構之各種步驟的方法之流程圖。 第3圖至第8圖為根據一些實施方式之在互連層中形成導電結構的過程中的中間結構之剖面圖。 第9圖為根據一些實施方式之一結構及在其上之導電結構之剖面圖。 第10圖為根據一些實施方式之形成導電結構之各種步驟的方法之流程圖。 第11圖至第15圖為根據一些實施方式之形成導電結構過程中的中間結構之剖面圖。
100:互連層
110:基材
150:低κ介電質
300:釕金屬襯層
400:銅金屬
H2:高度

Claims (20)

  1. 一種方法,包含: 形成一第一互連層於一基材上,其中形成該第一互連層包含: 形成複數個開口於設置於基材上之一介電層; 沉積一釕金屬襯層於該些開口中; 沉積一銅金屬於該釕金屬襯層上以填充該些開口; 拋光該銅金屬; 對該釕金屬襯層進行摻雜;以及 拋光經摻雜之該釕金屬襯層以在該第一互連層中形成複數個導電結構;以及 形成一第二互連層於該第一互連層之上。
  2. 如請求項1所述之方法,其中沉積該釕金屬襯層包含沉積該釕金屬襯層在介電層位於該些開口外之表面。
  3. 如請求項1所述之方法,其中對該釕金屬襯層進行摻雜包含對該銅金屬的一部位以及該介電層的一部位進行摻雜。
  4. 如請求項1所述之方法,其中拋光該釕金屬襯層包含以實質上相似之一拋光速率對該銅金屬、該介電層以及經摻雜之該釕金屬襯層進行拋光。
  5. 如請求項1所述之方法,其中對該釕金屬襯層進行摻雜包含以碳(C)、硼(B)、磷(P)、氧(O)、矽(Si)、氬(Ar)、鍺(Ge)、砷(As)或氙(Xe)摻雜該釕金屬襯層。
  6. 如請求項1所述之方法,其中對該釕金屬襯層進行摻雜包含以具有介於約0度與約80度之間之一入射角之一離子束的一摻雜製程對該釕金屬襯層進行摻雜。
  7. 如請求項1所述之方法,其中對該釕金屬襯層進行摻雜包含以具有介於約0.3 keV與約20 keV之間的離子能之摻雜物的一摻雜製程對該釕金屬襯層進行摻雜。
  8. 一種方法,包含: 形成一第一導電結構於一第二導電結構上,其中形成該第一導電結構包含: 形成複數個開口於設置於該第二導電結構上之一介電層; 沉積一釕金屬於該些開口中以過量填充該些開口; 對該釕金屬進行摻雜;以及 拋光經摻雜之該釕金屬以形成該第一導電結構;以及 形成一互連層於該第一導電結構之上。
  9. 如請求項8所述之方法,其中對該釕金屬進行摻雜包含以碳(C)、硼(B)、 磷(P)、氧(O)、矽(Si)、氬(Ar)、鍺(Ge)、砷(As)或氙(Xe)摻雜該釕金屬之頂部以及該介電層之頂部。
  10. 如請求項8所述之方法,其中對該釕金屬進行摻雜包含對該釕金屬之一頂部位進行摻雜以形成一頂摻雜部以及一底未摻雜部。
  11. 如請求項8所述之方法,其中對該釕金屬進行摻雜包含暴露該釕金屬於介於約每平方公分1×1014 個摻雜物與約每平方公分1×1017 個摻雜物之間之一摻雜劑量。
  12. 如請求項8所述之方法,其中對該釕金屬進行摻雜包含將經摻雜之該釕金屬的一拋光速率增加約1.1倍至約1.7倍。
  13. 如請求項8所述之方法,其中對該釕金屬進行摻雜包含對沉積於該些開口外之該介電層上之該釕金屬進行摻雜。
  14. 如請求項8所述之方法,其中對該釕金屬進行摻雜包含對該介電層之一頂部進行摻雜。
  15. 如請求項8所述之方法,其中沉積該釕金屬包含沉積該釕金屬於該些開口外之該介電層之複數個表面之上以形成一覆蓋層。
  16. 如請求項8所述之方法,其中拋光經摻雜之該釕金屬包含利用一化學機械研磨製程拋光經摻雜之該釕金屬。
  17. 一種互連層,包含: 一介電層,於一基材上; 複數個導電結構,於該介電層之中,其中該些導電結構包含: 一第一導電材料;以及 一釕襯層,環繞該第一導電材料之側壁面與底面,其中該釕襯層之頂面以及該第一導電材料之頂面為共平面;以及 其中該介電層包含具有等於或大於約每立方公分1×1012 個原子之一摻雜濃度的摻雜物。
  18. 如請求項17所述之互連層,其中該第一導電材料包含銅。
  19. 如請求項17所述之互連層,其中該第一導電材料與該釕襯層相異。
  20. 如請求項17所述之互連層,其中該些摻雜物包含碳(C)、硼(B)、磷(P)、氧(O)、矽(Si)、氬(Ar)、鍺(Ge)、砷(As)或氙(Xe)。
TW109142568A 2020-03-30 2020-12-03 互連層及其形成方法 TWI779413B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063002291P 2020-03-30 2020-03-30
US63/002,291 2020-03-30
US16/997,616 US11450565B2 (en) 2020-03-30 2020-08-19 Ion implant process for defect elimination in metal layer planarization
US16/997,616 2020-08-19

Publications (2)

Publication Number Publication Date
TW202145386A true TW202145386A (zh) 2021-12-01
TWI779413B TWI779413B (zh) 2022-10-01

Family

ID=76507994

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109142568A TWI779413B (zh) 2020-03-30 2020-12-03 互連層及其形成方法

Country Status (4)

Country Link
US (1) US20220359277A1 (zh)
CN (1) CN113053800A (zh)
DE (1) DE102020122798A1 (zh)
TW (1) TWI779413B (zh)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100385042B1 (ko) * 1998-12-03 2003-06-18 인터내셔널 비지네스 머신즈 코포레이션 내 일렉트로 마이그레이션의 구조물을 도핑으로 형성하는 방법
KR100558009B1 (ko) * 2004-01-12 2006-03-06 삼성전자주식회사 확산방지막을 선택적으로 형성하여 반도체소자를 제조하는방법 및 그것에 의해 제조된 반도체소자
US20090321935A1 (en) * 2008-06-30 2009-12-31 O'brien Kevin Methods of forming improved electromigration resistant copper films and structures formed thereby
CN102832199A (zh) * 2012-09-25 2012-12-19 复旦大学 一种用于铜互连的混合介质抗铜扩散阻挡层及其制造方法
CN109904133A (zh) * 2017-12-11 2019-06-18 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
CN110494971B (zh) * 2019-06-27 2020-06-26 长江存储科技有限责任公司 互连结构及其形成方法

Also Published As

Publication number Publication date
US20220359277A1 (en) 2022-11-10
TWI779413B (zh) 2022-10-01
DE102020122798A1 (de) 2021-09-30
CN113053800A (zh) 2021-06-29

Similar Documents

Publication Publication Date Title
CN109994423B (zh) 用于半导体互连结构的物理汽相沉积工艺
US7196346B2 (en) Semiconductor memory device and method for fabricating the same
US9607895B2 (en) Silicon via with amorphous silicon layer and fabrication method thereof
US11404311B2 (en) Metallic interconnect structures with wrap around capping layers
US20150311151A1 (en) Interconnect Structure Having Air Gap and Method of Forming the Same
US6686662B2 (en) Semiconductor device barrier layer
US10741397B2 (en) Liner planarization-free process flow for fabricating metallic interconnect structures
CN108538712B (zh) 接触孔的制造方法
US20180082955A1 (en) Selective surface modification of interconnect structures
US10714344B2 (en) Mask formation by selectively removing portions of a layer that have not been implanted
KR20160112203A (ko) 배선 구조물, 배선 구조물 형성 방법 및 반도체 장치의 제조 방법
TW202040653A (zh) 半導體裝置的形成方法
US10468269B2 (en) Interconnect structure and fabrication thereof
US9893144B1 (en) Methods for fabricating metal-insulator-metal capacitors
CN111128863A (zh) 半导体互连结构和形成半导体结构的方法
TW202145321A (zh) 半導體結構的形成方法
US20080026555A1 (en) Sacrificial tapered trench opening for damascene interconnects
US20190013240A1 (en) Interconnects formed with structurally-modified caps
US6992004B1 (en) Implanted barrier layer to improve line reliability and method of forming same
TWI779413B (zh) 互連層及其形成方法
KR102537739B1 (ko) 금속층 평탄화에서의 결함 제거를 위한 이온 주입 프로세스
US9853025B1 (en) Thin film metallic resistors formed by surface treatment of insulating layer
TWI323497B (en) Method of fabricating a dual-damascene copper structure
Wu et al. Integration methodology of chemical vapor deposition TiN, chemical vapor deposition W and W chemical mechanical planarization for sub-quarter micron process application
JP4311771B2 (ja) 半導体素子の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent