DE102020106234A1 - Transistoren mit verschiedenen schwellenspannungen - Google Patents

Transistoren mit verschiedenen schwellenspannungen Download PDF

Info

Publication number
DE102020106234A1
DE102020106234A1 DE102020106234.6A DE102020106234A DE102020106234A1 DE 102020106234 A1 DE102020106234 A1 DE 102020106234A1 DE 102020106234 A DE102020106234 A DE 102020106234A DE 102020106234 A1 DE102020106234 A1 DE 102020106234A1
Authority
DE
Germany
Prior art keywords
layer
channel elements
work function
vertically stacked
stacked channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020106234.6A
Other languages
English (en)
Inventor
Lung-Kun Chu
Mao-Lin Huang
Chung-Wei Hsu
Jia-Ni YU
Kuo-Cheng Chiang
Chih-Hao Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020106234A1 publication Critical patent/DE102020106234A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure

Abstract

Ein Halbleiter, der einen ersten Gate-all-around-Transistor (GAA-Transistor), einen zweiten GAA-Transistor und einen dritten GAA-Transistor aufweist. Der erste GAA-Transistor enthält mehrere erste Kanalelemente, eine Gate-Dielektrikumschicht über den mehreren ersten Kanalelementen, eine erste Austrittsarbeitsschicht über der Gate-Dielektrikumschicht, und eine Haftschicht über der ersten Austrittsarbeitsschicht. Der zweite GAA-Transistor enthält mehrere zweite Kanalelemente, die Gate-Dielektrikumschicht über den mehreren zweiten Kanalelementen und eine zweite Austrittsarbeitsschicht über der Gate-Dielektrikumschicht, die erste Austrittsarbeitsschicht über und in Kontakt mit der zweiten Austrittsarbeitsschicht, und die Haftschicht über der ersten Austrittsarbeitsschicht. Der dritte GAA-Transistor enthält mehrere dritte Kanalelemente, die Gate-Dielektrikumschicht über den mehreren dritten Kanalelementen und die Haftschicht über der Gate-Dielektrikumschicht.

Description

  • TECHNISCHER HINTERGRUND
  • Die Branche der integrierten Halbleiterschaltungen (ICs) hat ein exponentielles Wachstum erfahren. Technische Fortschritte bei den IC-Materialien und dem IC-Design haben IC-Generationen hervorgebracht, wo jede Generation kleinere und komplexere Schaltkreise aufweist als die vorherige Generation. Im Zuge der IC-Entwicklung hat die Funktionsdichte (das heißt die Anzahl der miteinander verbundenen Bauelemente pro Chipfläche) allgemein zugenommen, während die Geometriegröße (das heißt die kleinste Komponente (oder Leitung), die mittels eines Herstellungsprozesses gebildet werden kann) kleiner geworden ist. Dieser Prozess der Abwärtsskalierung realisiert allgemein Vorteile, indem er die Produktionseffizienz steigert und die mit der Produktion verbundenen Kosten senkt. Eine solche Abwärtsskalierung hat auch die Komplexität der Verarbeitung und Herstellung von ICs erhöht.
  • Im Zuge der Entwicklung der Technologie der integrierten Schaltkreise (ICs) in Richtung kleinerer Technologieknoten wurden beispielsweise Multi-Gate-Vorrichtungen eingeführt, um die Gate-Steuerung zu verbessern, indem die Gate-Kanal-Kopplung erhöht, der Strom im Aus-Zustand reduziert und die Kurzkanaleffekte (Short-Channel Effects, SCEs) verringert wurden. Eine Multi-Gate-Vorrichtung bezieht sich allgemein auf eine Vorrichtung, die eine Gate-Struktur aufweist, oder einen Abschnitt davon, die über mehr als einer Seite einer Kanalregion angeordnet ist. Finnen-artige Feldeffekttransistoren (FinFETs) und Gate-all-around-Transistoren (GAA-Transistoren) (beide auch als nicht-planare Transistoren bezeichnet) sind Beispiele für Multi-Gate-Vorrichtungen, die zu populären und vielversprechenden Kandidaten für Anwendungen mit hoher Leistung und geringem Leckstrom geworden sind. Ein FinFET hat einen erhöhten Kanal, der auf mehr als einer Seite von einem Gate umhüllt ist (das Gate umhüllt zum Beispiel die Oberseite und die Seitenwände einer „Finne“ aus Halbleitermaterial, die sich von einem Substrat aus erstreckt). Im Vergleich zu planaren Transistoren bietet eine solche Konfiguration eine bessere Steuerung des Kanals und eine drastische Reduzierung der SCEs (insbesondere durch die Reduzierung der Subschwellen-Leckströme (das heißt der Kopplung zwischen einer Source und einem Drain des FinFET im „Aus“-Zustand)). Ein GAA-Transistor hat eine Gate-Struktur, die sich - teilweise oder vollständig - um eine Kanalregion herum erstrecken kann, um Zugang zu der Kanalregion auf zwei oder mehr Seiten zu ermöglichen. Die Kanalregion des GAA-Transistors kann aus Nanodrähten, Nanolagen, anderen Nanostrukturen und/oder anderen geeigneten Strukturen gebildet werden. In einigen Implementierungen enthält eine solche Kanalregion mehrere Nanodrähte (die sich horizontal erstrecken und dadurch horizontal ausgerichtete Kanäle bilden), die vertikal gestapelt sind. Ein solcher GAA-Transistor kann als vertikal gestapelter horizontaler GAA-Transistor (Vertically-Stacked Horizontal GAA, VGAA) bezeichnet werden.
  • Wenn GAA-Transistoren mit verschiedenen Schwellenspannungen erwünscht sind, so können eine oder mehrere Schichten pauschal über alle Kanalregionen abgeschieden und selektiv von einer Teilmenge der Kanalregionen entfernt werden. Mitunter kann es schwierig sein, Materialien zu entfernen, die zwischen Nanostrukturen in der Kanalregion abgeschieden wurden. Wenn solche Materialien nicht oder nicht vollständig entfernt werden, so kann dies zu einer ausgefallenen Vorrichtung oder einer verminderten Leistung führen. Obgleich die derzeit existierenden GAA-Transistoren und Prozesse zu ihrer Bildung allgemein für ihre vorgesehenen Zwecke geeignet sind, waren sie daher nicht in jeder Hinsicht zufriedenstellend.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verstanden, wenn sie in Verbindung mit den beiliegenden Zeichnungen gelesen wird. Es wird darauf hingewiesen, dass gemäß der gängigen Praxis in der Industrie verschiedene Strukturelemente nicht maßstabsgetreu gezeichnet sind. Die Abmessungen der verschiedenen Strukturelemente können vielmehr im Interesse der Übersichtlichkeit der Besprechung nach Bedarf vergrößert oder verkleinert werden. Es wird außerdem betont, dass die beigefügten Zeichnungen nur typische Ausführungsformen dieser Erfindung veranschaulichen und daher nicht so ausgelegt werden dürfen, als schränkten sie den Schutzumfang ein, da die Erfindung ebenso gut auf andere Ausführungsformen anwendbar sein kann.
    • 1 ist ein Flussdiagramm, das ein Verfahren zum Bilden einer Halbleitervorrichtung gemäß einer Ausführungsform der vorliegenden Offenlegung veranschaulicht.
    • 2A ist eine schaubildhafte perspektivische Ansicht eines ersten Bereichs eines Werkstücks gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 2B ist eine schaubildhafte perspektivische Ansicht eines zweiten Bereichs eines Werkstücks gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 2C ist eine schaubildhafte perspektivische Ansicht eines dritten Bereichs eines Werkstücks gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 3-23 veranschaulichen fragmentarische Querschnittsansichten des ersten Bereichs und des zweiten Bereichs des Werkstücks auf verschiedenen Fertigungsstufen gemäß dem Verfahren in 1.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des hier besprochenen Gegenstandes bereit. Im Folgenden werden konkrete Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und dienen nicht der Einschränkung. Zum Beispiel kann die Ausbildung eines ersten Strukturelements über oder auf einem zweiten Strukturelement in der folgenden Beschreibung Ausführungsformen enthalten, bei denen die ersten und zweiten Strukturelemente in direktem Kontakt ausgebildet sind, und können auch Ausführungsformen enthalten, bei denen zusätzliche Strukturelemente zwischen den ersten und zweiten Strukturelementen ausgebildet sein können, so dass die ersten und zweiten Strukturelemente nicht unbedingt in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schafft nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Des Weiteren können räumlich relative Begriffe, wie zum Beispiel „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, im vorliegenden Text zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen, wie in den FIG. veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen auch andere Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb neben der in den FIG. gezeigten Ausrichtung umfassen. Die Vorrichtung kann auch anders ausgerichtet sein (um 90 Grad gedreht, oder sonstige Ausrichtungen), und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können gleichermaßen entsprechend interpretiert werden.
  • Des Weiteren soll, wenn eine Zahl oder ein Bereich von Zahlen mit „etwa“, „ungefähr“ und dergleichen beschrieben wird, der Begriff auch Zahlen umfassen, die innerhalb eines sinnvollen Bereichs liegen, der die genannte Zahl enthält, wie zum Beispiel innerhalb ±10 % der genannten Zahl oder anderer Werte, so wie es dem Verständnis des Fachmannes entspricht. Zum Beispiel umfasst der Begriff „etwa 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm.
  • Die vorliegende Offenbarung betrifft GAA-Transistoren, und betrifft insbesondere GAA-Transistoren verschiedener Schwellenspannungen, die sich in einer Halbleitervorrichtung befinden. Eine Halbleitervorrichtung gemäß Ausführungsformen der vorliegenden Offenbarung enthält einen GAA-Transistor eines ersten Typs in einem ersten Bereich und einen GAA-Transistor eines zweiten Typs in einem zweiten Bereich und einen GAA-Transistor eines dritten Typs in einem dritten Bereich. Der GAA-Transistor vom ersten Typ enthält erste vertikal gestapelte Kanalelemente, der GAA-Transistor vom zweiten Typ enthält zweite vertikal gestapelte Kanalelemente, und der GAA-Transistor vom dritten Typ enthält dritte vertikal gestapelte Kanalelemente. Der GAA-Transistor vom ersten Typ enthält eine Grenzflächenschicht über den ersten vertikal gestapelten Kanalelementen, eine Gate-Dielektrikumschicht über der Grenzflächenschicht, eine n-Austrittsarbeitsschicht über der Gate-Dielektrikumschicht, eine Passivierungsschicht über der n-Austrittsarbeitsschicht, eine Haftschicht über der Passivierungsschicht, und eine Metallfüllschicht über der Haftschicht. Der GAA-Transistor vom zweiten Typ enthält eine Grenzflächenschicht über den zweiten vertikal gestapelten Kanalelementen, die Gate-Dielektrikumschicht über der Grenzflächenschicht, eine p-Austrittsarbeitsschicht über der Gate-Dielektrikumschicht, eine n-Austrittsarbeitsschicht über der p-Austrittsarbeitsschicht, die Passivierungsschicht über der n-Austrittsarbeitsschicht, die Haftschicht über der Passivierungsschicht, und die Metallfüllschicht über der Haftschicht. Der GAA-Transistor vom dritten Typ enthält eine Grenzflächenschicht über den dritten vertikal gestapelten Kanalelementen, die Gate-Dielektrikumschicht über der Grenzflächenschicht, die Haftschicht über der Gate-Dielektrikumschicht, und die Metallfüllschicht über der Haftschicht. Gemäß Ausführungsformen der vorliegenden Offenbarung enthält der Prozess zum Bilden der Halbleitervorrichtung das Bilden einer Opferschicht zwischen Kanalelementen, um zu verhindern, dass schwer entfernbare Austrittsarbeitsschichten zwischen Kanalelementen abgeschieden werden. Wenn kaum oder gar keine schwer entfernbaren Austrittsarbeitsschichten zwischen Kanalelementen angeordnet sind, so wird das Entfernen von Materialien zwischen Kanalelementen erleichtert, und anschließend abgeschiedene Schichten können um Kanalelemente herum abgeschieden werden.
  • 1 veranschaulicht ein Flussdiagramm eines Verfahrens 100 zur Herstellung einer Halbleitervorrichtung gemäß verschiedenen Aspekten der vorliegenden Offenbarung. 1 wird im Folgenden in Verbindung mit den 2A, 2B, 2C und 3-23 beschrieben, die fragmentarische Querschnittsansichten eines Werkstücks auf verschiedenen Stufen der Herstellung gemäß der Verfahren 100 in 1 sind, bevor die Halbleitervorrichtung auf dem Werkstück hergestellt wird. In der gesamten vorliegenden Offenbarung können das Werkstück und die Halbleitervorrichtung der Einfachheit halber gegeneinander austauschbar verwendet werden, weil das Werkstück nach Abschluss seiner Herstellungsprozesse zu der Halbleitervorrichtung werden soll und die gleiche Bezugszahl haben kann. Es können zusätzliche Schritte vor, während und nach dem Verfahren 100 vorgesehen werden, und einige der beschriebenen Schritte können verschoben, ersetzt oder weggelassen werden, um zusätzliche Ausführungsformen des Verfahrens 100 zu erhalten. Bei der in den 2A, 2B, 2C und 3-23 gezeigten Halbleitervorrichtung können zusätzliche Merkmale hinzugefügt werden, und einige der unten beschriebenen Merkmale können in anderen Ausführungsformen der Halbleitervorrichtung ersetzt, modifiziert oder eliminiert werden.
  • Wir wenden uns nun den 1, 2A, 2B und 2C zu, wo das Verfahren 100 einen Block 102 enthält, in dem mehrere abwechselnde Halbleiterschichten 204 über einem ersten Bereich 1000, einem zweiten Bereich 2000 und einem dritten Bereich 300 auf einem Substrat 202 eines Werkstücks 200 angeordnet sind. Der erste Bereich 1000 des Werkstücks 200 ist in 2A veranschaulicht, die zweite Bereich 2000 des Werkstücks 200 ist in 2B veranschaulicht, und der dritte Bereich 3000 des Werkstücks 200 ist in 2C veranschaulicht. In einigen Ausführungsformen enthält das Substrat 202 Silizium. Alternativ oder zusätzlich enthält das Substrat 202 einen anderen elementaren Halbleiter, wie zum Beispiel Germanium; einen Verbundhalbleiter, wie zum Beispiel Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie zum Beispiel Siliziumgermanium (SiGe), GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. In einigen Implementierungen enthält das Substrat 202 ein oder mehrere Gruppe III-V-Materialien, ein oder mehrere Gruppe II-IV-Materialien oder Kombinationen davon. In einigen Implementierungen ist das Substrat 202 ein Halbleiter-auf-Isolator-Substrat, wie zum Beispiel ein Silizium-auf-Isolator-Substrat (SOI-Substrat), ein Silizium-Germanium-auf-Isolator-Substrat (SGOI-Substrat) oder ein Germanium-auf-Isolator-Substrat (GOI-Substrat). Halbleiter-auf-Isolator-Substrate können unter Verwendung von Trennung durch Implantierung von Sauerstoff (Separation through Implantation of Oxygen, SIMOX), Waferbondung und/oder andere geeignete Verfahren hergestellt werden. Das Substrat 202 kann verschiedene dotierte Regionen enthalten, die gemäß den Designanforderungen der Halbleitervorrichtung 200 konfiguriert sind. P-dotierte Regionen können p-Dotanden wie zum Beispiel Bor, Indium, andere p-Dotanden oder Kombinationen davon enthalten. N-dotierte Regionen können n-Dotanden wie zum Beispiel Phosphor, Arsen, andere n-Dotanden oder Kombinationen davon enthalten. In einigen Implementierungen enthält das Substrat 202 dotierte Regionen, die mit einer Kombination aus Dotanden vom p-Typ und Dotanden vom n-Typ gebildet werden. Die verschiedenen dotierten Regionen können direkt auf und/oder in dem Substrat 202 gebildet werden, so dass zum Beispiel eine p-Muldenstruktur, eine n-Muldenstruktur, eine Doppelmuldenstruktur, eine erhöhte Struktur oder Kombinationen davon gebildet wird. Zum Bilden der verschiedenen dotierten Regionen können ein Ionenimplantationsverfahren, ein Diffusionsverfahren und/oder ein anderes geeignetes Dotierungsverfahren ausgeführt werden. In einigen Ausführungsformen werden p-GAA-Vorrichtungen über n-Mulden gebildet, und n-GAA-Vorrichtungen werden über p-Mulden gebildet.
  • Der erste Bereich 1000, der zweite Bereich 2000 und der dritte Bereich 3000 sind Vorrichtungsbereiche für Transistoren, die verschiedene Schwellenspannungen haben. In einigen Ausführungsformen kann der erste Bereich 1000 ein n-Vorrichtungsbereich sein, der durch eine erste Schwellenspannung gekennzeichnet ist, der dritte Bereich 3000 kann ein p-Vorrichtungsbereich sein, der durch eine dritte Schwellenspannung gekennzeichnet ist, und der zweite Bereich 2000 kann ein Vorrichtungsbereich mit mittlerem Pegel sein, der durch eine zweite Schwellenspannung zwischen der ersten Schwellenspannung und der dritten Schwellenspannung gekennzeichnet ist. Die erste Schwellenspannung, die zweite Schwellenspannung und die dritte Schwellenspannung unterscheiden sich voneinander. Zum Beispiel kann die erste Schwellenspannung zwischen etwa 4,3 eV und etwa 4,5 eV liegen, die zweite Schwellenspannung kann zwischen etwa 4,5 eV und etwa 4,7 eV liegen, und die dritte Schwellenspannung kann zwischen etwa 4,7 eV und etwa 4,9 eV liegen. In Abhängigkeit von den Designparametern können die Vorrichtungen mit mittlerem Pegel im zweiten Bereich 2000 als n-Vorrichtungen oder als p-Vorrichtungen fungieren, um den Leckstrom zu reduzieren.
  • In den Ausführungsformen, die in den 2A, 2B und 2C dargestellt sind, enthalten die mehreren abwechselnden Halbleiterschichten 204 mehrere erste Halbleiterschichten 208, die mit mehreren der zweiten Halbleiterschichten 206 verschachtelt sind. Das heißt, zwei benachbarte erste Halbleiterschichten 208 nehmen eine zweite Halbleiterschicht 206 zwischen sich auf. Die mehreren ersten Halbleiterschichten 208 werden aus einem ersten Halbleitermaterial gebildet, und die mehreren zweiten Halbleiterschichten 206 werden aus einem zweiten Halbleitermaterial gebildet, das sich von dem ersten Halbleitermaterial unterscheidet. In einigen Ausführungsformen ist oder enthält das erste Halbleitermaterial im Wesentlichen Silizium (Si), und das zweite Halbleitermaterial ist oder enthält im Wesentlichen Silizium-Germanium (SiGe). In einigen anderen Ausführungsformen ist oder enthält das erste Halbleitermaterial im Wesentlichen Silizium (Si), und das zweite Halbleitermaterial ist oder enthält im Wesentlichen Germanium (Ge). In einigen alternativen Ausführungsformen enthält das erste Halbleitermaterial Siliziumgermanium (SiGe) mit einem ersten Germaniumgehalt, und das zweite Halbleitermaterial enthält Siliziumgermanium (SiGe) mit einem zweiten Germaniumgehalt, der höher ist als der erste Germaniumgehalt. Die mehreren abwechselnden Halbleiterschichten 204 können durch abwechselndes Abscheiden oder epitaxiales Züchten der mehreren ersten Halbleiterschichten 208 und der mehreren zweiten Halbleiterschichten 206 gebildet werden. In einigen Implementierungen kann, nachdem die mehreren abwechselnden Halbleiterschichten 204 zu Finnenstrukturen (finnenförmigen aktiven Regionen) strukturiert wurden, ein Abschnitt der mehreren zweiten Halbleiterschichten 206 in Kanalregionen selektiv entfernt werden, um Kanalelemente loszulösen, die aus den mehreren ersten Halbleiterschichten 208 gebildet wurden. In dieser Hinsicht fungieren die zweiten Halbleiterschichten 206 als Opfer-Halbleiterschichten und können als solche bezeichnet werden.
  • Wie des Weiteren in den 1, 2A, 2B und 2C zu sehen, enthält das Verfahren 100 einen Block 104, in dem eine erste Finnenstruktur 210A in dem ersten Bereich 1000 gebildet wird, eine zweite Finnenstruktur 210B in dem zweiten Bereich 2000 gebildet wird und eine dritte Finnenstruktur 210C in dem dritten Bereich 3000 gebildet wird. Wie in 2A gezeigt, können die mehreren abwechselnden Halbleiterschichten 204 so strukturiert werden, dass die erste Finnenstruktur 210A in dem ersten Bereich 1000 gebildet wird. Wie in 2B veranschaulicht, können die mehreren abwechselnden Halbleiterschichten 204 so strukturiert werden, dass die zweite Finnenstruktur 210B in dem zweiten Bereich 2000 gebildet wird. Wie in 2C veranschaulicht, können die mehreren abwechselnden Halbleiterschichten 204 so strukturiert werden, dass die dritte Finnenstruktur 210C in dem dritten Bereich 3000 gebildet wird. In Block 104 können die erste Finnenstruktur 210A, die zweite Finnenstruktur 210B und die dritte Finnenstruktur 210C unter Verwendung geeigneter Prozesse wie zum Beispiel Photolithografie- und Ätzprozesse strukturiert werden. In einigen Ausführungsformen werden die Finnenstrukturen mittels Trockenätz- oder Plasmaätzprozessen aus den mehreren abwechselnden Halbleiterschichten 204 geätzt. In einigen anderen Ausführungsformen können die Finnenstrukturen durch einen DPL-Prozess (Double-Patterning-Lithography), einen QPL-Prozess (Quadruple-Patterning-Lithography) oder einen MPL-Prozess (Multiple-Patterning-Lithography) gebildet werden. Allgemein kombinieren DPL-, QPL- und MPL-Prozesse Photolithografie und selbstausrichtende Prozesse und erlauben so die Erzeugung von Strukturen, bei denen zum Beispiel die Mittenabstände kleiner sind als die, die sonst mit einem einzigen, direkten Photolithografieprozess erhalten werden können. In einigen Implementierungen werden dielektrische Isolationsmerkmale 212 bei den ersten Finnenstrukturen 210A, den zweiten Finnenstrukturen 210B und den dritten Finnenstrukturen 210C gebildet. Die dielektrischen Isolationsmerkmale 212 können auch als Flachgrabenisolationsmerkmale (Shallow Trench Isolation, STI) 212 bezeichnet werden.
  • Wie des Weiteren in den 1, 2A, 2B und 2C zu sehen, enthält das Verfahren 100 einen Block 106, in dem eine Dummy-Gate-Struktur 214 über einer ersten Kanalregion 1100 der ersten Finnenstruktur 210A, einer zweiten Kanalregion 2100 der zweiten Finnenstruktur 210B und einer dritten Kanalregion 3100 der dritten Finnenstruktur 210C gebildet wird. Wie in den 2A, 2B und 2C veranschaulicht, kann die Dummy-Gate-Struktur 214 eine Dummy-Gate-Dielektrikumschicht 216, eine Dummy-Gate-Elektrode 218, eine Gate-Oberseiten-Hartmaske 220 und einen Gate-Abstandshalter 221 enthalten. In einigen Ausführungen kann die Dummy-Gate-Elektrode 218 aus Polysilizium hergestellt werden, und die Dummy-Gate-Dielektrikumschicht 216 kann aus Siliziumoxid oder Siliziumoxynitrid hergestellt werden. Die Gate-Oberseiten-Hartmaske 220 kann aus Siliziumoxid oder Siliziumnitrid gebildet werden. Bei einigen Implementierungen kann die Gate-Oberseiten-Hartmaske 220 mehrere Schichten enthalten. Zum Beispiel kann die Gate-Oberseiten-Hartmaske 220 eine Siliziumoxidschicht neben der Dummy-Gate-Elektrode 218 und eine Siliziumnitridschicht über der Siliziumoxidschicht enthalten. Der Gate-Abstandshalter 221 erstreckt sich entlang Seitenwänden der Dummy-Gate-Elektrode 218 und definiert die erste Kanalregion 1100 und die zweite Kanalregion 2100. In einigen Ausführungen kann der Gate-Abstandshalter 221 aus Siliziumoxid, Siliziumoxynitrid, Siliziumnitrid, Siliziumoxycarbonitrid, einem dielektrischen Material mit niedrigem k-Wert, dessen Dielektrizitätskonstante niedriger ist als die von Siliziumdioxid (das heißt etwa 3,9), oder einer Kombination davon gebildet werden.
  • Zur Verdeutlichung der Beschreibung und Veranschaulichung enthält jede der 3-23 eine fragmentarische Querschnittsansicht einer ersten Finnenstruktur 210A entlang des in 2A gezeigten Schnitts I-I', eine fragmentarische Querschnittsansicht einer zweiten Finnenstruktur 210B entlang des in 2B gezeigten Schnitts II-II' und eine fragmentarische Querschnittsansicht einer dritten Finnenstruktur 21CA entlang des in 2C gezeigten Schnitts III-III'. Wie in 2A gezeigt, erstreckt sich der Schnitt I-I' entlang der Dummy-Gate-Struktur 214 und passiert die erste Kanalregion 1100. Wie in 2B gezeigt, erstreckt sich der Schnitt II-II' entlang der Dummy-Gate-Struktur 214 und passiert die zweite Kanalregion 2100. Wie in 2C gezeigt, erstreckt sich der Schnitt III-III' entlang der Dummy-Gate-Struktur 214 und passiert die dritte Kanalregion 3100.
  • Unter Bezug auf die 1 und 3-5 enthält das Verfahren 100 einen Block 108, in dem die ersten Kanalelemente 2081 in der ersten Kanalregion 1100 losgelöst sind, die zweiten Kanalelemente 2082 in der zweiten Kanalregion 2100 losgelöst sind und die dritten Kanalelemente 2083 in der dritten Kanalregion 3100 losgelöst sind. In einigen Ausführungsformen wird, nachdem die Dummy-Gate-Struktur 214 in Block 106 gebildet wurde, die Dummy-Gate-Struktur 214 als eine Ätzmaske verwendet, um die erste Finnenstruktur 210A, die zweite Finnenstruktur 210B und die dritte Finnenstruktur 210C auszusparen, um Source/Drain-Gräben zu bilden, um Seitenwände der mehreren ersten Halbleiterschichten 208 und der mehreren zweiten Halbleiterschichten 206 in der ersten Kanalregion 1100, in der zweiten Kanalregion 2100 und in der dritten Kanalregion 3100 freizulegen. In einigen Ausführungsformen können die mehreren zweiten Halbleiterschichten 206 in der ersten Kanalregion 1100, der zweiten Kanalregion 2100 und der dritten Kanalregion 3100 selektiv und teilweise geätzt werden, um innere Abstandshalteraussparungen zwischen zwei der mehreren ersten Halbleiterschichten 208 zu bilden. Dann wird ein inneres Abstandshaltermerkmal innerhalb der inneren Abstandshalteraussparungen gebildet. Dann können epitaxiale Source/Drain-Merkmale in den Source/Drain-Gräben gebildet werden. Nachdem die epitaxialen Source-/Drain-Merkmale gebildet wurden, kann eine Zwischenschichtdielektrikum-Schicht (Interlayer Dielectric, ILD) über dem Werkstück 200 abgeschieden werden. Ein Planarisierungsprozess, wie zum Beispiel ein chemisch-mechanischer Polierprozess (CMP), kann ausgeführt werden, um das Werkstück 200 zu planarisieren, bis die Dummy-Gate-Elektrode 218 frei liegt. Die freiliegende Dummy-Gate-Elektrode 218 kann dann selektiv mittels eines geeigneten Trocken- oder Nassätzprozesses entfernt werden. 3 veranschaulicht die mehreren abwechselnden Halbleiterschichten 204 in der ersten Kanalregion 1100, der zweiten Kanalregion 2100 und der dritten Kanalregion 3100, nachdem die Dummy-Gate-Elektrode 218 entfernt wurde. In einigen Ausführungsformen kann die Dummy-Gate-Dielektrikumschicht 216 mittels eines geeigneten Ätzprozesses entfernt werden, der sich von demjenigen unterscheidet, der zum Entfernen der Dummy-Gate-Elektrode 218 verwendet wird. 4 veranschaulicht die mehreren abwechselnden Halbleiterschichten 204 in der ersten Kanalregion 1100, der zweiten Kanalregion 2100 und der dritten Kanalregion 3100, nachdem die Dummy-Gate-Dielektrikumschicht 216 entfernt wurde. Nachdem die Dummy-Gate-Dielektrikumschicht 216 entfernt wurde, können die mehreren zweiten Halbleiterschichten 206 selektiv entfernt werden. Bei einigen Implementierungen werden die mehreren zweiten Halbleiterschichten 206 aus Siliziumgermanium gebildet, und der selektive Abtragsprozess enthält das Oxidieren der mehreren zweiten Halbleiterschichten 206 unter Verwendung eines geeigneten Oxidationsmittels, wie zum Beispiel Ozon. Danach können die oxidierten zweiten Halbleiterschichten 206 selektiv entfernt werden. An diesem Punkt werden, wie in 5 veranschaulicht, die ersten Kanalelemente 2081 in dem ersten Bereich 1000 gebildet, und die zweiten Kanalelemente 2082 werden in dem zweiten Bereich 2000 gebildet, und dritte Kanalelemente 2083 werden in dem dritten Bereich 3000 gebildet.
  • Unter Bezug auf die 1 und 6 enthält das Verfahren 100 einen Block 110, in dem eine Grenzflächenschicht 222 über den ersten Kanalelementen 2081 in dem ersten Bereich 1000 gebildet wird, zweite Kanalelemente 2082 in dem zweiten Bereich 2000 gebildet werden, und die dritten Kanalelemente 2083 in dem dritten Bereich 3000 gebildet werden. In einigen Ausführungsformen kann die Grenzflächenschicht 222 Siliziumoxid oder Siliziumoxynitrid oder ein anderes geeignetes Material enthalten. In einigen Ausführungsformen kann die Grenzflächenschicht 222 mittels eines geeigneten Verfahrens wie zum Beispiel Atomschichtabscheidung (ALD), chemischer Aufdampfung (CVD), Ozonoxidation, thermischer Oxidation oder eines anderen geeigneten Verfahrens abgeschieden werden. Die Grenzflächenschicht 222 hat die Funktion, den Gate-Leckstrom zu steuern und zu reduzieren und die Grenzflächenhaftung zwischen der Gate-Dielektrikumschicht 228 (in 7 gezeigt) und den Kanalelementen (einschließlich der ersten Kanalelemente 2081, der zweiten Kanalelemente 2082 und der dritten Kanalelemente 2083) zu verbessern.
  • Unter Bezug auf die 1 und 7 enthält das Verfahren 100 einen Block 112, in dem eine Gate-Dielektrikumschicht 228 über der Grenzflächenschicht 222 in dem ersten Bereich 1000, dem zweiten Bereich 2000 und dem dritten Bereich 3000 abgeschieden wird. In einigen Ausführungsformen ist die Gate-Dielektrikumschicht 228 eine Dielektrikumschicht mit hohem k-Wert, da ihre Dielektrizitätskonstante größer ist als die von Siliziumdioxid (etwa 3,9). In einigen Implementierungen kann die Gate-Dielektrikumschicht 228 dotiertes oder undotiertes Hafniumoxid (HfO2), dotiertes oder undotiertes Zirkoniumoxid (ZrO2), dotiertes oder undotiertes Titanoxid (TiO2) oder dotiertes oder undotiertes Aluminiumoxid (Al2O3) enthalten. Zum Beispiel kann die Gate-Dielektrikumschicht 228 Hafniumsiliziumoxid (HfSiO), Hafniumsiliziumoxynitrid (HfSiON) oder Hafniumaluminiumoxid (HfAlO), Hafnium-Tantaloxid (HfTaO), Hafnium-Zirkoniumoxid (HfZrO), Zirkoniumsiliziumoxid (ZrSiO2), Hafnium-Titanoxid (HfTiO) oder eine Kombination davon enthalten. Nach Abschluss der Operationen in Block 112 wird jedes der ersten Kanalelemente 2081, der zweiten Kanalelemente 2082 und der dritten Kanalelemente 2083 durch die Grenzflächenschicht 222 und die Gate-Dielektrikumschicht 228 umhüllt.
  • Unter Bezug auf die 1 und 8 enthält das Verfahren 100 einen Block 114, in dem eine Opferschicht 230 über der Gate-Dielektrikumschicht 228 abgeschieden wird. Gemäß der vorliegenden Offenbarung ist die Opferschicht 230 aus einem Material gebildet, das selektiv entfernt werden kann, ohne die Gate-Dielektrikumschicht nennenswert zu beschädigen. In einigen Ausführungsformen wird die Opferschicht 230 aus einem dielektrischen Material (wie zum Beispiel Siliziumoxid, Siliziumnitrid, Aluminiumoxid, Titanoxid, Zirkoniumoxid), einem Halbleitermaterial (wie zum Beispiel Silizium oder Germanium oder SiGe), einem Metallnitrid niedriger Dichte (wie zum Beispiel Titannitrid) oder anderen geeigneten Materialien gebildet. In einigen Beispielen kann die Opferschicht 230 aus Siliziumoxid oder Siliziumnitrid gebildet werden. Die Opferschicht 230 kann mittels Atomschichtabscheidung (ALD) oder eines anderen geeigneten Verfahrens abgeschieden werden.
  • Unter Bezug auf die 1 und 9 enthält das Verfahren 100 einen Block 116, in dem ein Abschnitt der Opferschicht 230 entfernt wird. In einigen Ausführungsformen dient bei Block 116 jedes der ersten Kanalelemente 2081, zweiten Kanalelemente 2082 und dritten Kanalelemente 2083 als eine Ätzmaske oder Ätzabschirmung, wenn die Opferschicht 230 geätzt wird. Das Entfernen der Opferschicht 230 bei Block 116 enthält einen Trockenätzprozess oder eine Nassätzung, was auf der Grundlage des Materials ausgewählt wird, das die Opferschicht 230 bildet. Bei einigen in 9 dargestellten Implementierungen verbleibt nach Abschluss der Operationen in Block 116 ein Abschnitt der Opferschicht 230, der zwischen benachbarten Kanalelementen angeordnet ist. Dieser verbleibende Abschnitt der Opferschicht 230 kann als eine verbleibende Opferschicht 230' bezeichnet werden. Anders ausgedrückt, können Operationen in Block 116 die Opferschicht 230 über den obersten Flächen und Seitenflächen der ersten Kanalelemente 2081, zweiten Kanalelemente 2082 und dritten Kanalelemente 2083 entfernen, um die darüber abgeschiedene Gate-Dielektrikumschicht 228 freizulegen. Hier beziehen sich die obersten Flächen auf die Oberseiten der obersten Kanalelemente in den ersten Kanalelementen 2081, zweiten Kanalelementen 2082 und dritten Kanalelementen 2083. Wie in 9 gezeigt, ist die verbleibende Opferschicht 230' vertikal zwischen benachbarten ersten Kanalelementen 2081, zweiten Kanalelementen 2082 und dritten Kanalelementen 2083 angeordnet.
  • Unter Bezug auf die 1, 10, 11 und 12 enthält das Verfahren 100 einen Block 118, in dem die verbleibende Opferschicht 230' in dem zweiten Bereich 2000 selektiv entfernt wird. In einigen in 10 dargestellten Ausführungsformen kann eine strukturierte Hartmaske 232 so gebildet werden, dass der erste Bereich 1000 und der dritte Bereich 3000 durch die strukturierte Hartmaske 232 bedeckt werden und der zweite Bereich 2000 frei liegt. In einem beispielhaften Prozess wird zunächst eine Hartmaskenschicht flächendeckend über dem Werkstück 200 abgeschieden, eine untere Antireflexionsschicht (Bottom Antireflective Coating, BARC) wird über der abgeschiedenen Hartmaskenschicht abgeschieden, und dann wird eine Photoresistschicht über der BARC-Schicht abgeschieden. Die Photoresistschicht wird vorgebrannt, durch Belichtung mit einer strukturierten Strahlung, die durch eine Maske hindurchgeht oder von einer Maske reflektiert wird, strukturiert, nachgebrannt und in einem Entwicklungsprozess unter Verwendung eines Entwicklers entwickelt, um eine strukturierte Photoresistschicht zu bilden. Die strukturierte Photoresistschicht wird als eine Ätzmaske verwendet, um die BARC-Schicht zu strukturieren. Dann wird die strukturierte BARC-Schicht als eine Ätzmaske verwendet, um die Hartmaskenschicht so zu strukturieren, dass die strukturierte Hartmaske 232 entsteht. In einigen Fällen kann die Hartmaskenschicht eine einzelne Schicht oder eine Mehrfachschicht sein. Wir wenden uns nun 11 zu, wo die verbleibende Opferschicht 230' von dem belichteten zweiten Bereich 2000 entfernt wird, während der erste Bereich 1000 und der dritte Bereich 3000 durch die strukturierte Hartmaske 232 maskiert bleiben. Wir wenden uns nun 12 zu. Die strukturierte Hartmaske 232 wird mittels eines geeigneten Ätzprozesses entfernt.
  • Unter Bezug auf die 1 und 13 enthält das Verfahren 100 einen Block 120, in dem eine erste Austrittsarbeitsschicht 234 über den ersten Kanalelementen 2081, zweiten Kanalelementen 2082 und dritten Kanalelementen 2083 abgeschieden wird. In einigen Ausführungsformen kann die erste Austrittsarbeitsschicht 234 eine p-Austrittsarbeitsschicht sein und kann Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Tantalnitrid (TaN), Wolframcarbonitrid (WCN), Molybdän (Mo) oder ein anderes geeignetes p-Austrittsarbeitsmaterial enthalten. Die erste Austrittsarbeitsschicht 234 kann mittels eines ALD-Prozesses gebildet werden. Weil die verbleibende Opferschicht 230' zwischen benachbarten ersten Kanalelementen 2081 und den dritten Kanalelementen 2083 verbleibt, wird verhindert, dass die erste Austrittsarbeitsschicht 234 um jedes der ersten Kanalelemente 2081 und jedes der dritten Kanalelemente 2083 herum abgeschieden wird. Im Gegensatz dazu ist der zweite Bereich 2000 im Wesentlichen frei von verbleibender Opferschicht 230', und die erste Austrittsarbeitsschicht 234 kann sich in einer solchen Weise bilden, dass sie sich um jedes der zweiten Kanalelemente 2082 herum legt.
  • Im Stand der Technik ist es erlaubt, eine zuvor abgeschiedene Austrittsarbeitsschicht zwischen benachbarten Kanalelementen abzuscheiden. Wenn die zuvor abgeschiedene Austrittsarbeitsschicht entfernt werden soll, so kann eine Überätzung oder verstärkte Ätzung erforderlich sein, um das Austrittsarbeitsmaterial in dem Raum zwischen den Kanalelementen zu entfernen. Das Überätzen oder verstärkte Ätzen würde wahrscheinlich die benötigten Austrittsarbeitsschichten in benachbarten Transistoren beschädigen. Wenn man die zuvor abgeschiedene Austrittsarbeitsschicht in dem Raum zwischen Kanalelementen belässt, so kann aufgrund des Vorhandenseins einer zuvor abgeschiedenen Austrittsarbeitsschicht verhindert werden, dass eine anschließend abgeschiedene Austrittsarbeitsschicht zwischen benachbarte Kanalelemente eindringt. Die resultierende Vorrichtung kann eine Schwellenspannung haben, die größer als die erforderliche Schwellenspannung ist, oder verschiedene Kanalelemente in einem Transistor können verschiedene Schwellenspannungen haben. Wie in den nachfolgenden Beschreibungen und Veranschaulichungen zu sehen ist, verhindert die verbleibende Opferschicht 230', dass schwer zu entfernende Austrittsarbeitsschichten zwischen benachbarten ersten Kanalelementen 2081 und benachbarten dritten Kanalelementen 2083 abgeschieden werden können. Daher erfordert ein anschließender Ätzprozess zum Ablösen der ersten Kanalelemente 2081 oder dritten Kanalelemente 2083 von einer oder mehreren Austrittsarbeitsschichten kein Überätzen, um Material einer Austrittsarbeitsschicht zwischen benachbarten ersten Kanalelementen 2081 und benachbarten dritten Kanalelementen 2083 zu entfernen. Indem man erlaubt, dass sich die gewünschte Austrittsarbeitsschicht ohne Überätzen um die Kanalelemente herum legt, können Prozesse der vorliegenden Offenbarung Transistoren mit niedrigen Schwellenspannungen erzeugen, die die Gleichmäßigkeit der Schwellenspannung verbessern und die Produktionsausbeute erhöhen.
  • Unter Bezug auf die 1, 14, 15 und 16 enthält das Verfahren 100 einen Block 122, in dem die erste Austrittsarbeitsschicht 234 und die verbleibende Opferschicht 230' über den ersten Kanalelementen 2081 selektiv entfernt werden. In einigen in 14 dargestellten Ausführungsformen kann eine strukturierte Hartmaske 236 so gebildet werden, dass der zweite Bereich 2000 und der dritte Bereich 3000 durch die strukturierte Hartmaske 236 bedeckt werden und der erste Bereich 1000 frei liegt. In einem beispielhaften Prozess wird zunächst eine Hartmaskenschicht flächendeckend über dem Werkstück 200 abgeschieden, eine untere Antireflexionsschicht (Bottom Antireflective Coating, BARC) wird über der abgeschiedenen Hartmaskenschicht abgeschieden, und dann wird eine Photoresistschicht über der BARC-Schicht abgeschieden. Die Photoresistschicht wird vorgebrannt, durch Belichtung mit einer strukturierten Strahlung, die durch eine Maske hindurchgeht oder von einer Maske reflektiert wird, strukturiert, nachgebrannt und in einem Entwicklungsprozess unter Verwendung eines Entwicklers entwickelt, um eine strukturierte Photoresistschicht zu bilden. Die strukturierte Photoresistschicht wird als eine Ätzmaske verwendet, um die BARC-Schicht zu strukturieren. Dann wird die strukturierte BARC-Schicht als eine Ätzmaske verwendet, um die Hartmaskenschicht zu strukturieren. In einigen Fällen kann die Hartmaskenschicht eine einzelne Schicht oder eine Mehrfachschicht sein. Wir wenden uns nun 15 zu, wo die erste Austrittsarbeitsschicht 234 und die verbleibende Opferschicht 230' von dem belichteten ersten Bereich 1000 entfernt werden, während der zweite Bereich 2000 und der dritte Bereich 3000 durch die strukturierte Hartmaskenschicht 236 maskiert bleiben. Bei einigen Implementierungen können die erste Austrittsarbeitsschicht 234 und die verbleibende Opferschicht 230' mittels verschiedener Ätzmittel in zwei verschiedenen Ätzprozessen entfernt werden, dergestalt, dass jeder der Ätzprozesse auf das zu entfernende Material abgestimmt ist. Wir wenden uns nun 16 zu. Die strukturierte Hartmaske 236 wird mittels eines geeigneten Ätzprozesses entfernt. In einigen Fällen hat die erste Austrittsarbeitsschicht 234 eine Dicke zwischen etwa 0,5 nm und etwa 2 nm.
  • Unter Bezug auf die 1 und 17 enthält das Verfahren 100 einen Block 124, in dem eine zweite Austrittsarbeitsschicht 238 über den ersten Kanalelementen 2081, zweiten Kanalelementen 2082 und dritten Kanalelementen 2083 abgeschieden wird. Die zweite Austrittsarbeitsschicht 238 unterscheidet sich von der ersten Austrittsarbeitsschicht 234. In einigen Ausführungsformen kann die zweite Austrittsarbeitsschicht 238 eine n-Austrittsarbeitsschicht sein und kann Aluminium (Al), Titanaluminium (TiAl), Titanaluminiumcarbid (TiAlC), Tantalaluminiumcarbid (TaAlC), Tantalaluminiumsilicid (TaSiAl), Tantalsiliziumcarbid (TaSiC), Tantalsilicid (TaSi), Hafniumcarbid (HfC), eine aluminiumhaltige Schicht oder eine andere geeignete n-Austrittsarbeitsschicht enthalten. Die zweite Austrittsarbeitsschicht 238 kann mittels eines ALD-Prozesses gebildet werden. Weil die verbleibende Opferschicht 230' von dem ersten Bereich 1000 und dem zweiten Bereich 2000 entfernt wird, kann die zweite Austrittsarbeitsschicht 238 in einer solchen Weise abgeschieden werden, dass sich die zweite Austrittsarbeitsschicht 238 um jedes der ersten Kanalelemente 2081 und jedes der zweiten Kanalelemente 2082 herum legt. In Bezug auf den dritten Bereich 3000 wird die zweite Austrittsarbeitsschicht 238 über der ersten Austrittsarbeitsschicht 234 abgeschieden und darf sich nicht um jedes der dritten Kanalelemente 2083 herum legen. In einigen Fällen weist die zweite Austrittsarbeitsschicht 238 eine Dicke zwischen etwa 1 nm und etwa 3 nm auf. Wie in 18 gezeigt, schnürt die zweite Austrittsarbeitsschicht 238 den Raum zwischen benachbarten ersten Kanalelementen 2081 und zwischen benachbarten zweiten Kanalelementen 2082 nicht ab, dergestalt, dass eine anschließende Schicht (zum Beispiel die in 18 gezeigte Passivierungsschicht 240) zwischen benachbarten ersten Kanalelementen 2081 und zwischen benachbarten zweiten Kanalelementen 2082 abgeschieden werden kann.
  • Unter Bezug auf 1 und 18 enthält Verfahren 100 einen Block 126, in dem eine Passivierungsschicht 240 über der zweiten Austrittsarbeitsschicht 238 abgeschieden wird. Die Operationen in Block 126 sind optional und werden, wenn sie ausgeführt werden, unmittelbar nach dem Abscheiden der zweiten Austrittsarbeitsschicht 238 in derselben Prozesskammer ohne Unterbrechung des Vakuums ausgeführt. Das liegt daran, dass die Passivierungsschicht 240 die Funktion hat, das Oxidieren von Aluminium in der zweiten Austrittsarbeitsschicht 238 zu verhindern, wenn die zweite Austrittsarbeitsschicht 238 Aluminium enthält. Wenn die zweite Austrittsarbeitsschicht 238 kein Aluminium enthält, so werden die Operationen in Block 126 weggelassen. In Ausführungsformen, bei denen die zweite Austrittsarbeitsschicht 238 Aluminium enthält, werden die Operationen in Block 126 ausgeführt. Die Passivierungsschicht 240 kann auch als eine Schutzschicht 240 bezeichnet werden. Das Material für die Passivierungsschicht 240 wird so gewählt, dass die Passivierungsschicht 240 entweder die Sauerstoffdiffusion blockieren kann oder ein geringeres Reduktionspotential als Aluminium besitzt. Wenn das Material für die Passivierungsschicht 240 ein geringeres Reduktionspotential als Aluminium besitzt, so fungiert es durch bevorzugte Oxidation als eine Sauerstoffsenke. In einigen Ausführungsformen kann die Passivierungsschicht 240 aus Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Silizium (Si), Tantalnitrid (TaN), Wolframcarbonitrid (WCN) oder einem anderen geeigneten Material gebildet werden. In einigen Fällen kann die Passivierungsschicht 240 bis zu einer Dicke zwischen etwa 0,5 nm und etwa 2 nm gebildet werden. In einigen anderen Fällen kann die Passivierungsschicht 240 weggelassen werden. In Ausführungsformen, in denen die Passivierungsschicht 240 implementiert wird, wird die Passivierungsschicht 240 abgeschieden, um den Raum zwischen benachbarten ersten Kanalelementen 2081 und zwischen benachbarten zweiten Kanalelementen 2082 so abzuschnüren, dass eine anschließende Schicht (zum Beispiel die in 18 gezeigte Passivierungsschicht 240) nicht zwischen benachbarten ersten Kanalelementen 2081 und zwischen benachbarten zweiten Kanalelementen 2082 abgeschieden werden kann.
  • Unter Bezug auf die 1, 19, 20 und 21 enthält das Verfahren 100 einen Block 128, in dem die zweite Austrittsarbeitsschicht 238, die Passivierungsschicht 240, die erste Austrittsarbeitsschicht 234 und die verbleibende Opferschicht 230' in dem dritten Bereich 3000 selektiv entfernt werden. In einigen in 19 dargestellten Ausführungsformen kann eine strukturierte Hartmaske 242 so gebildet werden, dass der erste Bereich 1000 und der zweite Bereich 2000 durch die strukturierte Hartmaske 242 bedeckt werden und der dritte Bereich 3000 frei liegt. In einem beispielhaften Prozess wird zunächst eine Hartmaskenschicht flächendeckend über dem Werkstück 200 abgeschieden, eine untere Antireflexionsschicht (Bottom Antireflective Coating, BARC) wird über der abgeschiedenen Hartmaskenschicht abgeschieden, und dann wird eine Photoresistschicht über der BARC-Schicht abgeschieden. Die Photoresistschicht wird vorgebrannt, durch Belichtung mit einer strukturierten Strahlung, die durch eine Maske hindurchgeht oder von einer Maske reflektiert wird, strukturiert, nachgebrannt und in einem Entwicklungsprozess unter Verwendung eines Entwicklers entwickelt, um eine strukturierte Photoresistschicht zu bilden. Die strukturierte Photoresistschicht wird als eine Ätzmaske verwendet, um die BARC-Schicht zu strukturieren. Dann wird die strukturierte BARC-Schicht als eine Ätzmaske verwendet, um die Hartmaskenschicht zu strukturieren. In einigen Fällen kann die Hartmaskenschicht eine einzelne Schicht oder eine Mehrfachschicht sein. Wir wenden uns nun 20 zu, wo die Passivierungsschicht 240, die zweite Austrittsarbeitsschicht 238, die erste Austrittsarbeitsschicht 234 und die verbleibende Opferschicht 230' von dem belichteten dritten Bereich 3000 entfernt werden, während der erste Bereich 1000 und der zweite Bereich 2000 durch die strukturierte Hartmaskenschicht 242 maskiert bleiben. Wir wenden uns nun 21 zu. Die strukturierte Hartmaske 242 wird mittels eines geeigneten Ätzprozesses entfernt.
  • Unter Bezug auf die 1 und 22 enthält das Verfahren 100 einen Block 130, in dem eine Haftschicht 244 über den ersten Kanalelementen 2081, zweiten Kanalelementen 2082 und dritten Kanalelementen 2083 abgeschieden wird. In einigen Ausführungsformen kann die Haftschicht 244 eine p-Austrittsarbeitsschicht sein und Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Tantalnitrid (TaN), Wolframcarbonitrid (WCN), Molybdän (Mo) oder ein anderes geeignetes p-Austrittsarbeitsmaterial enthalten. Das heißt, eine Zusammensetzung der Haftschicht 244 kann mit der Zusammensetzung der ersten Austrittsarbeitsschicht 234 identisch sein. In einigen Implementierungen kann die Haftschicht 244 durch ALD bis zu einer Dicke zwischen etwa 2 nm und etwa 5 nm gebildet werden. In einigen Fällen wird die Haftschicht 244 so abgeschieden, dass der Raum zwischen benachbarten dritten Kanalelementen 2083 so abgeschnürt wird, dass eine anschließende Schicht nicht zwischen benachbarten dritten Kanalelementen 2083 abgeschieden werden kann.
  • Unter Bezug auf 1 und 23 kann das Verfahren 100 einen Block 132 enthalten, in dem weitere Prozesse ausgeführt werden. Zu Beispielen solcher weiteren Prozesse können das Abscheiden einer Metallfüllschicht 246 über den Kanalregionen (einschließlich der ersten Kanalregion 1100, der zweiten Kanalregion 2100 und der dritten Kanalregion 3100) gehören. Die Metallfüllschicht 246 kann Wolfram (W) oder Kobalt (Co) enthalten. Nach dem Abscheiden der Metallfüllschicht 246 wird ein erster Metall-Gate-Stapel 250A in dem ersten Bereich 1000 gebildet, ein zweiter Metall-Gate-Stapel 250B wird in dem zweiten Bereich 2000 gebildet, und ein dritter Metall-Gate-Stapel 250C wird in dem dritten Bereich 3000 gebildet. Der erste Metall-Gate-Stapel 250A enthält die Grenzflächenschicht 222, die Gate-Dielektrikumschicht 228, die zweite Austrittsarbeitsschicht 238, die Passivierungsschicht 240, die Haftschicht 244 und die Metallfüllschicht 246. Der zweite Metall-Gate-Stapel 250B enthält die Grenzflächenschicht 222, die Gate-Dielektrikumschicht 228, die erste Austrittsarbeitsschicht 234, die zweite Austrittsarbeitsschicht 238, die Passivierungsschicht 240, die Haftschicht 244 und die Metallfüllschicht 246. Der dritte Metall-Gate-Stapel 250C enthält die Grenzflächenschicht 222, die Gate-Dielektrikumschicht 228, die Haftschicht 244 und die Metallfüllschicht 246. Zu Beispielen der weiteren Prozesse in Block 132 können auch das Bilden einer Zwischenschichtdielektrikumschicht (ILD-Schicht), das Bilden der Source/Drain-Kontakte durch die ILD-Schicht zum Zweck des Koppelns mit den Source/Drain-Merkmalen, und das Bilden von Gate-Verbindungen zum Zweck des Koppelns mit den Gate-Strukturen gehören.
  • Nach Abschluss der Operationen in dem Verfahren 100 wird ein erster GAA-Transistor 260A in dem ersten Bereich 1000 gebildet, ein zweiter GAA-Transistor 260B wird in dem zweiten Bereich 2000 gebildet, und ein dritter GAA-Transistor 260C wird in dem dritten Bereich 3000 gebildet. Der erste GAA-Transistor 260A ist mit dem ersten Metall-Gate-Stapel 250A ausgestattet. Der zweite GAA-Transistor 260B ist mit dem zweiten Metallgate-Stapel 250B ausgestattet. Der dritte GAA-Transistor 260C ist mit dem dritten Metallgate-Stapel 250C ausgestattet. Wie in 23 gezeigt, kann der erste GAA-Transistor 260A ein n-GAA-Transistor mit einer Schwellenspannung sein, die niedriger ist als eine potentielle Schwellenspannung ohne eine dazwischenliegende p-Austrittsarbeitsschicht, weil die zweite Austrittsarbeitsschicht 238 eine n-Austrittsarbeitsschicht ist, die direkt auf der Gate-Dielektrikumschicht 228 ohne eine dazwischenliegende p-Austrittsarbeitsschicht (das heißt die erste Austrittsarbeitsschicht 234) gebildet wird. Das Fehlen einer dazwischenliegenden p-Austrittsarbeitsschicht in dem ersten Bereich 1000 wird ermöglicht, oder wenigstens erleichtert, durch die Verwendung der verbleibenden Opferschicht 230' (in 13 gezeigt), die verhindert, dass die erste Austrittsarbeitsschicht 234 (oder zu viel von der ersten Austrittsarbeitsschicht 234) zwischen benachbarte erste Kanalelemente 2081 eindringt. Dank der verringerten Menge oder des Fehlens der ersten Austrittsarbeitsschicht 234 zwischen benachbarten ersten Kanalelementen 2081 ist kein Nass-Überätzen erforderlich, um die schwer zu entfernende erste Austrittsarbeitsschicht 234 aus dem Raum zwischen den ersten Kanalelementen 2081 zu entfernen.
  • Weil die Haftschicht 244 eine p-Austrittsarbeitsschicht ist, die direkt auf der Gate-Dielektrikumschicht 228 ohne eine benachbarte n-Austrittsarbeitsschicht (das heißt die zweite Austrittsarbeitsschicht 238) gebildet wird, kann in ähnlicher Weise der dritte GAA-Transistor 260C ein p-GAA-Transistor mit einer Schwellenspannung sein, die niedriger ist als eine potenzielle Schwellenspannung ohne eine benachbarte n-Austrittsarbeitsschicht. N-Austrittsarbeitsschichten können hochdiffundierende Komponenten enthalten, die in eine benachbarte p-Austrittsarbeitsschicht diffundieren können, um die Austrittsarbeit unerwünschterweise vom Valenzband fort zu verschieben. Zum Beispiel kann Aluminium in einer n-Austrittsarbeitsschicht in eine p-Austrittsarbeitsschicht einer p-Vorrichtung diffundieren, wodurch die Austrittsarbeit vom Valenzband fort verschoben und die Schwellenspannung unerwünschterweise erhöht wird. Durch Entfernen der zweiten Austrittsarbeitsschicht 238 (das heißt einer n-Austrittsarbeitsschicht) aus dem dritten Bereich 3000 dürfen keine hochdiffundierenden Komponenten aus einer n-Austrittsarbeitsschicht in die Haftschicht 244 (das heißt eine p-Austrittsarbeitsschicht) hinein diffundieren. Das Fehlen einer benachbarten n-Austrittsarbeitsschicht in dem dritten Bereich 3000 wird durch die Verwendung der verbleibenden Opferschicht 230' (in den 13 und 17 gezeigt) ermöglicht oder wenigstens erleichtert, wodurch verhindert wird, dass die erste Austrittsarbeitsschicht 234 (oder zu viel von der ersten Austrittsarbeitsschicht 234) und die zweite Austrittsarbeitsschicht 238 (oder zu viel von der zweiten Austrittsarbeitsschicht 238) zwischen benachbarte dritte Kanalelemente 2083 eindringen. Aufgrund der reduzierten Menge oder des Fehlens der ersten Austrittsarbeitsschicht 234 und der zweiten Austrittsarbeitsschicht 238 zwischen benachbarten dritten Kanalelementen 2083 ist kein Nass-Überätzen erforderlich, um die schwer zu entfernende erste Austrittsarbeitsschicht 234 und die zweite Austrittsarbeitsschicht 238 aus dem Raum zwischen dritten Kanalelementen 2083 zu entfernen.
  • In den in 23 gezeigten Ausführungsformen enthält der zweite GAA-Transistor 260B eine Schwellenspannung mittleren Niveaus, die zwischen einer n-Schwellenspannung für den ersten GAA-Transistor 260A und der p-Schwellenspannung für den dritten GAA-Transistor 260C liegt. Im Unterschied zu dem ersten Metall-Gate-Stapel 250A und dem dritten Metall-Gate-Stapel 250C enthält der zweite Metall-Gate-Stapel 250B des zweiten GAA-Transistors 260B sowohl die erste Austrittsarbeitsschicht 234 als eine auch die zweite Austrittsarbeitsschicht 238.
  • In einigen hier nicht explizit gezeigten Ausführungsformen können eine oder mehrere Dipolschichten optional in den ersten Metallgate-Stapel 250A, den zweiten Metallgate-Stapel 250B und den dritten Metallgate-Stapel 250C integriert werden, um die Schwellenspannungen in der Halbleitervorrichtung 200 weiter zu diversifizieren. Zum Beispiel können Dipolschichten je nach Elektronenaffinität und Sauerstoffatomdichte entweder eine n-Dipolschicht oder eine p-Dipolschicht sein. Eine oder mehrere Dipolschichten, die jeweils in den ersten Metall-Gate-Stapel 250A, den zweiten Metall-Gate-Stapel 250B und den dritten Metall-Gate-Stapel 250C eingearbeitet sind, können die Austrittsarbeit weiter näher an das Valenzband oder das Leitungsband heranführen, wodurch die resultierenden Schwellenspannungen des ersten GAA-Transistors 260A, des zweiten GAA-Transistors 260B und des dritten GAA-Transistors 260C verschoben werden. Zu beispielhaften Materialien für eine n-Dipolschicht gehören Lanthanoxid, Magnesiumoxid und Yttriumoxid. Zu beispielhaften Materialien für eine p-Dipolschicht gehören Aluminiumoxid, Titanoxid und Nioboxid. In einigen Fällen können Dipolmaterialien thermisch in die Gate-Dielektrikumschicht 228 eingearbeitet werden, ohne die Dicke der Gate-Dielektrikumschicht 228 nennenswert zu erhöhen. Durch selektive Behandlung der Gate-Dielektrikumschicht 228 in einigen der ersten GAA-Transistoren 260A, aber nicht in einigen der anderen ersten GAA-Transistoren 260A, können die beiden ersten GAA-Transistoren 260A weiter in zwei Untergruppen der ersten GAA-Transistoren 260A mit verschiedenen Schwellenspannungen umgewandelt werden. Das Gleiche gilt für die zweiten GAA-Transistoren 260B und die dritten GAA-Transistoren 260C. Das heißt, durch selektives Einarbeiten eines bestimmten Dipolmaterials können drei Typen von GAA-Transistoren mit 3 Schwellenspannungsniveaus in sechs Typen von GAA-Transistoren mit 6 Schwellenspannungsniveaus gebildet werden. Es ist also zu sehen, dass Prozesse und Halbleitervorrichtungen der vorliegenden Offenbarung Wege zum Bilden von GAA-Transistoren mit diversifizierten Schwellenspannungen eröffnen.
  • Auf der Grundlage der obigen Besprechungen bietet die vorliegende Offenbarung Vorteile gegenüber konventionellen GAA-Transistoren und Prozessen zu ihrer Herstellung. Es versteht sich jedoch, dass andere Ausführungsformen zusätzliche Vorteile bieten können, dass im vorliegenden Text nicht unbedingt alle Vorteile offenbart werden, und dass kein spezieller Vorteil für alle Ausführungsformen erforderlich ist. Die vorliegende Offenbarung stellt einen Halbleitervorrichtung-Bildungsprozess bereit, bei dem eine Opferschicht verwendet wird, um zu verhindern, dass zu entfernende Austrittsarbeitsschichten in den Raum zwischen benachbarten Kanalelementen eindringen. Diese Anordnung ermöglicht ein zufriedenstellendes Entfernen der zu entfernenden Austrittsarbeitsschichten ohne ein Überätzen, das Schäden an benachbarten Strukturen verursachen kann. Die offenbarten Prozesse ermöglichen es einer n-Austrittsarbeitsschicht, sich vollständig um die Kanalelemente in einem n-GAA-Transistor herum zu legen, und erlauben es, eine n-Austrittsarbeitsschicht im Wesentlichen vollständig um Kanalelemente in einem p-GAA-Transistor herum zu entfernen. Infolgedessen haben n-GAA-Transistoren und p-GAA-Transistoren gemäß der vorliegenden Offenbarung niedrigere Schwellenspannungen.
  • Die vorliegende Offenbarung stellt Ausführungsformen von Halbleitervorrichtungen und Verfahren zu deren Herstellung bereit. In einer Ausführungsform wird eine Halbleitervorrichtung bereitgestellt. Die Halbleitervorrichtung enthält einen ersten Gate-all-around-Transistor (GAA-Transistor), einen zweiten GAA-Transistor und einen dritten GAA-Transistor. Der erste GAA-Transistor enthält mehrere erste Kanalelemente, eine Grenzflächenschicht über der mehreren ersten Kanalelementen, eine Gate-Dielektrikumschicht über der Grenzflächenschicht, eine erste Austrittsarbeitsschicht über und in Kontakt mit der Gate-Dielektrikumschicht, und eine Haftschicht über der ersten Austrittsarbeitsschicht. Der zweite GAA-Transistor enthält mehrere zweite Kanalelemente, die Grenzflächenschicht über den mehreren zweiten Kanalelementen, die Gate-Dielektrikumschicht über der Grenzflächenschicht, und eine zweite Austrittsarbeitsschicht über und in Kontakt mit der Gate-Dielektrikumschicht, die erste Austrittsarbeitsschicht über und in Kontakt mit der zweiten Austrittsarbeitsschicht, und die Haftschicht über der ersten Austrittsarbeitsschicht. Der dritte GAA-Transistor enthält mehrere dritte Kanalelemente, die Grenzflächenschicht über den mehreren dritten Kanalelementen, die Gate-Dielektrikumschicht über der Grenzflächenschicht, und die Haftschicht über der Gate-Dielektrikumschicht.
  • In einigen Ausführungsformen enthält die erste Austrittsarbeitsschicht Aluminium (Al), Titanaluminium (TiAl), Titanaluminiumcarbid (TiAlC), Tantalaluminiumcarbid (TaAlC), Tantalaluminiumsilicid (TaSiAl), Tantalsiliziumcarbid (TaSiC), Tantalsilicid (TaSi) oder Hafniumcarbid (HfC), und die zweite Austrittsarbeitsschicht enthält Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Tantalnitrid (TaN), Wolframcarbonitrid (WCN) oder Molybdän (Mo). Bei einigen Implementierungen enthält die Haftschicht Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Tantalnitrid (TaN), Wolframcarbonitrid (WCN) oder Molybdän (Mo). In einigen Fällen enthält der erste GAA-Transistor des Weiteren eine Passivierungsschicht, die zwischen der ersten Austrittsarbeitsschicht und der Haftschicht angeordnet ist, der zweite GAA-Transistor enthält des Weiteren die Passivierungsschicht, die zwischen der ersten Austrittsarbeitsschicht und der Haftschicht angeordnet ist, und der dritte GAA-Transistor ist frei von der Passivierungsschicht. In einigen Ausführungsformen besitzt die erste Austrittsarbeitsschicht eine Dicke zwischen 1 nm und etwa 3 nm, die zweite Austrittsarbeitsschicht besitzt eine Dicke zwischen etwa 0,5 nm und etwa 2 nm, die Passivierungsschicht besitzt eine Dicke zwischen etwa 0,5 nm und etwa 2 nm, und die Haftschicht besitzt eine Dicke zwischen etwa 2 nm und etwa 5 nm. Bei einigen Implementierungen enthält die Passivierungsschicht Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Silizium (Si), Tantalnitrid (TaN) oder Wolframcarbonitrid (WCN). In einigen Ausführungsformen enthalten der erste GAA-Transistor, der zweite GAA-Transistor und der dritte GAA-Transistor des Weiteren jeweils eine Metallfüllschicht über der Haftschicht, und die Metallfüllschicht enthält Wolfram (W) oder Kobalt (Co). Bei einigen Implementierungen legt sich die erste Austrittsarbeitsschicht vollständig um jedes der mehreren der ersten Kanalelemente herum.
  • In einer anderen Ausführungsform wird ein Verfahren bereitgestellt. Das Verfahren enthält: Bereitstellen eines Werkstücks, das ein Substrat, erste vertikal gestapelte Kanalelemente in einem ersten Bereich des Substrats, zweite vertikal gestapelte Kanalelemente in einem zweiten Bereich des Substrats, und dritte vertikal gestapelte Kanalelementen in einem dritten Bereich des Substrats enthält; Abscheiden einer Gate-Dielektrikumschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen, und den dritten vertikal gestapelten Kanalelementen; Abscheiden einer Opferschicht über der Gate-Dielektrikumschicht; Ätzen der Opferschicht so, dass ein Abschnitt der Opferschicht zwischen benachbarten der ersten vertikal gestapelten Kanalelemente, der zweiten vertikal gestapelten Kanalelemente und der dritten vertikal gestapelten Kanalelemente angeordnet bleibt; selektives Entfernen der gesamten Opferschicht in dem zweiten Bereich; Abscheiden einer ersten Austrittsarbeitsschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen, und den dritten vertikal gestapelten Kanalelementen; selektives Entfernen der ersten Austrittsarbeitsschicht und der Opferschicht in dem ersten Bereich; Abscheiden einer zweiten Austrittsarbeitsschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen, und den dritten vertikal gestapelten Kanalelementen, wobei sich die zweite Austrittsarbeitsschicht von der ersten Austrittsarbeitsschicht unterscheidet; und Abscheiden einer Passivierungsschicht über der zweiten Austrittsarbeitsschicht.
  • In einigen Ausführungsformen enthält das Bereitstellen des Werkstücks: Bilden mehrerer abwechselnder Halbleiterschichten über dem ersten Bereich, dem zweiten Bereich und dem dritten Bereich des Substrats; Strukturieren der mehreren abwechselnden Halbleiterschichten, um eine erste aktive Region in dem ersten Bereich, eine zweite aktive Region in dem zweiten Bereich und eine dritte aktive Region in dem dritten Bereich zu bilden; und selektives Entfernen der zweiten Mehrzahl zweiter Halbleiterschichten, um die ersten vertikal gestapelten Kanalelemente in der ersten aktiven Region und die zweiten vertikal gestapelten Kanalelemente in der zweiten aktiven Region und die dritten vertikal gestapelten Kanalelemente in der dritten aktiven Region zu bilden. Die mehreren abwechselnden Halbleiterschichten enthalten eine erste Mehrzahl erster Halbleiterschichten, die mit einer zweiten Mehrzahl zweiter Halbleiterschichten verschachtelt sind. Bei einigen Implementierungen wird durch das Ätzen der Opferschicht die Gate-Dielektrikumschicht freigelegt, die über Seitenflächen der ersten vertikal gestapelten Kanalelemente, der zweiten vertikal gestapelten Kanalelemente und der dritten vertikal gestapelten Kanalelemente abgeschieden ist. In einigen Fällen enthält die Opferschicht Siliziumoxid oder Siliziumnitrid. In einigen Ausführungsformen enthält die erste Austrittsarbeitsschicht Aluminium (Al), Titanaluminium (TiAl), Titanaluminiumcarbid (TiAlC), Tantalaluminiumcarbid (TaAlC), Tantalaluminiumsilicid (TaSiAl), Tantalsiliziumcarbid (TaSiC), Tantalsilicid (TaSi) oder Hafniumcarbid (HfC), und die zweite Austrittsarbeitsschicht enthält Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Tantalnitrid (TaN), Wolframcarbonitrid (WCN) oder Molybdän (Mo). Bei einigen Implementierungen enthält die Passivierungsschicht Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Silizium (Si), Tantalnitrid (TaN) oder Wolframcarbonitrid (WCN). In einigen Ausführungsformen kann das Verfahren des Weiteren enthalten: selektives Entfernen der Passivierungsschicht, der ersten Austrittsarbeitsschicht, der zweiten Austrittsarbeitsschicht und der Opferschicht in dem dritten Bereich; Abscheiden einer Haftschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen, und den dritten vertikal gestapelten Kanalelementen; und Abscheiden einer Metallfüllschicht über der Haftschicht. Bei einigen Implementierungen enthält die Haftschicht Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Tantalnitrid (TaN), Wolframcarbonitrid (WCN) oder Molybdän (Mo), und die Metallfüllschicht enthält Wolfram (W) oder Kobalt (Co).
  • In einer weiteren Ausführungsform wird ein Verfahren bereitgestellt. Das Verfahren enthält: Bereitstellen eines Werkstücks, das ein Substrat, erste vertikal gestapelte Kanalelemente in einem ersten Bereich des Substrats, zweite vertikal gestapelte Kanalelemente in einem zweiten Bereich des Substrats, und dritte vertikal gestapelte Kanalelemente in einem dritten Bereich des Substrats aufweist; Abscheiden einer Gate-Dielektrikumschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen, und den dritten vertikal gestapelten Kanalelementen; Abscheiden einer Opferschicht über der Gate-Dielektrikumschicht; teilweises und selektives Ätzen der Opferschicht dergestalt, dass ein Abschnitt der Opferschicht zwischen benachbarten der ersten vertikal gestapelten Kanalelemente, der zweiten vertikal gestapelten Kanalelemente und der dritten vertikal gestapelten Kanalelemente angeordnet ist; selektives Entfernen der gesamten Opferschicht in dem zweiten Bereich; Abscheiden einer ersten Austrittsarbeitsschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen, und den dritten vertikal gestapelten Kanalelementen; selektives Entfernen der ersten Austrittsarbeitsschicht und der Opferschicht in dem ersten Bereich; Abscheiden einer zweiten Austrittsarbeitsschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen, und den dritten vertikal gestapelten Kanalelementen, wobei sich die zweite Austrittsarbeitsschicht von der ersten Austrittsarbeitsschicht unterscheidet; Abscheiden einer Passivierungsschicht über der zweiten Austrittsarbeitsschicht;
    • selektives Entfernen der Passivierungsschicht, der ersten Austrittsarbeitsschicht, der zweiten Austrittsarbeitsschicht und der Opferschicht in dem dritten Bereich; selektives Entfernen der Passivierungsschicht, der ersten Austrittsarbeitsschicht, der zweiten Austrittsarbeitsschicht und der Opferschicht in dem dritten Bereich; Abscheiden einer Haftschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen, und den dritten vertikal gestapelten Kanalelementen; und Abscheiden einer Metallfüllschicht über der Haftschicht. Die erste Austrittsarbeitsschicht unterscheidet sich von der zweiten Austrittsarbeitsschicht, und eine Zusammensetzung der ersten Austrittsarbeitsschicht ist mit einer Zusammensetzung der Haftschicht im Wesentlichen identisch.
  • In einigen Ausführungsformen enthält die zweite Austrittsarbeitsschicht Aluminium (Al). In einigen Implementierungen verhindert der Abschnitt der Opferschicht, der zwischen benachbarten der dritten vertikal gestapelten Kanalelemente angeordnet ist, dass die erste Austrittsarbeitsschicht und die zweite Austrittsarbeitsschicht zwischen benachbarten der dritten vertikal gestapelten Kanalelemente abgeschieden werden. In einigen Fällen erfordert das selektive Entfernen der gesamten Opferschicht in dem zweiten Bereich die Verwendung eines Ätzmittels, das eine Ätzselektivität für die Opferschicht besitzt.
  • Das oben Dargelegte hat Merkmale verschiedener Ausführungsformen umrissen, so dass der Fachmann die folgende Offenbarung besser verstehen kann. Dem Fachmann ist klar, dass er die vorliegende Offenbarung ohne Weiteres als Basis für das Entwerfen oder Modifizieren anderer Prozesse und Strukturen verwenden kann, um die gleichen Zwecke und/oder die gleichen Vorteile wie bei den im vorliegenden Text vorgestellten Ausführungsformen zu erreichen. Dem Fachmann sollte auch klar sein, dass solche äquivalenten Bauformen nicht das Wesen und den Schutzumfang der vorliegenden Offenbarung verlassen, und dass er verschiedene Änderungen, Substituierungen und Modifizierungen an der vorliegenden Erfindung vornehmen kann, ohne vom Wesen und Schutzumfang der vorliegenden Offenbarung abzuweichen. Zum Beispiel kann man durch die Implementierung verschiedener Dicken für den Bitleitungsleiter und den Wortleitungsleiter verschiedene Widerstände für die Leiter erreichen. Es können jedoch auch andere Techniken zum Variieren der Widerstände der Metallleiter verwendet werden.

Claims (20)

  1. Halbleitervorrichtung aufweisend: einen ersten GAA-Transistor aufweisend: - mehrere erste Kanalelemente; - eine Grenzflächenschicht über den mehreren ersten Kanalelementen; - eine Gate-Dielektrikumschicht über der Grenzflächenschicht; - eine erste Austrittsarbeitsschicht über und in Kontakt mit der Gate-Dielektrikumschicht; und - eine Haftschicht über der ersten Austrittsarbeitsschicht; einen zweiten GAA-Transistor aufweisend: - mehrere zweite Kanalelemente; - die Grenzflächenschicht über den mehreren zweiten Kanalelementen; - die Gate-Dielektrikumschicht über der Grenzflächenschicht; - eine zweite Austrittsarbeitsschicht über und in Kontakt mit der Gate-Dielektrikumschicht; - die erste Austrittsarbeitsschicht über und in Kontakt mit der zweiten Austrittsarbeitsschicht; und - die Haftschicht über der ersten Austrittsarbeitsschicht; und einen dritten GAA-Transistor aufweisend: - mehrere dritte Kanalelemente; - die Grenzflächenschicht über den mehreren dritten Kanalelementen; - die Gate-Dielektrikumschicht über der Grenzflächenschicht; und - die Haftschicht über der Gate-Dielektrikumschicht.
  2. Halbleitervorrichtung nach Anspruch 1, wobei die erste Austrittsarbeitsschicht Aluminium (AI), Titanaluminium (TiAl), Titanaluminiumcarbid (TiAlC), Tantalaluminiumcarbid (TaAlC), Tantalaluminiumsilicid (TaSiAl), Tantalsiliziumcarbid (TaSiC), Tantalsilicid (TaSi) oder Hafniumcarbid (HfC) enthält, wobei die zweite Austrittsarbeitsschicht Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Tantalnitrid (TaN), Wolframcarbonitrid (WCN) oder Molybdän (Mo) enthält.
  3. Halbleitervorrichtung nach Anspruch 2, wobei die Haftschicht Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Tantalnitrid (TaN), Wolframcarbonitrid (WCN) oder Molybdän (Mo) enthält.
  4. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, wobei der erste GAA-Transistor ferner eine Passivierungsschicht aufweist, die zwischen der ersten Austrittsarbeitsschicht und der Haftschicht angeordnet ist, wobei der zweite GAA-Transistor ferner die Passivierungsschicht aufweist, die zwischen der ersten Austrittsarbeitsschicht und der Haftschicht angeordnet ist, wobei der dritte GAA-Transistor frei von der Passivierungsschicht ist.
  5. Halbleitervorrichtung nach Anspruch 4, wobei die erste Austrittsarbeitsschicht eine Dicke von 1 nm bis etwa 3 nm aufweist, wobei die zweite Austrittsarbeitsschicht eine Dicke von etwa 0,5 nm bis etwa 2 nm aufweist, wobei die Passivierungsschicht eine Dicke von etwa 0,5 nm bis etwa 2 nm aufweist, wobei die Haftschicht eine Dicke von etwa 2 nm bis etwa 5 nm aufweist.
  6. Halbleitervorrichtung nach Anspruch 4 oder 5, wobei die Passivierungsschicht Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Silizium (Si), Tantalnitrid (TaN) oder Wolframcarbonitrid (WCN) umfasst.
  7. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, wobei der erste GAA-Transistor, der zweite GAA-Transistor und der dritte GAA-Transistor ferner jeweils eine Metallfüllschicht über der Haftschicht aufweisen, wobei die Metallfüllschicht Wolfram (W) oder Kobalt (Co) aufweist.
  8. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, wobei die erste Austrittsarbeitsschicht vollständig um jedes der mehreren ersten Kanalelemente herum angeordnet ist.
  9. Verfahren umfassend: Bereitstellen eines Werkstücks, das ein Substrat, erste vertikal gestapelte Kanalelemente in einem ersten Bereich des Substrats, zweite vertikal gestapelte Kanalelemente in einem zweiten Bereich des Substrats, und dritte vertikal gestapelte Kanalelemente in einem dritten Bereich des Substrats aufweist; Abscheiden einer Gate-Dielektrikumschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen, und den dritten vertikal gestapelten Kanalelementen; Abscheiden einer Opferschicht über der Gate-Dielektrikumschicht; Ätzen der Opferschicht derart, dass ein Abschnitt der Opferschicht zwischen denjenigen der ersten vertikal gestapelten Kanalelemente, der zweiten vertikal gestapelten Kanalelemente und der dritten vertikal gestapelten Kanalelemente, welche benachbart sind, angeordnet bleibt; selektives Entfernen der gesamten Opferschicht in dem zweiten Bereich; Abscheiden einer ersten Austrittsarbeitsschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen und den dritten vertikal gestapelten Kanalelementen; selektives Entfernen der ersten Austrittsarbeitsschicht und der Opferschicht in dem ersten Bereich; Abscheiden einer zweiten Austrittsarbeitsschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen und den dritten vertikal gestapelten Kanalelementen, wobei die zweite Austrittsarbeitsschicht von der ersten Austrittsarbeitsschicht verschieden ist; und Abscheiden einer Passivierungsschicht über der zweiten Austrittsarbeitsschicht.
  10. Verfahren nach Anspruch 9, wobei das Bereitstellen des Werkstücks umfasst: Bilden mehrerer abwechselnder Halbleiterschichten über dem ersten Bereich, dem zweiten Bereich und dem dritten Bereich des Substrats, wobei die mehreren abwechselnden Halbleiterschichten eine erste Mehrzahl erster Halbleiterschichten umfasst, die mit einer zweiten Mehrzahl zweiter Halbleiterschichten verschachtelt sind; Strukturieren der mehreren abwechselnden Halbleiterschichten, um eine erste aktive Region in dem ersten Bereich, eine zweite aktive Region in dem zweiten Bereich und eine dritte aktive Region in dem dritten Bereich zu bilden; und selektives Entfernen der zweiten Mehrzahl zweiter Halbleiterschichten, um die ersten vertikal gestapelten Kanalelemente in der ersten aktiven Region und die zweiten vertikal gestapelten Kanalelemente in der zweiten aktiven Region und die dritten vertikal gestapelten Kanalelemente in der dritten aktiven Region zu bilden.
  11. Verfahren nach Anspruch 9 oder 10, wobei das Ätzen der Opferschicht die Gate-Dielektrikumschicht freilegt, die über Seitenflächen der ersten vertikal gestapelten Kanalelemente, der zweiten vertikal gestapelten Kanalelemente und der dritten vertikal gestapelten Kanalelemente abgeschieden ist.
  12. Verfahren nach einem der vorangehenden Ansprüche 9 bis 11, wobei die Opferschicht Siliziumoxid oder Siliziumnitrid umfasst.
  13. Verfahren nach einem der vorangehenden Ansprüche 9 bis 12, wobei die erste Austrittsarbeitsschicht Aluminium (AI), Titanaluminium (TiAl), Titanaluminiumcarbid (TiAlC), Tantalaluminiumcarbid (TaAlC), Tantalaluminiumsilicid (TaSiAl), Tantalsiliziumcarbid (TaSiC), Tantalsilicid (TaSi) oder Hafniumcarbid (HfC) enthält, wobei die zweite Austrittsarbeitsschicht Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Tantalnitrid (TaN), Wolframcarbonitrid (WCN) oder Molybdän (Mo) enthält.
  14. Verfahren nach einem der vorangehenden Ansprüche 9 bis 13, wobei die Passivierungsschicht Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Silizium (Si), Tantalnitrid (TaN) oder Wolframcarbonitrid (WCN) enthält.
  15. Verfahren nach einem der vorangehenden Ansprüche 9 bis 14, ferner umfassend: selektives Entfernen der Passivierungsschicht, der ersten Austrittsarbeitsschicht, der zweiten Austrittsarbeitsschicht und der Opferschicht in dem dritten Bereich; Abscheiden einer Haftschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen und den dritten vertikal gestapelten Kanalelementen; und Abscheiden einer Metallfüllschicht über der Haftschicht.
  16. Verfahren nach Anspruch 15, wobei die Haftschicht Titannitrid (TiN), Titansiliziumnitrid (TiSiN), Tantalnitrid (TaN), Wolframcarbonitrid (WCN) oder Molybdän (Mo) enthält, wobei die Metallfüllschicht Wolfram (W) oder Kobalt (Co) enthält.
  17. Verfahren umfassend: Bereitstellen eines Werkstücks, das ein Substrat, erste vertikal gestapelte Kanalelemente in einem ersten Bereich des Substrats, zweite vertikal gestapelte Kanalelemente in einem zweiten Bereich des Substrats und dritte vertikal gestapelte Kanalelemente in einem dritten Bereich des Substrats aufweist; Abscheiden einer Gate-Dielektrikumschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen und den dritten vertikal gestapelten Kanalelementen; Abscheiden einer Opferschicht über der Gate-Dielektrikumschicht; teilweises und selektives Ätzen der Opferschicht derart, dass ein Abschnitt der Opferschicht zwischen denjenigen der ersten vertikal gestapelten Kanalelemente, der zweiten vertikal gestapelten Kanalelemente und der dritten vertikal gestapelten Kanalelemente angeordnet ist, welche benachbart sind; selektives Entfernen der gesamten Opferschicht in dem zweiten Bereich; Abscheiden einer ersten Austrittsarbeitsschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen und den dritten vertikal gestapelten Kanalelementen; selektives Entfernen der ersten Austrittsarbeitsschicht und der Opferschicht in dem ersten Bereich, Abscheiden einer zweiten Austrittsarbeitsschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen und den dritten vertikal gestapelten Kanalelementen, wobei die zweite Austrittsarbeitsschicht von der ersten Austrittsarbeitsschicht verschieden ist; Abscheiden einer Passivierungsschicht über der zweiten Austrittsarbeitsschicht; selektives Entfernen der Passivierungsschicht, der ersten Austrittsarbeitsschicht, der zweiten Austrittsarbeitsschicht und der Opferschicht in dem dritten Bereich; selektives Entfernen der Passivierungsschicht, der ersten Austrittsarbeitsschicht, der zweiten Austrittsarbeitsschicht und der Opferschicht in dem dritten Bereich; Abscheiden einer Haftschicht über den ersten vertikal gestapelten Kanalelementen, den zweiten vertikal gestapelten Kanalelementen und den dritten vertikal gestapelten Kanalelementen; und Abscheiden einer Metallfüllschicht über der Haftschicht, wobei die erste Austrittsarbeitsschicht von der zweiten Austrittsarbeitsschicht verschieden ist, wobei eine Zusammensetzung der ersten Austrittsarbeitsschicht identisch mit einer Zusammensetzung der Haftschicht im Wesentlichen ist.
  18. Verfahren nach Anspruch 17, wobei die zweite Austrittsarbeitsschicht Aluminium (AI) enthält.
  19. Verfahren nach Anspruch 18, wobei der Abschnitt der Opferschicht, der zwischen denjenigen benachbarten der dritten vertikal gestapelten Kanalelemente angeordnet ist, verhindert, dass die erste Austrittsarbeitsschicht und die zweite Austrittsarbeitsschicht zwischen denjenigen benachbarten der dritten vertikal gestapelten Kanalelemente abgeschieden werden.
  20. Verfahren nach einem der vorangehenden Ansprüche 17 bis 19, wobei das selektive Entfernen der gesamten Opferschicht in dem zweiten Bereich die Verwendung eines Ätzmittels mit einer Ätzselektivität für die Opferschicht umfasst.
DE102020106234.6A 2020-02-26 2020-03-08 Transistoren mit verschiedenen schwellenspannungen Pending DE102020106234A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/802,275 US11152477B2 (en) 2020-02-26 2020-02-26 Transistors with different threshold voltages
US16/802,275 2020-02-26

Publications (1)

Publication Number Publication Date
DE102020106234A1 true DE102020106234A1 (de) 2021-08-26

Family

ID=76860567

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020106234.6A Pending DE102020106234A1 (de) 2020-02-26 2020-03-08 Transistoren mit verschiedenen schwellenspannungen

Country Status (5)

Country Link
US (2) US11152477B2 (de)
KR (1) KR102272133B1 (de)
CN (1) CN113314523A (de)
DE (1) DE102020106234A1 (de)
TW (1) TWI792192B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020111602A1 (de) 2020-04-24 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-strukturierungsprozess für mehr-gate-vorrichtungen

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11799018B2 (en) * 2019-08-23 2023-10-24 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structure and method for forming the same
US11302692B2 (en) * 2020-01-16 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same
US11495661B2 (en) * 2020-04-07 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including gate barrier layer
US11476163B2 (en) * 2020-04-17 2022-10-18 International Business Machines Corporation Confined gate recessing for vertical transport field effect transistors
CN116960176A (zh) * 2022-04-15 2023-10-27 华为技术有限公司 晶体管及其制备方法、半导体器件及其制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160225868A1 (en) 2015-02-03 2016-08-04 Wan-Don Kim Semiconductor devices having work function metal films and tuning materials
US20190081148A1 (en) 2017-09-08 2019-03-14 Samsung Electronics Co., Ltd. Semiconductor devices
US20190198498A1 (en) 2017-12-22 2019-06-27 Samsung Electronics Co., Ltd. Semiconductor device

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014008166A1 (en) * 2012-07-01 2014-01-09 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers
KR102083627B1 (ko) 2013-09-24 2020-03-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9972694B2 (en) * 2015-10-20 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition methods and structures thereof
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US9922983B1 (en) * 2016-09-22 2018-03-20 International Business Machines Corporation Threshold voltage modulation through channel length adjustment
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
US10497624B2 (en) 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10553495B2 (en) * 2017-10-19 2020-02-04 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals
US10553678B2 (en) * 2017-11-02 2020-02-04 International Business Machines Corporation Vertically stacked dual channel nanosheet devices
US10522344B2 (en) * 2017-11-06 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with doped gate dielectrics
US10304833B1 (en) * 2018-02-19 2019-05-28 Globalfoundries Inc. Method of forming complementary nano-sheet/wire transistor devices with same depth contacts
US11329136B2 (en) * 2018-06-01 2022-05-10 International Business Machines Corporation Enabling anneal for reliability improvement and multi-Vt with interfacial layer regrowth suppression

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160225868A1 (en) 2015-02-03 2016-08-04 Wan-Don Kim Semiconductor devices having work function metal films and tuning materials
US20190081148A1 (en) 2017-09-08 2019-03-14 Samsung Electronics Co., Ltd. Semiconductor devices
US20190198498A1 (en) 2017-12-22 2019-06-27 Samsung Electronics Co., Ltd. Semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020111602A1 (de) 2020-04-24 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-strukturierungsprozess für mehr-gate-vorrichtungen
DE102020111602B4 (de) 2020-04-24 2022-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür

Also Published As

Publication number Publication date
US11152477B2 (en) 2021-10-19
KR102272133B1 (ko) 2021-07-06
US20220037499A1 (en) 2022-02-03
CN113314523A (zh) 2021-08-27
TW202133326A (zh) 2021-09-01
TWI792192B (zh) 2023-02-11
US11848368B2 (en) 2023-12-19
US20210265496A1 (en) 2021-08-26

Similar Documents

Publication Publication Date Title
DE102018115909B4 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE102020106234A1 (de) Transistoren mit verschiedenen schwellenspannungen
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102019126237A1 (de) Dielektrische finnen mit unterschiedlichen dielektrizitätskonstanten und grössen in unterschiedlichen zonen einer halbleitervorrichtung
DE102017116221B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung, die einen nichtflüchtigen Speicher, der in einem Speicherzellenbereich angeordnet ist, und einen Feldeffekttransistor aufweist, der in einem Logikschaltkreis-Bereich angeordnet ist, und Halbleitervorrichtung mit einem nichtflüchtigen Speicher
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102017123334A1 (de) Gatestapel für i/o-vorrichtungen mit gestapeltem finnenkanal und nanodrahtkanal-kernvorrichtungen
DE102016100049A1 (de) Verfahren und Struktur für Halbleitermid-End-Of-Line- (MEOL) -Prozess
DE102020207521A1 (de) Asymmetrische gate-schnittisolation für sram
DE102017120267B4 (de) Verfahren zur herstellung von source/drain-kontakten in halbleitervorrichtungen
DE102014019360A1 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102019121117A1 (de) Luftspacer für eine gatestruktur eines transistors
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102017123047B4 (de) Herstellungsverfahren für FINFETs mit unterschiedlichen Finnenkanalhöhen
DE102019116606A1 (de) Multi-gate-vorrichtung und zugehörige verfahren
DE102020110870A1 (de) Metall-source-/drainmerkmale
DE102016103402A1 (de) Halbleitereinheit mit geringem Band-zu-Band-Tunneln
DE102021108885A1 (de) Bilden von esd-bauelementen unter verwendung von multigatekompatiblen prozessen
DE102020131140A1 (de) Gateisolierungsstruktur
DE102021100333A1 (de) Halbleitervorrichtungsstruktur
DE102019126285B4 (de) Steuerung von Schwellenspannungen durch Blockierschichten
DE102021109770B4 (de) Hybrid-halbleitervorrichtung
DE102020131432A1 (de) Source/drain-kontaktstruktur
DE102020127426A1 (de) Ein-/Ausgabevorrichtungen
DE102020120265A1 (de) Bilden von Isolationsregionen zum Trennen von Finnen und Gate-Stapeln

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication