DE102019201057A1 - Verfahren zum Durchführen von Finnen-Schnitt-Ätz-Prozessen für FinFet-Halbleitervorrichtungen - Google Patents

Verfahren zum Durchführen von Finnen-Schnitt-Ätz-Prozessen für FinFet-Halbleitervorrichtungen Download PDF

Info

Publication number
DE102019201057A1
DE102019201057A1 DE102019201057.1A DE102019201057A DE102019201057A1 DE 102019201057 A1 DE102019201057 A1 DE 102019201057A1 DE 102019201057 A DE102019201057 A DE 102019201057A DE 102019201057 A1 DE102019201057 A1 DE 102019201057A1
Authority
DE
Germany
Prior art keywords
fins
fin
over
forming
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102019201057.1A
Other languages
English (en)
Other versions
DE102019201057B8 (de
DE102019201057B4 (de
Inventor
Lei L. Zhuang
Balasubramanian Pranathartiharan
Lars Liebmann
Ruilong Xie
Terence Hook
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102019201057A1 publication Critical patent/DE102019201057A1/de
Application granted granted Critical
Publication of DE102019201057B4 publication Critical patent/DE102019201057B4/de
Publication of DE102019201057B8 publication Critical patent/DE102019201057B8/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

In einem selbstausgerichteten Finnen-Schnittprozess zum Herstellen von integrierten Schaltungen wird ein Opfergate oder ein epitaktisch gebildeter Source/Drain-Bereich als eine Ätzmaske in Verbindung mit einem Finnen-Schnitt-Ätzschritt verwendet, um unerwünschte Abschnitte der Finnen zu entfernen. Der Prozess eliminiert eine Verwendung einer lithografisch definierten Ätzmaske zum Schneiden der Finnen, was eine präzise und genaue Ausrichtung des Finnen-Schnitts ermöglicht.

Description

  • HINTERGRUND
  • Die vorliegende Erfindung betrifft im Allgemeinen die Herstellung von integrierten Schaltungen und insbesondere Verfahren zum Durchführen von Finnen-Schnitt-Ätz-Prozessen für die Herstellung von FinFET-Halbleitervorrichtungen.
  • Vollständige verarmte Vorrichtungen, z.B. Finnenfeldeffekttransistoren (FinFETs), stellen Kandidaten dar, um die Skalierung von Gatelängen der nächsten Generation zu 14 nm und darunter zu ermöglichen. Finnenfeldeffekttransistoren (FinFETs) stellen eine dreidimensionale Architektur dar, in der der Transistorkanal über die Oberfläche eines Halbleitersubstrats erhöht ist, anstatt dass der Kanal an oder gerade unterhalb der Oberfläche angeordnet ist. Bei einem erhöhten Kanal kann das Gate um die Seiten des Kanals herum gewickelt werden, was eine verbesserte elektrostatische Steuerung der Vorrichtung bereitstellt.
  • Die Herstellung von FinFETs setzt typischerweise einen selbstausgerichteten Prozess zur Herstellung von extrem dünnen Finnen, z.B. von 20 nm Breite oder weniger, auf der Oberfläche eines Substrats unter Verwendung von selektiven Ätztechniken wirksam ein. Es wird dann eine Gatestruktur abgeschieden, um mehrere Oberflächen von jeder Finne zu kontaktieren, so dass eine Multigatearchitektur über einem Kanal gebildet wird.
  • Die Finnen werden typischerweise in einer regelmäßigen Anordnung gebildet. Gemäß der Darstellung in 1 können z.B. mehrere Finnen 12 parallel angeordnet und ausgebildet sein, so dass die wenigstens eine gewünschte Schaltung innerhalb verschiedener Vorrichtungsbereiche bereitgestellt wird. Zur Festlegung von unterschiedlichen Vorrichtungen, z.B. einer Vorrichtung 20 aus vier Finnen und einer Vorrichtung 24 aus zwei Finnen auf dem gleichen Substrat, kann z.B. die Länge der Finnen 12 eingestellt werden und die Finnen können durch ein selektives Entfernen von einigen Finnen oder Abschnitten von Finnen unterteilt werden. In der bekannten Bearbeitung kann eine Finnen-Schnitt-Maske (nicht dargestellt) verwendet werden, um einen Finnen-Schnittbereich 30 festzulegen, so dass die Finnen-Schnittmaske Finnenabschnitte bedeckt, die beizubehalten sind, und andere Finnenabschnitte freilegt, die zu entfernen sind. Nach der Festlegung der Finnen-Schnittmaske bildet ein Ätzprozess eine Finnen-Schnittöffnung 32, die die freiliegenden Finnenabschnitte innerhalb des Finnen-Schnittbereiches 30 entfernt.
  • Wie in 1 schematisch dargestellt ist, können jedoch Strukturierungsbegrenzungen, die mit der Fotolithografie einhergehen, zusammen mit strengen Ausrichtungstoleranzen, die bei der Bildung von Vorrichtungen an fortschrittlichen Knoten vorgesehen sind, zu einer ungenauen Ausrichtung der Finnen-Schnittmaske und/oder einer schlechten Anordnung zwischen dem Finnen-Schnittbereich 30 und der Finnen-Schnittöffnung 32 führen. Zum Beispiel kann eine Abrundung von Ecken während der Finnen-Schnittstrukturierung Finnenabschnitte beschädigen oder entfernen, die beizubehalten sind. In der Hybridvorrichtung aus 1 können Abschnitte der Finnen 12 innerhalb des Vorrichtungsbereiches mit 4 Finnen 20 gegen den Wunsch entfernt werden.
  • ZUSAMMENFASSUNG
  • Ungeachtet der jüngsten Entwicklungen sind Prozesse erwünscht, die Halbleiterfinnen mit einem hohen Grad an Genauigkeit und Präzision schneiden, insbesondere um Vorrichtungen an fortschrittlichen Knoten herzustellen. In einem selbstausgerichteten Finnen-Schnittprozess zur Herstellung von integrierten Schaltungen, wie hierin offenbart, wird ein Opfergate oder ein epitaktisch gebildeter Source/Drain-Bereich anstelle einer lithografisch festgelegten Finnen-Schnittmaske als eine Ätzmaske zusammen mit einer Finnen-Schnitt-Ätzung verwendet, um ungewünschte Abschnitte der Finnen zu entfernen.
  • Gemäß Ausführungsformen der Erfindung umfasst eine Vorrichtung eine Mehrzahl von Finnen, die über einem Halbleitersubstrat angeordnet sind, wobei die Finnen Source/Drain-Bereiche und Kanalbereiche umfassen, die sich zwischen den Source/Drain-Bereichen erstrecken. Die Vorrichtung umfasst ferner Source/Drain-Kontakte, die über den Source/Drain-Bereichen angeordnet sind, eine dielektrische Zwischenschicht, die über den Source/Drain-Kontakten angeordnet ist, und einen funktionalen Gatestapel, der über den Kanalbereichen angeordnet ist, wobei wenigstens einer der Mehrzahl von Finnen einen Schnittbereich mit einer dielektrischen Füllschicht aufweist, die innerhalb des Schnittbereiches angeordnet ist.
  • In der beispielhaften Vorrichtung erstreckt sich die dielektrische Füllschicht durch eine gesamte Dicke der wenigstens einen Finne und ein Paar von gegenüberliegenden Kanten der dielektrischen Füllschicht sind zu Kanten von (i) einem der funktionalen Gatestapel angeordnet oder (ii) zu einem der Source/Drain-Kontakte ausgerichtet.
  • Ein Verfahren zum Bilden einer Vorrichtung umfasst ein Bilden einer Mehrzahl von Finnen über einem Halbleitersubstrat, ein Bilden einer Mehrzahl von Opfergatestapeln über den Finnen, ein Bilden einer Abstandshalterschicht über Seitenwänden der Opfergatestapel, ein Bilden von Source/Drain-Kontakten über freiliegenden Abschnitten der Finnen zwischen benachbarten Abstandshalterschichten und ein Bilden einer dielektrischen Zwischenschicht über den Source/Drain-Kontakten zwischen benachbarten Abstandshalterschichten.
  • Über der dielektrischen Zwischenschicht und über den Opfergatestapeln wird eine Maskenschicht gebildet und eine Öffnung wird in der Maskenschicht gebildet, um einen Finnen-Schnittbereich festzulegen. Unter Verwendung der Maskenschicht als einer Ätzmaske umfasst das Verfahren ferner ein selektives Ätzen von (i) den Opfergatestapeln innerhalb des Finnen-Schnittbereiches oder (ii) der dielektrischen Zwischenschicht und von Source/Drain-Kontakten innerhalb des Finnen-Schnittbereiches, um die Finnen innerhalb des Finnen-Schnittbereiches freizulegen. Durch Ätzen der freiliegenden Finnen werden Finnen-Schnittöffnungen gebildet und eine dielektrische Füllschicht wird innerhalb der Finnen-Schnittöffnungen gebildet.
  • Ein weiteres Verfahren zum Bilden einer Vorrichtung umfasst ein Bilden einer Mehrzahl von Finnen über einem Halbleitersubstrat, ein Bilden einer Mehrzahl von Opfergatestapeln über den Finnen, ein Bilden einer Abstandshalterschicht über Seitenwänden der Opfergatestapel, ein Bilden von epitaktischen Source/Drain-Kontakten über freiliegenden Abschnitten der Finnen zwischen benachbarten Abstandshalterschichten und ein Bilden einer dielektrischen Zwischenschicht über den Source/Drain-Kontakten zwischen benachbarten Abstandshalterschichten .
  • Über der dielektrischen Zwischenschicht und über den Opfergatestapeln wird eine Maskenschicht gebildet. In der Maskenschicht wird eine Öffnung gebildet, um einen Finnen-Schnittbereich festzulegen. Unter Verwendung der Maskenschicht als einer Ätzmaske umfasst das Verfahren ein Ätzen von (i) der Opfergatestapel innerhalb des Finnen-Schnittbereiches, oder (ii) der dielektrischen Zwischenschicht und der epitaktischen Source/Drain-Kontakte innerhalb des Finnen-Schnittbereiches, um die Finnen innerhalb des Finnen-Schnittbereiches freizulegen. Die freiliegenden Finnen werden geätzt, um Finnen-Schnittöffnungen zu bilden. Innerhalb der Finnen-Schnittöffnungen wird eine dielektrische Füllschicht gebildet.
  • Figurenliste
  • Die folgende detaillierte Beschreibung von speziellen Ausführungsformen der Anmeldung kann am besten in Verbindung mit den folgenden Zeichnungen verstanden werden, in denen ähnliche Strukturen mit ähnlichen Bezugszeichen bezeichnend sind und in denen:
    • 1 eine schematische Ansicht eines FinFET-Vorrichtungslayouts zeigt, das einen Finnen-Schnittbereich und die Stelle einer Finnen-Schnittöffnung innerhalb eines zweiten Vorrichtungsbereiches eines Halbleitersubstrats darstellt;
    • 2 eine ebene Ansicht in einer schematischen Aufsicht darstellt, die eine Mehrzahl von Finnen zeigt, die über einem Halbleitersubstrat angeordnet sind;
    • 2A eine Querschnittansicht entlang von einem der Finnen aus 2 zeigt;
    • 2B eine Querschnittansicht quer zu der Länge der Finnen darstellt;
    • 3 eine ebene Ansicht in Aufsicht der Bildung der Opfergates über der Mehrzahl von Finnen zeigt;
    • 3A eine Querschnittansicht entlang von einem der Finnen aus 3 zeigt, wobei die darüber liegenden Opfergates dargestellt werden;
    • 3B eine Querschnittansicht durch die Mehrzahl von Finnen zeigt, die eine überlagernde Opfergatestruktur darstellen;
    • 4 in einer ebenen Ansicht schematisch eine Aufsicht darstellt, die eine Architektur nach einer Planarisierung mit Seitenwandabstandshaltern und einer dielektrischen Zwischenschicht zeigt, die über den Finnen gebildet ist, und die Stelle eines Finnen-Schnittbereiches innerhalb eines zweiten Vorrichtungsbereiches des Substrats darstellt;
    • 4A eine Querschnittansicht darstellt, die die Bildung der Seitenwandabstandshalter über den Opfergates und der nachfolgenden Bildung von epitaktischen Source/Drain-Bereichen über Finnenabschnitten darstellt;
    • 4B eine Querschnittansicht durch die Mehrzahl von Finnen zeigt, die ein überlagerndes Opfergate darstellen;
    • 5A die Bildung und Strukturierung einer Maskenschicht zeigt, wobei ein Finnen-Schnittbereich über einem Abschnitt einer Finne und die Entfernung der Opfergateabdeckungen von innerhalb des Finnen-Schnittbereiches festgelegt werden;
    • 5B die Stelle der Maskenschicht in einer Querschnittansicht quer zu der Ansicht von 5A darstellt;
    • 6A ein selektives Ätzen der Opfergates innerhalb des Finnen-Schnittbereiches darstellt;
    • 6B ein Ätzen der Opfergates innerhalb des Finnen-Schnittbereiches darstellt, um eine Mehrzahl der Finnen freizulegen;
    • 7A ein anisotropes Ätzen von Abschnitten der Finnen innerhalb des Finnen-Schnittbereiches unter Verwendung der Seitenwandabstandshalter und der dielektrischen Zwischenschicht als einer Ätzmaske zur Bildung von Finnen-Schnittöffnungen darstellt;
    • 7B ein Ätzen der Finnen innerhalb des Finnen-Schnittbereiches darstellt;
    • 8A eine Abscheidung einer dielektrischen Füllschicht innerhalb der Finnen-Schnittöffnungen zeigt;
    • 8B eine Abscheidung einer dielektrischen Füllschicht innerhalb der Finnen-Schnittöffnungen und ein Polieren der dielektrischen Füllschicht zeigt;
    • 9 eine schematische ebene Ansicht in Aufsicht zeigt, die die dielektrische Füllschicht in Ausrichtung zu den Opfergates innerhalb des Finnen-Schnittbereiches nach Entfernung der Maskenschicht darstellt;
    • 9A eine Querschnittansicht zeigt, die die dielektrische Füllschicht in Ausrichtung zu den Opfergates innerhalb des Finnen-Schnittbereiches nach Entfernung der Maskenschicht und einem Aussparungsätzen der dielektrischen Füllschicht darstellt;
    • 9B eine Querschnittansicht zeigt, die eine ausgesparte dielektrische Füllschicht innerhalb des Finnen-Schnittbereiches zeigt;
    • 10A ein Entfernen der verbleibenden Opfergates darstellt, die außerhalb des Finnen-Schnittbereiches angeordnet sind;
    • 10B ein Entfernen des Opfergates von außerhalb des Finnen-Schnittbereiches darstellt;
    • 11A die Bildung von funktionalen Gates über den Finnen innerhalb eines ersten Vorrichtungsbereiches und über der ausgesparten dielektrischen Füllschicht innerhalb des zweiten Vorrichtungsbereiches darstellt;
    • 11B die Bildung eines funktionalen Gates über den Finnen innerhalb des ersten Vorrichtungsbereiches und über der ausgesparten dielektrischen Füllschicht innerhalb des zweiten Vorrichtungsbereiches darstellt;
    • 12 eine ebene Ansicht in Aufsicht schematisch darstellt, wobei eine sich verjüngende Vorrichtungsarchitektur dargestellt ist, einschließlich einer Vorrichtung mit vier Finnen, die innerhalb des ersten Vorrichtungsbereiches angeordnet ist, und einer Vorrichtung mit zwei Finnen, die innerhalb des zweiten Vorrichtungsbereiches angeordnet ist;
    • 13 eine schematische ebene Ansicht in Aufsicht zeigt, die eine Vorrichtungsarchitektur nach einer Planarisierung darstellt, die Seitenwandabstandshalter und eine dielektrische Zwischenschicht umfasst, die über Halbleiter-Finnen gebildet sind, und die Stelle eines Finnen-Schnittbereiches innerhalb des zweiten Vorrichtungsbereiches gemäß weiterer Ausführungsformen darstellt;
    • 14 die Bildung und Strukturierung einer Maskenschicht darstellt, wobei ein Finnen-Schnittbereich über einem Abschnitt einer Finne innerhalb des zweiten Vorrichtungsbereiches festgelegt wird;
    • 15 ein anisotropes Ätzen von Abschnitten der Finnen innerhalb des Finnen-Schnittbereiches unter Verwendung der Seitenwandabstandshalter und der Opfergates als einer Ätzmaske zur Bildung von Finnen-Schnittöffnungen darstellt;
    • 16 ein Abscheiden einer dielektrischen Füllschicht innerhalb der Finnen-Schnittöffnungen und ein Polieren der dielektrischen Füllschicht darstellt;
    • 17 ein Aussparungsätzen der dielektrischen Füllschicht, die Abscheidung einer dielektrischen Zwischenschicht über der ausgesparten dielektrischen Füllschicht und die Entfernung der Opfergatekappen zum Freilegen der Opfergates darstellt.
    • 18 ein Entfernen der Opfergates und die Bildung von funktionalen Austauschgates über den Finnen innerhalb der ersten und zweiten Vorrichtungsbereiche darstellt; und
    • 19 eine schematische ebene Ansicht in Aufsicht einer sich verjüngenden Vorrichtungsarchitektur darstellt, umfassend eine Vorrichtung mit vier Finnen, die innerhalb des ersten Vorrichtungsbereiches angeordnet ist, und eine benachbarte Vorrichtung mit zwei Finnen, die innerhalb des zweiten Vorrichtungsbereiches angeordnet ist.
  • DETAILLIERTE BESCHREIBUNG
  • Es wird nun ausführlicher auf verschiedene Ausführungsformen des Gegenstands der vorliegenden Anmeldung Bezug genommen, wobei einige Ausführungsformen davon in den beiliegenden Zeichnungen dargestellt sind. Die Bezugszeichen werden durchgängig durch die Figuren verwendet und bezeichnen gleiche oder ähnliche Elemente.
  • Die verschiedenen Komponenten und Schichten der hierin beschriebenen Strukturen können unter Verwendung von einer Vielzahl von unterschiedlichen Materialien und Verfahren verwendet werden, z.B. einer chemischen Gasphasenabscheidung (CVD), eines Atomlagenabscheidungs (ALD) -Prozesses, eines thermischen Wachstumsprozesses, Schleuderbeschichtungstechniken usw. Die Abscheidungen und Dicken dieser verschiedenen Materialschichten können abhängig von der speziellen Anwendung variieren.
  • Verschiedene Ausführungsformen betreffen die Bildung von Hybrid-, beispielweise sich verjüngenden, FinFET-Strukturen und werden hierin mit Bezug auf die 2-19 beschrieben. Eine erste Ausführungsform ist in Verbindung mit den 2-12 dargestellt und eine zweite Ausführungsform wird mit Bezug auf die 13-19 dargestellt. Die sich verjüngenden FinFET-Strukturen umfassen eine erste Anzahl von Finnen innerhalb eines ersten Vorrichtungsbereiches und eine zweite Anzahl von Finnen innerhalb eines zweiten benachbarten Vorrichtungsbereiches, wobei sich die erste und zweite Anzahl von Finnen unterscheiden und sich wenigstens eine der Finnen innerhalb des ersten Vorrichtungsbereiches in den zweiten Vorrichtungsbereich erstreckt.
  • Mit Bezug auf 2 umfasst eine vereinfachte oberseitige ebene Ansicht eine FinFET-Architektur in einer Zwischenphase einer Fertigung eine Mehrzahl von Finnen 102, die über einem Halbleitersubstrat (nicht dargestellt) angeordnet sind. Eine Flachgrabenisolationsschicht 120 ist über dem Substrat und zwischen benachbarten Finnen 102 gebildet. Eine Bezugslinie A ist parallel zu und entlang der Längsabmessung einer Finne orientiert, während eine Bezugslinie B senkrecht zu einer Längendimension der Finnen 102 orientiert ist, insbesondere entlang von später zu bildenden Gates.
  • Jeweils zueinander quer ausgerichtete Querschnittansichten der FinFET-Vorrichtungsstruktur aus 2 sind in den 2A und 2B dargestellt. 2A verläuft entlang der Linie A aus 2 und 2B verläuft entlang der Linie B in 2. Die beispielhafte Struktur umfasst ein Halbleitersubstrat 100 und eine Mehrzahl von Halbleiterfinnen 102, die über dem Substrat 100 angeordnet sind.
  • In der dargestellten Ausführungsform sind die Halbleiterfinnen 102 parallel angeordnet und voneinander innerhalb eines Unterfinnenbereiches 122 durch die Flachgrabenisolationsschicht 120 lateral isoliert. Die Finnen 102 erstrecken sich über eine oberseitige Oberfläche der Flachgrabenisolationsschicht (STI) -Schicht 120 und legen einen aktiven Vorrichtungsbereich 124 fest.
  • Das Substrat 100 kann ein Halbleitermaterial umfassen, z.B. Silizium, beispielsweise ein kristallines Si oder polykristallines Si, oder ein siliziumaufweisendes Material umfassen. Siliziumaufweisende Materialien umfassen ohne Beschränkung ein kristallines Silizium-Germanium (SiGe), polykristallines Silizium-Germanium, Silizium dotiert mit Kohlenstoff (Si:C), amorphes Si, sowie Kombinationen und Mehrfachschichten davon. Gemäß der Verwendung hierin bezeichnet der Ausdruck „Einkristall“ einen kristallinen Festkörper, in dem das Kristallgitter des gesamten Festkörpers im Wesentlichen durchgehend ist und im Wesentlichen an den Kanten des Festkörpers im Wesentlichen ohne Korngrenzen gebrochen ist.
  • Das Substrat 100 ist nicht auf siliziumaufweisende Materialen beschränkt, da das Substrat 100 andere Halbleitermaterialien umfassen kann, umfassend Ge und Verbindungshalbleiter einschließlich Ill-V-Verbindungshalbleiter, z.B. GaAs, InAs, GaN, GaP, InSb, ZnSe und ZnS, und Il-Vl-Verbindungshalbleiter, z.B. CdSe, CdS, CdTe, ZnSe, ZnS und ZnTe.
  • Das Substrat 100 kann ein Bulk-Substrat oder ein zusammengesetztes Substrat sein, z.B. ein semiconductor-on-insulator (SOI) -Substrat, das von unten nach oben einen Handhabungsabschnitt, eine Isolationsschicht (z.B. vergrabene Oxidschicht) und eine Halbleitermaterialschicht umfasst.
  • Das Substrat 100 kann Dimensionen aufweisen, wie sie typischerweise im Stand der Technik verwendet werden, und kann z.B. einen Halbleiterwafer darstellen. Beispielhafte Durchmesser von Wafern umfassen ohne Beschränkung 50, 100, 150, 200, 300 und 450 mm. Die gesamte Substratdicke kann von 250 µm bis 1500 µm erreichen, obwohl sich die Substratdicke in speziellen Ausführungsformen im Bereich von 725 bis 775 µm befindet, was Dickendimensionen entspricht, die gemeinhin in der Silizium-CMOS-Bearbeitung verwendet werden. Das Halbleitersubstrat 100 kann z.B. ein (100)-orientiertes Silizium oder ein (111)-orientiertes Silizium umfassen.
  • In verschiedenen Ausführungsformen umfassen die Finnen 102 ein Halbleitermaterial, z.B. Silizium, und können durch Strukturieren und ein nachfolgendes Ätzen des Halbleitersubstrats 100 gebildet werden, z.B. eines oberen Abschnitts des Halbleitersubstrats. In einigen Ausführungsformen werden die Finnen 102 aus dem Halbleitersubstrat 100 geätzt und sie sind demzufolge mit dem Halbleitersubstrat 100 durchgehend. Die Finnen 102 können z.B. unter Verwendung eines Seitenwandbildübertragungs (SIT) -Prozesses gebildet werden, wie im Stand der Technik bekannt ist.
  • In bestimmten Ausführungsformen können die Finnen 102 eine Breite von 5 nm bis 20 nm und eine Höhe von 40 nm bis 150 nm aufweisen, obwohl andere Dimensionen möglich sind. Die Finnen 102 können auf dem Substrat in einem regulären Intra-Finnenabstand oder einer regulären Intra-Finnenbeabstandung (d) angeordnet sein. Gemäß der Verwendung hierin bezeichnet der Ausdruck „Abstand“ die Summe aus der Finnenbreite und der Beabstandung zwischen einem Paar von benachbarten Finnen. In beispielhaften Ausführungsformen kann der Finnenabstand (d) innerhalb eines gegebenen Vorrichtungsbereiches in einem Bereich von 20 bis 100 nm liegen, z.B. 20, 30, 40, 50, 60, 70, 80, 90 oder 100 nm, einschließlich der Bereiche zwischen jedem der vorangegangenen Werte, obgleich kleinere und größere Abstandswerte verwendet werden können.
  • Die Flachgrabenisolations (STI) -Schicht 120 kann verwendet werden, um eine elektrische Isolierung zwischen den Finnen 102 und zwischen benachbarten Vorrichtungen bereitstellen, die für die wenigstens eine Schaltung erforderlich ist, die gebildet wird. Ein beispielhafter STI-Prozess für FinFET-Vorrichtungen umfasst ein Erzeugen von Isolationsgräben in dem Halbleitersubstrat 100 durch einen anisotropen Ätzprozess. Die Isolationsgräben zwischen jeder benachbarten Finne können ein relativ hohes Aspektverhältnis aufweisen (z.B. ein Verhältnis aus der Tiefe des Isolationsgrabens zu seiner Breite). In die Isolationsgräben wird ein dielektrisches Material abgeschieden, z.B. Siliziumidoxid, beispielsweise unter Verwendung eines verbesserten High-Aspect-Ratio-Prozesses (eHARP), um die Isolationsgräben zu füllen. Das abgeschiedene dielektrische Material kann dann durch einen chemisch-mechanischen Polier (CMP) -Prozess poliert werden, der das übermäßige dielektrische Material entfernt und eine planare STI-Struktur erzeugt. Das planarisierte Oxid wird dann zurückgeätzt, um eine ausgesparte gleichförmig dicke Oxidisolationsschicht 120 zwischen den Finnen 102 zu bilden, wobei obere Seitenwände der Finnen 102, insbesondere Seitenwände innerhalb des aktiven Vorrichtungsbereiches 124, für die weitere Bearbeitung freiliegen können.
  • Mit Bezug auf 3, 3A und 3B werden eine Menge von Opfergatestapeln 210 senkrecht zu den Finnen 102 angeordnet. Die Opfergatestapel 210 werden direkt über den Finnen 102 und direkt über der STI-Schicht 120 gebildet, insbesondere über dem Substrat 100. Die Opfergatestapel 210 können z.B. eine Opfergateschicht 212 und eine darüberliegende Opfergatekappe 214 umfassen, die sukzessive abgeschieden und unter Verwendung bekannter Lithografie strukturiert werden.
  • In bestimmten Ausführungsformen kann die Opfergateschicht 212 eine Opferoxidschicht und eine Überschicht aus amorphem Silizium (a-Si) umfassen und die Opfergateabdeckung 214 kann Siliziumnitrid umfassen. Amorphes elementares Silizium kann unter Verwendung einer chemischen Gasphasenabscheidung abgeschieden werden, z.B. einer chemischen Gasphasenabscheidung bei niedrigem Druck (LPCVD) bei Temperaturen im Bereich von 450 °C bis 700 °C. Für die Abscheidung von Silizium durch CVD kann Silan (SiH4) als Precursor verwendet werden. Die Opfergateschicht 212 kann eine Dicke aufweisen, die ausreicht, um die Finnen zu bedecken. Zum Beispiel kann eine Dicke der Opfergateschicht 212 im Bereich von 50 bis 200 nm liegen, z.B. 50, 75, 100, 125, 150, 175 oder 200 nm, einschließlich Bereiche zwischen jedem der vorangegangenen Werte, obwohl kleinere und größere Dicken verwendet werden können.
  • Mit Bezug auf 4 und insbesondere die 4A und 4B können Seitenwandabstandshalter 220 über Seitenwänden des Opfergatestapels 210 durch eine großflächige Abscheidung eines Abstandshaltermaterials (z.B. unter Verwendung einer Atomlagenabscheidung) gefolgt von einer gerichteten Ätzung, z.B. einem reaktiven lonenätzen (RIE), gebildet werden, um das Abstandshaltermaterial von horizontalen Oberflächen zu entfernen. Geeignete Seitenwandmaterialien für Seitenwandabstandshalter 220 können Oxide, Nitride und Oxynitride, z.B. Siliziumdioxid, Siliziumnitrid, Siliziumoxynitrid, und Materialien mit einer niedrigen Dielektrizitätskonstante (low-k-Materialien) umfassen, z.B. amorphen Kohlenstoff, SiOC, SiOCN und SiBCN, sowie einem dielektrischen low-k-Material. In bestimmten Ausführungsformen liegt die Dicke des Seitenwandabstandshalters 220 in einem Bereich von 4 bis 20 nm, z.B. 4, 10, 15 oder 20 nm, einschließlich der Bereiche zwischen jedem der vorangegangenen Werte.
  • Die Komponenten Siliziumdioxid und Siliziumnitrid weisen Zusammensetzungen auf, die nominell als SiO2 und Si3N4 entsprechend dargestellt werden. Gemäß der Verwendung hierin beziehen sich die Ausdrücke Siliziumdioxid und Siliziumnitrid nicht nur auf diese stöchiometrischen Zusammensetzungen, sondern auch auf Oxid- und Nitridzusammensetzungen, die von diesen stöchiometrischen Zusammensetzungen abweichen.
  • Gemäß der Verwendung hierin betrifft „horizontal“ auch eine allgemeine Richtung entlang einer Hauptoberfläche eines Substrats und „vertikal“ bezeichnet eine Richtung im Allgemeinen senkrecht dazu. Weiterhin stellen „vertikal“ und „horizontal“ im Allgemeinen unabhängig von der Orientierung des Substrats relativ zueinander senkrechte Richtungen im dreidimensionalen Raum dar.
  • 4A zeigt die Struktur aus 3A nach der Abscheidung von Seitenwandabstandshaltern 220 über den Opfergatestapeln 210 und die Bildung von epitaktischen Source/Drain-Kontakten 230 über den Source/Drain-Bereichen der Finne 102.
  • In der anschaulichen Ausführungsform werden Source/Drain-Kontakte 230 über Abschnitten der Finnen 102 (insbesondere Source- und Drain-Bereiche) nahe den oberseitigen Oberflächen davon angeordnet. Die Source/Drain-Kontakte 230 können durch Ionenimplantation oder selektive Epitaxie optional unter Verwendung der Opfergatestapel 210 und der Seitenwandabstandshalter 220 als einer Ausrichtungsmaske gebildet werden. Gemäß verschiedener Ausführungsformen werden Source/Drain-Kontakte 230 durch eine selektive Epitaxie in selbstausgerichtete Stellen gebildet, die über den Finnen zwischen den Opfergatestapeln 210 und über gebildeten Seitenwandabstandshaltern 220 gebildet werden. Insbesondere werden Source/Drain-Kontakte 230 über Source- und Drain-Bereichen der Finnen 102 auf jeder Seite eines Kanalbereiches gebildet, der unter dem Opfergatestapel 210 liegt.
  • Source/Drain-Kontakte 230 können Silizium (z.B. Si) oder ein siliziumaufweisendes Material umfassen, z.B. Silizium-Germanium (SiGe). Zum Beispiel können SiGe-Source/Drain-Kontakte in eine p-MOS-Vorrichtung eingebaut werden, um eine Druckverspannung auf den Kanal auszuüben, die die Ladungsträgerbeweglichkeit verbessern kann.
  • Mit Bezug auf die 4 und 4A ist eine dielektrische Zwischenschicht (ILD) 250 über den Source/Drain-Kontakten 230 angeordnet, insbesondere zwischen den Opfergatestapeln 210. Die abgeschiedene ILD-Schicht 250, die Siliziumdioxid oder eine Doppelschicht gebildet aus Siliziumnitrid und einer darüber liegenden Schicht aus Siliziumdioxid umfassen kann, kann poliert werden, um das übermäßige Siliziumdioxid zu entfernen und eine ebene Struktur zu erzeugen.
  • „Planarisierung“ bezieht sich auf einen Materialentfernungsprozess, der wenigstens mechanische Kräfte einsetzt, z.B. ein Reibmedium, um eine im Wesentlichen zweidimensionale Oberfläche zu erzeugen. Ein Planarisierungsprozess kann ein chemisch-mechanisches Polieren (CMP) oder ein Schleifen umfassen. Das chemisch-mechanische Polieren (CMP) stellt einen Materialentfernungsprozess dar, der chemische Reaktionen und mechanische Kräfte verwendet, um ein Material zu entfernen und eine Oberfläche zu planarisieren.
  • Mit weiterem Bezug auf 4 identifiziert ein Finnen-Schnittbereich 300 entsprechend verschiedener Ausführungsformen die Abschnitte der Finnen 102, die zu schneiden sind. Gemäß der vorliegenden Ausführungsform überlagern gegenüberliegende Enden des Finnen-Schnittbereiches 300 das ILD 250 entlang der Längendimension der Finnen.
  • Mit Bezug auf 5A und 5B wird eine Maskenschicht 310 (z.B. eine organische Planarisierungsschicht oder OPL) strukturiert und geätzt, um eine Öffnung 315 zu bilden, die zu dem Finnen-Schnittbereich 300 ausgerichtet ist. An der Zwischenphase der dargestellten Fertigung in der anschaulichen Ausführungsform wird die Opfergateabdeckung 214 entfernt und oberseitige Oberflächen der Opfergateschicht 212 und des ILDs 250 werden am Boden der Öffnung 315 freigelegt.
  • Das Strukturieren und Ätzen der Maskenschicht 310 zur Bildung der Öffnung 315 kann ein Bilden eines Lithografiestapels über der Maskenschicht (nicht dargestellt) umfassen. Zum Beispiel kann ein Lithografiestapel eine Fotolackschicht und/oder eine Ätzstoppschicht und/oder eine Schicht aus amorphem Kohlenstoff und/oder eine Haftschicht und/oder eine Oxidschicht und/oder eine Nitridschicht umfassen. Diese Schichten können ausgebildet sein, um eine geeignete Maskenschicht zur Strukturierung und Ätzung der darunterliegenden Schicht (Schichten) bereitzustellen. Gemäß der verschiedenen Ausführungsformen liegen die Flächendimensionen der Öffnungen 315 innerhalb lithografischer Prozessfenster zur Bildung dieser Strukturen.
  • Der Strukturübertragungsätzprozess zur Bildung der Öffnung 315 stellt typischerweise ein anisotropes Ätzen dar. In bestimmten Ausführungsformen kann ein Trockenätzprozess, z.B. ein reaktives lonenätzen (RIE), verwendet werden. In anderen Ausführungsformen kann ein nasschemisches Ätzmittel verwendet werden. In wieder anderen Ausführungsformen kann eine Kombination aus einem Trockenätzen und einem Nassätzen verwendet werden.
  • Mit Bezug auf 6A und 6B wird ein selektives Ätzen nach Freilegung des Seitenwandabstandshalters 220 und der ILD 250 innerhalb des Finnen-Schnittbereiches 300 verwendet, um die Opfergateschicht 212 zu entfernen und die darunterliegende Finne 102 freizulegen. Insbesondere entfernt das selektive Ätzen die Opfergateschicht 212 selektiv bezüglich den Seitenwandabstandshaltern 220 und der ILD 250.
  • Gemäß der Verwendung hierin bezeichnet „selektiv“ mit Bezug auf einen Materialentfernungsprozess oder Ätzprozess, dass die Rate der Materialentfernung für ein erstes Material größer ist als die Rate der Materialentfernung für wenigstens ein anderes Material der Struktur, auf das der Materialentfernungsprozess angewendet wird. In bestimmten Ausführungsformen kann z.B. ein selektives Ätzen eine Ätzchemie umfassen, die ein erstes Material selektiv zu einem zweiten Material durch ein Verhältnis von 2:1 oder mehr selektiv entfernt, z.B. 5:1, 10:1 oder 20:1.
  • Innerhalb des Finnen-Schnittbereiches 300 werden dann freiliegende Abschnitte der Finnen 102 unter Verwendung einer selektiven Finnenätzung geätzt, um Finnen-Schnittöffnungen 330 zu bilden. Das Finnenätzen kann ein isotropes oder ein anisotropes Ätzen sein. Beispielweise kann Silizium selektiv mit Bezug auf die Seitenwandabstandshalter 220 und das ILD 250 geätzt werden. In bestimmten Ausführungsformen reicht das Finnen-Schnitt-Ätzen gemäß der Darstellung in den 7A und 7B in das Substrat 100, so dass Abschnitte des aktiven Vorrichtungsbereiches 124 und des Unterfinnenbereiches 122 der Finnen innerhalb des Finnen-Schnittbereiches 300 entfernt werden.
  • Mit Bezug auf die 8A und 8B werden dann Finnen-Schnittöffnungen 330, die durch Entfernen von Abschnitten der Finnen 102 erzeugt werden, mit einer dielektrischen Füllschicht 400 hinterfüllt. Die dielektrische Füllschicht 400 kann z.B. Siliziumnitrid umfassen. Die Struktur kann dann unter Verwendung der Opfergateabdeckung 214 als eine Ätzstoppschicht planarisiert werden. Die eingelegte dielektrische Füllschicht 400 innerhalb des Finnen-Schnittbereiches 300 ist in 9 dargestellt.
  • Mit Bezug auf 9A und 9B legt ein Aussparungsätzen der dielektrischen Füllungsschicht 400 innerhalb des Finnen-Schneidbereiches 300 Öffnungen 450 fest. In der anschaulichen Ausführungsform befindet sich eine Bodenfläche von jeder Öffnung 450, insbesondere eine oberseitige Oberfläche der ausgesparten dielektrischen Füllschicht 400, über einer oberseitigen Oberfläche der Finnen 102.
  • Das Aussparungsätzen der dielektrischen Füllschicht 400 innerhalb des Finnen-Schnittbereiches 300 kann einem selektiven Ätzen der Opfergateschicht 212 außerhalb des Finnen-Schnittbereiches vorangehen oder damit einhergehen, um Öffnungen 460 zu bilden. Die Vorrichtungsstruktur nach dem Aussparungsätzen der dielektrischen Füllungsschicht 400 und der Entfernung der Opfergateschicht 212 ist in 10A und 10B dargestellt.
  • Mit Bezug auf 11A und 11B wird eine funktionale Gatearchitektur 500 über den Finnen 120 gebildet, insbesondere über dem aktiven Vorrichtungsbereich 124 innerhalb der Öffnungen 460 und auch innerhalb der Öffnungen 450. Die funktionale Gatearchitektur 500 umfasst eine dielektrische Gateschicht und wenigstens eine Gateleiterschicht, die sukzessive abgeschieden werden. Der Einfachheit halber sind einzelnen Schichten der Gatearchitektur 500 nicht separat dargestellt.
  • Gemäß der Verwendung hierin bezieht sich ein „funktionales Gate“ auf eine Struktur, die zur Steuerung eines Ausgangsstroms (insbesondere der Stromladungsträger durch einen Kanal) einer Halbleitervorrichtung unter Verwendung eines elektrischen Felds oder in einigen Fällen eines magnetischen Felds verwendet wird, und umfasst ein Gatedielektrikum und einen Gateleiter. Die Metallisierung der funktionalen Gates und der Source/Drain-Bereiche kann eingesetzt werden, um betriebsfähige Vorrichtungen zu bilden.
  • Durch lokale Zwischenverbindungsverfahren und/oder Back-End-of-Line-Metallisierungsschichten können unabhängige Transistoren verbunden werden, um integrierte Schaltungen zu bilden, z.B. SRAM-Vorrichtungen. Mit Bezug auf 12 umfasst eine schematische Ebene aus Sicht einer FinFET-Vorrichtungsarchitektur gemäß der vorliegenden Ausführungsform eine Mehrzahl von Halbleiterfinnen 102, die über einem Halbleitersubstrat (nicht dargestellt) angeordnet sind. Gemäß der Darstellung legt eine erste Mehrzahl von Finnen 102 einen ersten Vorrichtungsbereich 610 fest. Die erste Mehrzahl von Finnen innerhalb des ersten Vorrichtungsbereiches 610 kann eine erste Vorrichtung mit vier Finnen festlegen, z.B. eine PFET-Vorrichtung. Eine zweite Mehrzahl von Finnen 102 legt einen zweiten Vorrichtungsbereich 620 fest. Die zweite Mehrzahl von Finnen innerhalb des zweiten Vorrichtungsbereiches 620 kann eine zweite Vorrichtung mit vier Finnen festlegen, z.B. eine NFET-Vorrichtung.
  • Von den ersten und zweiten Vorrichtungsbereichen 610, 620 erstrecken sich Finnen 102 lateral in entsprechende dritte und vierte Vorrichtungsbereiche 615, 625. Gemäß der vorliegenden Ausführungsform wurden ausgewählte Finnen 102 innerhalb der dritten und vierten Vorrichtungsbereiche 615, 625 durch Freilegen von Abschnitten der Finnen innerhalb der Öffnungen geschnitten, die durch Entfernen eines Opfergates und Ätzen der freiliegenden Abschnitte der Finnen gebildet werden. Durch so ein Vorgehen werden die Schnittbereiche der Finnen bezüglich des später gebildeten funktionalen Gates 500 ausgerichtet, insbesondere durch ein Entfernen des Opfergates und unter Verwendung der Seitenwandabstandshalter 220 und des ILD 250 als einer Ätzmaske für ein Finnen-Schnittmodul.
  • Mit Bezug auf 12 überlagern die Source/Drain-Kontakte 520 die Source/Drain-Bereiche 230 der Finnen und funktionale Gates überlagern die Finnen zwischen den benachbarten Source/Drain-Bereichen, insbesondere über Kanalbereichen der Finnen. Ein Gatekontakt 530 steht mit dem Gate 500 elektrisch in Kontakt. In der dargestellten Ausführungsform teilen sich die erste Vorrichtung mit vier Finnen und die zweite Vorrichtung mit vier Finnen innerhalb der ersten und zweiten Vorrichtungsbereiche 610, 620 entsprechend ein gemeinsames Gate 500 und einen gemeinsamen Gatekontakt 530 und die erste Vorrichtung mit zwei Finnen und die zweite Vorrichtung mit zwei Finnen innerhalb der dritten und vierten Bereiche 615, 625 teilen sich entsprechend ein gemeinsames Gate 500 und einen gemeinsamen Gatekontakt 530, wobei das Gate und der Gatekontakt für die Vorrichtungen mit vier Finnen sich von dem Gate und dem Gatekontakt für die Vorrichtung mit zwei Finnen unterscheiden. Die Entfernung der Opfergatestapel 210, sowie die Aussparungsätzung der dielektrischen Füllungsschicht 400 ermöglicht, dass jeweils jedes Austauschgate 500 quer zu mehreren Finns angeordnet ist. Insbesondere überlagert ein Gate 500 nicht geschnittene Finnen und ist zu den Finnen-Schnitten innerhalb der dritten und vierten Vorrichtungsbereiche 615, 625 ausgebildet.
  • Weiterhin werden die Finnen 102, die die erste Vorrichtung mit zwei Finnen innerhalb des dritten Vorrichtungsbereiches 615 bilden, gemeinsam als eine Untermenge der Finnen gebildet, die die erste Vorrichtung mit vier Finnen innerhalb des ersten Vorrichtungsbereiches 615 bilden. Die Finnen, die die zweite Vorrichtung mit zwei Finnen innerhalb des vierten Vorrichtungsbereiches 625 bilden, sind gemeinsam mit einer Untermenge der Finnen gebildet, die die zweite Vorrichtung mit vier Finnen innerhalb des zweiten Vorrichtungsbereiches 625 bilden.
  • Das vorliegenden Verfahren zur Bearbeitung ausgewählter Finnen, um Vorrichtungen mit zwei Finnen zu bilden, z.B. wo ein niedrigerer Betriebsstrom erforderlich sein kann, verglichen zu einer Vorrichtung mit vier Finnen, erzeugt einen Finnen-Schnitt, der zu dem später gebildeten Gate ausgerichtet ist, und erfordert keine Ätzbeschädigung an den Finnen z.B. innerhalb der Source/Drain-Bereiche davon.
  • Gemäß einer weiteren Ausführungsform können der Opfergatestapel und die Seitenwandabstandshalter als eine Ätzmaske für ein Finnen-Schnittmodul durch ein erstes Entfernen des ILD 250 und des Source/Drain-Kontaktes 230 verwendet werden, der die Finne überlagert. Mit Bezug auf 13 umfasst eine oberseitige Ansicht einer FinFET-Architektur gemäß der Darstellung in 13 nach der Bildung einer Mehrzahl von Finnen, Opfergatestapeln 210 über den Finnen, Seitenwandabstandshaltern 220 über den Opfergatestapeln 210, Source/Drain-Kontakte und eine planarisierte ILD-Schicht 250 einen Finnen-Schnittbereich 300, der entsprechend einer vierten Ausführungsform festgelegt ist. In der dargestellten Struktur überlagern gegenüberliegende Enden des Finnen-Schnittbereiches 300 gemäß 13 entlang der Längendimension der Finnen 120 die Opfergatestapel 210.
  • Demzufolge legt der Finnen-Schnittbereich 300 gemäß der vorigen Ausführungsform die Eigenschaften der Finnen fest, die zu schneiden sind. Anstelle des Entfernens der Opfergatestapel innerhalb der Gateschnittöffnung und unter Verwendung der Seitenwandabstandshalter und der ILD-Schicht als einer Ätzmaske zum Schneiden der Finnen werden jedoch entsprechend der vorliegenden Ausführungsform die ILD-Schicht und die Source/Drain-Kontakte innerhalb des Finnen-Schnittbereiches 300 entfernt und der Opfergatestapel 210 und die Seitenwandabstandshalter 220 werden als eine Ätzmaske verwendet, um die Finnen zu schneiden.
  • Mit Bezug auf 14 wird eine Maskenschicht 310 (z.B. eine organische Planarisierungsschicht oder OPL) strukturiert und geätzt, um eine Öffnung 315 in der Maskenschicht 310 zu bilden, die zu dem Finnen-Schnittbereich 300 ausgerichtet ist. Die Struktur nach einem selektiven Ätzen innerhalb des Finnen-Schnittbereiches 300 der ILD-Schicht 250, der Source/Drain-Kontakte 230 und der freiliegenden Finnen 102 ist in 15 dargestellt. Wie in der vorangehenden Ausführungsform reicht das Finnen-Schnittätzen in das Substrat 100, so dass der aktive Vorrichtungsbereich 124 und der Unterfinnenbereich 122 der Finnen innerhalb des Finnen-Schnittbereiches 300 entfernt werden, um die Finnen-Schnittöffnungen 330 zu bilden.
  • Mit Bezug auf 16 werden dann die Öffnungen 330, die durch Entfernen der Finnen 102 erzeugt werden, mit einer dielektrischen Füllschicht 400 hinterfüllt. Die Struktur kann planarisiert werden, um die übermäßige dielektrische Füllschicht zu entfernen, z.B. unter Verwendung der Opfergateabdeckung 214 als einer Ätzstoppschicht.
  • Mit Bezug auf 17, wie in der Struktur aus 16 nachfolgend einer Aussparungsätzung der dielektrischen Füllschicht 400 dargestellt ist, wird eine dielektrische Zwischenschicht 250 innerhalb der Aussparungen abgeschieden und die Opfergateabdeckung 214 wird über der Opfergateschicht 212 entfernt. In bestimmten Ausführungsformen umfasst die dielektrische Füllschicht 400 Siliziumnitrid und die dielektrische Zwischenschicht 250 umfasst Siliziumdioxid. In einem solchen Fall verringert ein Austauschen einer Nitridschicht innerhalb einer Oxidschicht vorteilhafterweise die Kapazität der Schaltung. Mit Bezug auf 18 wird die Opfergateschicht 212 entfernt und durch eine funktionale Gatearchitektur 500 ausgetauscht. In der vorliegenden Ausführungsform wird die funktionale Gatearchitektur 500 über Finnen innerhalb und außerhalb des Finnen-Schnittbereiches gebildet.
  • 19 zeigt eine schematische ebene Aufsichtsansicht gemäß einem Layout einer FinFET-Vorrichtungsarchitektur gemäß der vorliegenden Erfindung. Die sich verjüngende Vorrichtungsarchitektur umfasst eine Mehrzahl von Halbleiterfinnen 102, die über einem Halbleitersubstrat (nicht dargestellt) angeordnet sind.
  • In der dargestellten Ausführungsform umfasst jeder der ersten und zweiten Vorrichtungsbereiche 610, 620 eine Vorrichtung mit vier Finnen, z.B. eine PFET-Vorrichtung und eine NFET-Vorrichtung. Die Finnen 120 von den ersten und zweiten Vorrichtungsbereichen erstrecken sich lateral in dritte und vierte Vorrichtungsbereiche 615, 625, wobei ausgewählte Finnen geschnitten wurden und die verbleibenden Finnen Vorrichtungen mit zwei Finnen bilden. Die Finnen-Schnittöffnungen 330 innerhalb der dritten und vierten Vorrichtungsbereiche sind zu den Source/Drain-Kontakten 520 ausgerichtet, die die Source/Drain-Kontakte überlagern.
  • Die hierin beschriebenen Verfahren und Strukturen können in der Herstellung einer Vielzahl von unterschiedlichen Vorrichtungen unter Verwendung von Logikvorrichtungen, Speichervorrichtungen usw. eingesetzt werden und die Vorrichtung kann, jedoch ohne Beschränkung, entweder eine PMOS-Vorrichtung oder eine NMOS-Vorrichtung sein.
  • Die Einzahlformen „eine, einer, eines“ und „der, die, das“ umfassen auch die Mehrzahlformen, sofern dies nicht anderweitig angezeigt wird. Zum Beispiel umfasst folglich ein Bezug auf eine „Finne“ Beispiele mit zwei oder mehr dieser „Finnen“, sofern dies nicht anderweitig angezeigt wird.
  • Sofern es nicht anderweitig angegeben wird, soll kein hierin dargestelltes Verfahren die Schritte durchgeführt in einer speziellen Reihenfolge erfordern. Demgemäß soll keine spezielle Reihenfolge gelten, wenn ein Verfahrensanspruch nicht tatsächlich eine Reihenfolge nennt, die durch dessen Schritte einzuhalten sind, oder nicht anderweitig eine Reihenfolge in den Ansprüchen oder der Beschreibung spezifiziert wird. Jedes einzelne Merkmal oder mehrere Merkmale oder Aspekte in einem Anspruch können mit einem anderen Merkmal oder Aspekt in einem anderen Anspruch oder in anderen Ansprüchen kombiniert oder permutiert werden.
  • Wenn ein Element, z.B. eine Schicht, ein Bereich oder Substrat, als gebildet auf, abgeschieden auf, angeordnet „auf“ oder „über“ einem anderen Element bezeichnet wird, so kann es direkt auf dem anderen Element oder auf dazwischenliegenden Elementen sein. Im Gegensatz, wenn ein Element als „direkt auf“ oder „direkt über“ einem anderen Element bezeichnet wird, so sind keine dazwischenliegenden Elemente vorhanden.
  • Während verschiedene Merkmale, Elemente oder Schritte spezielle Ausführungsformen unter Verwendung von „umfassend“ beschrieben sind, ist zu verstehen, dass alternative Ausführungsformen, die mit „bestehend aus“ oder „im Wesentlichen bestehend aus“ beschrieben werden, auch als darunterfallend anzusehen sind. Demzufolge umfassen z.B. implizierte alternative Ausführungsformen ein Opfergate, das amorphes Silizium umfasst, Ausführungsformen, in denen ein Opfergate im Wesentlichen aus amorphen Silizium besteht, und Ausführungsformen, in denen ein Opfergate aus amorphem Silizium besteht.
  • Es sind verschiedene Modifizierungen und Variationen ersichtlich ohne vom Rahmen und Wesen der Erfindung abzuweichen. Die Erfindung wird lediglich durch die beiliegenden Ansprüche und deren Äquivalente bestimmt, da Modifizierungen, Kombinationen, Unterkombinationen und Variationen der beschriebenen Ausführungsformen in den Rahmen und des Wesens der Erfindung fallen.

Claims (16)

  1. Vorrichtung, umfassend: eine Mehrzahl von Finnen, die über einem Halbleitersubstrat angeordnet sind, wobei die Finnen Source/Drain-Bereiche und Kanalbereiche umfassen, die sich zwischen den Source/Drain-Bereichen erstrecken; Source/Drain-Kontakte, die über den Source/Drain-Bereichen angeordnet sind, eine dielektrische Zwischenschicht, die über den Source/Drain-Kontakten angeordnet ist; und einen funktionalen Gatestapel, der über den Kanalbereichen angeordnet ist, wobei wenigstens einer der Mehrzahl von Finnen einen Schnittbereich und eine dielektrische Füllschicht umfasst, die innerhalb des Schnittbereiches angeordnet ist, wobei ein Paar von gegenüberliegenden Kanten der dielektrischen Füllschicht mit Kanten von (i) einem der funktionalen Gatestapel oder (ii) einem der Source/Drain-Kontakte ausgerichtet ist.
  2. Vorrichtung nach Anspruch 1, wobei der eine der funktionalen Gatestapel die dielektrische Füllschicht direkt überlagert.
  3. Vorrichtung nach Anspruch 1, wobei die dielektrische Füllschicht Siliziumdioxid umfasst.
  4. Vorrichtung nach Anspruch 1, wobei das Paar von gegenüberliegenden Kanten senkrecht zu einer Längenrichtung von dem wenigstens einem der Mehrzahl von Finnen orientiert ist.
  5. Vorrichtung nach Anspruch 1, ferner umfassend eine Abstandshalterschicht, die über Seitenwänden der dielektrischen Zwischenschicht angeordnet ist, wobei die funktionalen Gatestapel die Abstandshalterschicht direkt überlagern.
  6. Vorrichtung nach Anspruch 5, wobei die Abstandshalterschicht Siliziumnitrid umfasst.
  7. Vorrichtung nach Anspruch 1, wobei sich die dielektrische Füllschicht durch die gesamte Dicke des einen der wenigstens einen Finne erstreckt.
  8. Vorrichtung nach Anspruch 1, wobei die Mehrzahl von Finnen eine erste Mehrzahl von Finnen innerhalb eines ersten Bereiches des Halbleitersubstrats und eine zweite Mehrzahl von Finnen mit einem zweiten Bereich des Substrats umfasst, und wenigstens einer aus der zweiten Mehrzahl von Finnen den Schnittbereich umfasst.
  9. Vorrichtung nach Anspruch 1, wobei die Mehrzahl von Finnen eine erste Mehrzahl von Finnen innerhalb eines ersten Bereiches des Halbleitersubstrats und eine zweite Mehrzahl von Finnen mit einem zweiten Bereich des Substrats umfasst, und wenigstens einer aus der zweiten Mehrzahl von Finnen sich in den ersten Bereich erstreckt.
  10. Verfahren zum Bilden einer Vorrichtung, umfassend: ein Bilden einer Mehrzahl von Finnen über einem Halbleitersubstrat; ein Bilden einer Mehrzahl von Opfergatestapeln über den Finnen; ein Bilden einer Abstandshalterschicht über Seitenwänden der Opfergatestapel; ein Bilden von Source/Drain-Kontakten über freiliegenden Abschnitten der Finnen zwischen benachbarten Abstandshalterschichten; ein Bilden einer dielektrischen Zwischenschicht über den Source/Drain-Kontakten zwischen benachbarten Abstandshalterschichten; ein Bilden einer Maskenschicht über der dielektrischen Zwischenschicht und über den Opfergatestapeln; ein Bilden einer Öffnung in der Maskenschicht, die einen Finnen-Schnittbereich festlegt, und, unter Verwendung der Maskenschicht als einer Ätzmaske, ein selektives Ätzen von (i) der Opfergatestapel innerhalb des Finnen-Schnittbereiches oder (ii) der dielektrischen Zwischenschicht und der Source/Drain-Kontakte innerhalb des Finnen-Schnittbereiches, um die Finnen innerhalb des Finnen-Schnittbereiches freizulegen; ein Ätzen der freiliegenden Finnen, um Finnen-Schnittöffnungen zu bilden; und ein Bilden einer dielektrischen Füllschicht innerhalb der Finnen-Schnittöffnungen.
  11. Verfahren nach Anspruch 10, wobei das Ätzen der freiliegenden Finnen ein vollständiges Entfernen der freiliegenden Finnen umfasst und ferner ein Entfernen eines Abschnitts des Halbleitersubstrats innerhalb des Finnen-Schnittbereiches umfasst.
  12. Verfahren nach Anspruch 10, ferner umfassend ein Aussparen der dielektrischen Füllschicht, um erste Öffnungen zu bilden, wobei sich eine oberseitige Oberfläche der ausgesparten dielektrischen Füllschicht über einer oberen Oberfläche der Finnen befindet.
  13. Verfahren nach Anspruch 12, ferner umfassend ein Entfernen der Opfergatestapel, um zweite Öffnungen zu bilden.
  14. Verfahren nach Anspruch 13, ferner umfassend ein Bilden eines funktionalen Gatestapels innerhalb der ersten und zweiten Öffnungen.
  15. Verfahren nach Anspruch 14, wobei der funktionale Gatestapel innerhalb der ersten Öffnung direkt über der dielektrischen Füllschicht gebildet ist und der funktionale Gatestapel innerhalb der zweiten Öffnungen direkt über den Finnen gebildet ist.
  16. Verfahren zum Bilden einer Vorrichtung, umfassend: ein Bilden von einer Mehrzahl von Finnen über einem Halbleitersubstrat; ein Bilden einer Mehrzahl von Opfergatestapeln über den Finnen; ein Bilden einer Abstandshalterschicht über Seitenwänden der Opfergatestapel; ein Bilden von epitaktischen Source/Drain-Kontakten über freiliegenden Abschnitten der Finnen zwischen benachbarten Abstandshalterschichten; ein Bilden einer dielektrischen Zwischenschicht über den Source/Drain-Kontakten zwischen benachbarten Abstandshalterschichten; ein Bilden einer Maskenschicht über der dielektrischen Zwischenschicht und über den Opfergatestapeln; ein Bilden einer Öffnung in der Maskenschicht, die einen Finnen-Schnittbereich festlegt, und, unter Verwendung der Maskenschicht als einer Ätzmaske, ein Ätzen von (i) der Opfergatestapel innerhalb des Finnen-Schnittbereiches oder (ii) der dielektrischen Zwischenschicht und der epitaktischen Source/Drain-Kontakte innerhalb des Finnen-Schnittbereiches, um die Finnen innerhalb des Finnen-Schnittbereiches freizulegen; ein Ätzen der freiliegenden Finnen, um Finnen-Schnittöffnungen zu bilden; und ein Bilden einer dielektrischen Füllschicht innerhalb der Finnen-Schnittöffnungen.
DE102019201057.1A 2018-02-20 2019-01-29 FinFet-Halbleitervorrichtung mit Schnittbereichen in Finnen und Verfahren mit Durchführen von Finnen-Schnitt-Ätz-Prozessen Active DE102019201057B8 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/899,508 2018-02-20
US15/899,508 US10916478B2 (en) 2018-02-20 2018-02-20 Methods of performing fin cut etch processes for FinFET semiconductor devices

Publications (3)

Publication Number Publication Date
DE102019201057A1 true DE102019201057A1 (de) 2019-08-22
DE102019201057B4 DE102019201057B4 (de) 2022-03-03
DE102019201057B8 DE102019201057B8 (de) 2022-06-09

Family

ID=67482315

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019201057.1A Active DE102019201057B8 (de) 2018-02-20 2019-01-29 FinFet-Halbleitervorrichtung mit Schnittbereichen in Finnen und Verfahren mit Durchführen von Finnen-Schnitt-Ätz-Prozessen

Country Status (4)

Country Link
US (2) US10916478B2 (de)
CN (1) CN110176431B (de)
DE (1) DE102019201057B8 (de)
TW (1) TWI681562B (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117727761A (zh) 2019-08-20 2024-03-19 联华电子股份有限公司 半导体装置
JP2021044399A (ja) * 2019-09-11 2021-03-18 キオクシア株式会社 半導体装置およびその製造方法
US11916134B2 (en) * 2020-01-02 2024-02-27 Nexgen Power Systems, Inc. Regrowth uniformity in GaN vertical devices
US11742347B2 (en) * 2020-07-31 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin end isolation structure for semiconductor devices
US11522005B1 (en) * 2021-08-18 2022-12-06 Omnivision Technologies, Inc. Trench formation methods

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8003466B2 (en) 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
US8502316B2 (en) * 2010-02-11 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned two-step STI formation through dummy poly removal
US8722501B2 (en) * 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8735991B2 (en) * 2011-12-01 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. High gate density devices and methods
US8569152B1 (en) 2012-06-04 2013-10-29 International Business Machines Corporation Cut-very-last dual-epi flow
CN103515215B (zh) * 2012-06-28 2016-06-29 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应管制作方法
US8609510B1 (en) * 2012-09-21 2013-12-17 Globalfoundries Inc. Replacement metal gate diffusion break formation
US20140103452A1 (en) 2012-10-15 2014-04-17 Marvell World Trade Ltd. Isolation components for transistors formed on fin features of semiconductor substrates
US9082851B2 (en) * 2013-11-22 2015-07-14 International Business Machines Corporation FinFET having suppressed leakage current
US9412822B2 (en) * 2014-03-07 2016-08-09 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9871121B2 (en) * 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
US9245883B1 (en) * 2014-09-30 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
KR102264656B1 (ko) 2014-10-17 2021-06-14 삼성전자주식회사 게이트 코어들 및 핀 액티브 코어를 포함하는 반도체 소자 및 그 제조 방법
US9362181B1 (en) * 2014-12-05 2016-06-07 Globalfoundries Inc. Methods of forming diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
KR102259917B1 (ko) * 2015-02-23 2021-06-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9391074B1 (en) * 2015-04-21 2016-07-12 International Business Machines Corporation Structure for FinFET fins
US9385218B1 (en) * 2015-04-23 2016-07-05 International Business Machines Corporation Method and structure for forming dielectric isolated FinFET with improved source/drain epitaxy
KR102373622B1 (ko) * 2015-05-11 2022-03-11 삼성전자주식회사 반도체 장치
WO2017027224A1 (en) 2015-08-07 2017-02-16 Tokyo Electron Limited Method of patterning without dummy gates
US9660022B2 (en) * 2015-08-20 2017-05-23 United Microelectronics Corp. Semiconductive device with a single diffusion break and method of fabricating the same
KR102323943B1 (ko) * 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9870953B2 (en) * 2015-10-26 2018-01-16 International Business Machines Corporation System on chip material co-integration
US9412616B1 (en) 2015-11-16 2016-08-09 Globalfoundries Inc. Methods of forming single and double diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
US9431486B1 (en) * 2015-11-30 2016-08-30 International Business Machines Corporation Channel strain and controlling lateral epitaxial growth of the source and drain in FinFET devices
US9761495B1 (en) * 2016-02-23 2017-09-12 Globalfoundries Inc. Methods of performing concurrent fin and gate cut etch processes for FinFET semiconductor devices and the resulting devices
US9704752B1 (en) * 2016-02-26 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9754792B1 (en) * 2016-02-29 2017-09-05 Globalfoundries Inc. Fin cutting process for manufacturing FinFET semiconductor devices
US9679985B1 (en) * 2016-06-20 2017-06-13 Globalfoundries Inc. Devices and methods of improving device performance through gate cut last process
TWI691076B (zh) * 2016-08-03 2020-04-11 聯華電子股份有限公司 半導體結構及其製作方法
US9634138B1 (en) * 2016-08-24 2017-04-25 Qualcomm Incorporated Field-effect transistor (FET) devices employing adjacent asymmetric active gate / dummy gate width layout
US9818875B1 (en) * 2016-10-17 2017-11-14 International Business Machines Corporation Approach to minimization of strain loss in strained fin field effect transistors
US9721848B1 (en) * 2016-10-28 2017-08-01 International Business Machines Corporation Cutting fins and gates in CMOS devices
US9818876B1 (en) * 2016-11-11 2017-11-14 Globalfoundries Inc. Method for fabricating a finFET metallization architecture using a self-aligned contact etch
US10050107B1 (en) * 2017-02-13 2018-08-14 International Business Machines Corporation Nanosheet transistors on bulk material
US9818836B1 (en) * 2017-04-13 2017-11-14 Globalfoundries Inc. Gate cut method for replacement metal gate integration

Also Published As

Publication number Publication date
CN110176431A (zh) 2019-08-27
DE102019201057B8 (de) 2022-06-09
DE102019201057B4 (de) 2022-03-03
TWI681562B (zh) 2020-01-01
US10916478B2 (en) 2021-02-09
US20210183709A1 (en) 2021-06-17
US20190259670A1 (en) 2019-08-22
TW201935685A (zh) 2019-09-01
US11469146B2 (en) 2022-10-11
CN110176431B (zh) 2023-08-22

Similar Documents

Publication Publication Date Title
DE102018202897B4 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102017114427B4 (de) Verfahren zur Herstellung von Trennelementen für Halbleiterstrukturen
DE102019201057B4 (de) FinFet-Halbleitervorrichtung mit Schnittbereichen in Finnen und Verfahren mit Durchführen von Finnen-Schnitt-Ätz-Prozessen
DE112013001404B4 (de) Verfahren zum Verhindern eines Kurzschließens von benachbarten Einheiten
DE102020103710A1 (de) Rückseitenkontakte für Halbleitervorrichtungen
DE112004002641B4 (de) Verfahren zur Herstellung eines verformten FinFET-Kanals
DE102017128255B4 (de) Halbleitervorrichtung und Verfahren
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102019116606B4 (de) Multi-gate-vorrichtung und zugehörige verfahren
DE102019204737B4 (de) Hybrid-Gate-Schnitt
DE102019118385A1 (de) Halbleitervorrichtung und Verfahren
DE102019102135B4 (de) Verfahren zum herstellen einer halbleitervorrichtung
DE102018124749A1 (de) Strukturen und Verfahren zur Rauschisolation in Halbleitervorrichtungen
DE102019206553A1 (de) Halbleitervorrichtung mit verbesserter Gate-Source/Drain-Metallisierungsisolation
DE102017124226A1 (de) Halbleitervorrichtung und ihr herstellungsverfahren
DE102019113052A1 (de) Halbleiterbauelement und verfahren
DE102021100720A1 (de) Kontakte für halbleitervorrichtungen und verfahren zu deren herstellung
DE102019209318B4 (de) Halbleitervorrichtung mit integrierter Einzeldiffusionsunterbrechung und Herstellungsverfahren hierfür
DE102019122443A1 (de) Transistoren mit Halbleiter-Stapelschichten als Kanäle
DE102017123359B4 (de) Finnen-feldeffekttransistor-bauelement und verfahren
DE102020105435B4 (de) Halbleitervorrichtung und Verfahren
DE112021005901T5 (de) Vertikaler rekonfigurierbarer feldeffekttransistor
DE102017127205A1 (de) Halbleiter-bauelement und verfahren
DE112021005857T5 (de) Umhüllende kontakte mit örtlich begrenztem metallsilicid
DE102021113537A1 (de) Transistor-gate-kontakte und verfahren zu deren bildung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R083 Amendment of/additions to inventor(s)
R020 Patent grant now final