DE102019123272B4 - Verbindungsstruktur und Verfahren zum Bilden derselben - Google Patents

Verbindungsstruktur und Verfahren zum Bilden derselben Download PDF

Info

Publication number
DE102019123272B4
DE102019123272B4 DE102019123272.4A DE102019123272A DE102019123272B4 DE 102019123272 B4 DE102019123272 B4 DE 102019123272B4 DE 102019123272 A DE102019123272 A DE 102019123272A DE 102019123272 B4 DE102019123272 B4 DE 102019123272B4
Authority
DE
Germany
Prior art keywords
layer
stop layer
over
conductive pads
pads
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102019123272.4A
Other languages
English (en)
Other versions
DE102019123272A1 (de
Inventor
Hsien-Wei Chen
Jie Chen
Ming-Fa Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019123272A1 publication Critical patent/DE102019123272A1/de
Application granted granted Critical
Publication of DE102019123272B4 publication Critical patent/DE102019123272B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • H01L21/187Joining of semiconductor bodies for junction formation by direct bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05546Dual damascene structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08121Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the connected bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0951Function
    • H01L2224/09515Bonding areas having different functions
    • H01L2224/09517Bonding areas having different functions including bonding areas providing primarily mechanical support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80099Ambient temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/802Applying energy for connecting
    • H01L2224/80201Compression bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80906Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3735Laminates or multilayers, e.g. direct bond copper ceramic substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Vorrichtung (100), aufweisend:eine Verbindungsstruktur (108) über einem Substrat (102);mehrere erste leitfähige Pads (118) über und verbunden mit der Verbindungsstruktur (108);eine Planarisierungsstoppschicht (120), die sich über den Seitenwänden und oberen Flächen der ersten leitfähigen Pads (118) der mehreren ersten leitfähigen Pads (118) erstreckt;eine Oberflächendielektrikumschicht (126), die sich über der Planarisierungsstoppschicht (120) erstreckt; undmehrere erste Bondingpads (128) innerhalb der Oberflächendielektrikumschicht (126), die mit den mehreren ersten leitfähigen Pads (118) verbunden sind;mehrere zweite leitfähige Pads (118) über der Verbindungsstruktur (108) und ferner aufweisend mehrere zweite Bondingpads (133) innerhalb der Oberflächendielektrikumschicht (126), die mit den mehreren zweiten leitfähigen Pads (118) verbunden sind, wobei die zweiten leitfähigen Pads (118) von der Verbindungsstruktur (108) isoliert sind.

Description

  • ALLGEMEINER STAND DER TECHNIK
  • In Wafer-Wafer-Verbindungstechnologie wurden verschiedene Verfahren entwickelt, um zwei Package-Komponenten (wie etwa Wafer) miteinander zu verbinden. Einige Waferverbindungsverfahren umfassen Fusionsverbindung, eutektische Verbindung, Direktmetallverbindung, Hybridverbindung und dergleichen. In der Fusionsverbindung wird eine Oxidfläche eines Wafers mit einer Oxidfläche oder einer Siliziumfläche eines anderen Wafers verbunden. In der eutektischen Verbindung werden zwei eutektische Materialien zusammen platziert und ein hoher Druck und eine hohe Temperatur werden aufgebracht. Die eutektischen Materialien werden so geschmolzen. Wenn die geschmolzenen eutektischen Materialien sich verfestigen, verbinden sich die Wafer. In der Metall-Metall-Direktverbindung werden zwei Metallpads bei erhöhter Temperatur zusammengepresst und die Zwischendiffusion der Metallpads führt zu einer Verbindung der Metallpads. Bei Hybridverbindung werden die Metallpads beiden Wafers miteinander durch Metall-Metall-Direktverbindung verbunden, und eine Oxidfläche von einem der beiden Wafer wird mit einer Oxidfläche oder einer Siliziumfläche des anderen Wafers verbunden. Aus der Druckschrift US 2018/0226289 A1 sind eine Halbleitervorrichtung sowie ein Verfahren zu deren Herstellung bekannt. Aus der US 2019/0244896 A1 ist ebenfalls eine Halbleitervorrichtung bekannt.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung sind am besten über die folgende ausführliche Beschreibung zu verstehen, wenn diese zusammen mit den beiliegenden Figuren gelesen wird. Es wird angemerkt, dass dem Standardverfahren der Branche entsprechend verschiedene Eigenschaften nicht maßstabsgetreu gezeichnet sind. Die Abmessungen der verschiedenen Eigenschaften können tatsächlich willkürlich vergrößert oder verkleinert werden, um die Erklärung klarer zu machen.
    • 1-11 illustrieren Querschnittsansichten von Zwischenschritten bei einem Ablauf zum Bilden einer Vorrichtungsstruktur nach einigen Ausführungsformen.
    • 12 illustriert eine Querschnittsansicht eines Zwischenschritts in einem Ablauf zum Bilden einer anderen Vorrichtungsstruktur nach einigen Ausführungsformen.
    • 13 bis 17 illustrieren Querschnittsansichten von Zwischenschritten in einem Ablauf zum Bilden einer anderen Vorrichtungsstruktur nach einigen Ausführungsformen.
    • 18 bis 21 illustrieren Querschnittsansichten von Zwischenschritten bei einem Ablauf zum Bilden einer anderen Vorrichtungsstruktur nach einigen Ausführungsformen.
    • 22 illustriert eine Querschnittsansicht eines Zwischenschritts in einem Ablauf zum Bilden eines Vorrichtungspackages nach einigen Ausführungsformen.
    • 23 illustriert eine Querschnittsansicht eines Zwischenschritts in einem Ablauf zum Bilden eines anderen Vorrichtungspackages nach einigen Ausführungsformen.
    • 24 bis 28 illustrieren Querschnittsansichten von Zwischenschritten in einem Ablauf zum Bilden eines Package, nach einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die Erfindung betrifft eine Vorrichtung, ein Verfahren und eine Vorrichtung mit den Merkmalen der unabhängigen Ansprüche. Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Funktionen der Erfindung bereit. Spezifische Beispiele von Komponenten und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sind nicht als einschränkend vorgesehen. Beispielsweise kann das Bilden eines ersten Merkmals oder eines zweiten Merkmals in der folgenden Beschreibung Ausführungsformen enthalten, bei denen die ersten und zweiten Merkmale in direktem Kontakt ausgebildet sind, und sie kann außerdem Ausführungsformen enthalten, in denen weitere Merkmale zwischen dem ersten und zweiten Merkmal ausgebildet werden können, sodass die ersten und zweiten Merkmale nicht in direktem Kontakt stehen müssen. Weiterhin kann die vorliegende Offenbarung Referenzziffern und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und diktiert nicht für sich eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Ferner können räumlich relative Begriffe wie „unter“, „darunter“, „unterer“, „über“, „oberer“ und dergleichen hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Figuren illustriert zu beschreiben. Die räumlich relativen Begriffe sollten zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in Verwendung oder Betrieb umfassen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die räumlich relativen Bezeichner, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden.
  • Eine Verbindungsstruktur und ein Verfahren werden nach einigen Ausführungsformen bereitgestellt. Eine Oberflächendielektrikumschicht wird über einer Verbindungsstruktur gebildet und Verbindungspads werden in der Oberflächendielektrikumschicht gebildet. Durch Verwendung einer Planarisierungsstoppschicht, kann die Dicke der Oberflächendielektrikumschicht verringert werden. Dies kann eine erhöhte Wärmeleitung über die Oberflächendielektrikumschicht bereitstellen, die eine verbesserte Vorrichtungsleistung bei höheren Temperaturen. Weiterhin kann die Gesamtgröße der Vorrichtung durch die dünnere Oberflächendielektrikumschicht verringert werden.
  • 1 bis 12 illustrieren die Querschnittsansichten der Zwischenstadien im Bilden einer Vorrichtungsstruktur 100 nach einigen Ausführungsformen. 1 illustriert ein Substrat 102 und Merkmale, die über dem Substrat 102 gebildet sind, nach einigen Ausführungsformen. Das Substrat 102 kann ein Halbleitersubstrat, wie etwa ein Bulkhalbleiter, ein Halbleiter-auf-Isolator- (SOI) Substrat, ein Halbleiterwafer oder dergleichen sein, das dotiert (z. B. mit einem p- oder einem n-Dotiermittel) oder undotiert sein kann. Allgemein umfasst ein SOI-Substrat eine Schicht eines Halbleitermaterials, das auf einer Isolierungsschicht gebildet ist. Die Isolierungsschicht kann beispielsweise eine Buried-Oxid- (BOX) Schicht, eine Siliziumoxidschicht oder dergleichen sein. Die Isolierungsschicht wird an einem Substrat bereitgestellt, üblicherweise an Silizium oder einem Glassubstrat. Andere Substrate, wie etwa ein mehrlagiges oder Gradienten-Substrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats Silizium; Germanium; einen Verbundhalbleiter einschließlich Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen daraus umfassen.
  • In einigen Ausführungsformen werden das Substrat 102 und die daran gebildeten Merkmale verwendet, um ein Vorrichtungsdie zu bilden. In solchen Ausführungsformen können integrierte Schaltungsvorrichtungen auf der oberen Fläche des Substrats 102 gebildet werden. Beispielhafte integrierte Schaltungsvorrichtungen können komplementäre Metalloxidhalbleiter- (CMOS) Transistoren, Finnen-Feld-Effekt-Transistoren (FinFETs), Widerstände, Kondensatoren, Dioden, dergleichen oder eine Kombination daraus umfassen. Die Einzelheiten der integrierten Schaltungsvorrichtungen sind hierin nicht illustriert. In einigen Ausführungsformen wird das Substrat 102 verwendet, um eine Interposerstruktur zu bilden. In solchen Ausführungsformen werden keine aktiven Vorrichtungen wie Transistoren oder Dioden auf dem Substrat 102 gebildet. Passive Vorrichtungen wie Kondensatoren, Widerstände, Induktoren oder dergleichen können in dem Substrat 102 gebildet sein. Das Substrat 102 kann in einigen Ausführungsformen auch ein dielektrisches Substrat sein, in dem das Substrat 102 Teil einer Interposerstruktur. In einigen Ausführungsformen können Durchkontaktierungen (nicht dargestellt) gebildet werden, die sich durch das Substrat 102 erstrecken, um Bauteile an gegenüberliegenden Seiten des Substrats 102 zu verbinden.
  • In 1 ist eine Dielektrikumschicht 104 über dem Substrat 102 gebildet. Die Dielektrikumschicht 104 kann eine oder mehrere Schichten umfassen, die ein oder mehrere Materialien umfassen. In Ausführungsformen, in denen integrierte Schaltungsvorrichtungen auf dem Substrat 102 gebildet werden, kann die Dielektrikumschicht 104 die Räume zwischen den Gatestapeln der Transistoren (nicht dargestellt) der integrierten Schaltungsvorrichtungen füllen. In einigen Ausführungsformen kann die Dielektrikumschicht 104 eine Zwischenschichtdielektrikum- (Inter-Layer Dielectric; ILD) Schicht sein. Die Dielektrikumschicht 104 kann aus Phosphosilikatglas (PSG), Borosilikatglas (BSG), bordotiertem Phosphosilikatglas (BPSG), fluordotiertem Silikatglas (FSG), Tetraethylorthosilikat (TEOS), dergleichen oder einer Kombination daraus gebildet werden. In einigen Ausführungsformen kann die Dielektrikumschicht 104 eine Schicht umfassen, die aus einem Dielektrikum mit niedrigem k-Wert gebildet ist, das einen k-Wert von weniger als etwa 3,0 aufweist. In einigen Ausführungsformen ist die Dielektrikumschicht 104 unter Verwendung eines Spinbeschichtungsprozesses gebildet, oder unter Verwendung eines Abscheidungsverfahrens wie plasmaverstärkter chemischer Gasphasenabscheidung (PECVD), fließfähiger chemischer Gasphasenabscheidung (FCVD), chemischer Niederdruck-Gasphasenabscheidung (LPCVD) oder dergleichen gebildet.
  • Ferner werden in 1 die Kontaktstecker 106 in der Dielektrikumschicht 104 gebildet. Die Kontaktstecker 106 sind elektrisch mit den integrierten Schaltungsvorrichtungen des Substrats 102 verbunden. Beispielsweise können die Kontaktstecker 106 Kontaktstecker sein, die mit dem Gateelektroden der Transistoren (nicht dargestellt) der integrierten Schaltungsvorrichtungen verbunden sind, und/oder können Source/Drain-Kontaktstecker sein, die elektrisch mit den Source/Drain-Regionen der Transistoren verbunden sind. Nach dem Bilden der Dielektrikumschicht 104 werden Öffnungen für die Kontaktstecker 106 durch die Dielektrikumschicht 104 gebildet. Die Öffnungen können unter Verwendung von annehmbaren Photolithographie- und Ätztechniken gebildet werden. Beispielsweise kann ein Photoresist über der Dielektrikumschicht gebildet und strukturiert werden und die Öffnungen in der Dielektrikumschicht 104, die durch das Ätzen der Dielektrikumschicht 104 unter Verwendung des strukturierten Photoresist als eine Ätzmaske gebildet wurde. Die Dielektrikumschicht 104 kann unter Verwendung eines geeigneten Nassätzprozesses, eines Trockenätzprozesses oder einer Kombination daraus geätzt werden. In einigen Ausführungsformen kann eine Auskleidung, wie etwa eine Diffusionsbarriereschicht, eine Klebeschicht oder dergleichen in den Öffnungen gebildet werden und ein leitfähiges Material kann in den Öffnungen über der Auskleidung. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid, dergleichen oder eine Kombination daraus umfassen. Das leitfähige Material kann Kobalt, Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Aluminium, Nickel, dergleichen oder einer Kombination daraus umfassen. Nach dem Bilden des leitfähigen Materials kann ein Planarisierungsprozess, wie etwa ein Schleifprozess, ein chemisch-mechanischer Politur- (CMP) Prozess oder dergleichen durchgeführt werden, um überschüssiges Material von einer Fläche der Dielektrikumschicht 104 zu entfernen. Die verbleibende Auskleidung und das leitfähige Material bilden so den Kontaktstecker 106.
  • In 2 wird nach einigen Ausführungsformen eine Verbindungsstruktur 108 über dem Kontaktstecker 106 und der Dielektrikumschicht 104 gebildet. Die Verbindungsstruktur 108 stellt Routing und elektrische Verbindungen zwischen Vorrichtungen zur Verfügung, die in dem Substrat 102 geformt sind, und eine Umverteilungsstruktur sein können. Die Verbindungsstruktur 108 kann mehrere Isolierungsschichten 110 umfassen, die Intermetalldielektrikum- (IMD) Schichten sein können. Jede der Isolierungsschichten 110 umfasst eine oder mehrere Metallleitungen 112 und/oder Durchkontaktierungen 113, die darin gebildet sind. Die Metallleitungen 112 und Durchkontaktierungen 113 können durch den Kontaktstecker 106 elektrisch mit den aktiven und/oder passiven Vorrichtungen des Substrats 102 verbunden sein. Die Metallleitungen 112 können beispielsweise Umverteilungsschichten sein.
  • In einigen Ausführungsformen können die Isolierungsschichten 110 aus einem Dielektrikum mit niedrigem k-Wert gebildet sein, das einen k-Wert von weniger als etwa 3,0 aufweist. Die Isolierungsschichten 110 können aus einem Dielektrikum mit extrem niedrigen k-Wert (ELF) gebildet sein, das einen k-Wert von weniger als etwa 2,5 aufweist. In einigen Ausführungsformen können die Isolierungsschichten 110 aus einem sauerstoffhaltigen und/oder kohlenstoffhaltigen Dielektrikum mit niedrigem k-Wert, Sauerstoff-SilsesQuioxane (HSQ), MethylSilsesQuioxane (MSQ), dergleichen oder einer Kombination daraus gebildet sein. In einigen Ausführungsformen werden einige oder alle der Isolierungsschichten 110 aus Dielektrika ohne niedrigen k-Wert gebildet, wie etwa Siliziumkarbid (SiC), Siliziumkarbonitrid (SiCN), Siliziumoxykarbonitrid (SiOCN) oder dergleichen. In einigen Ausführungsformen werden Ätzstoppschichten (nicht dargestellt), die aus Siliziumkarbid, Siliziumnitrid oder dergleichen gebildet sein können, zwischen den Isolierungsschichten 110 gebildet. In einigen Ausführungsformen werden die IMD-Schichten 110 aus einem porösen Material wie SiOCN, SiCN, SiOC, SiOCH oder dergleichen, und kann durch Spin-On-Beschichtung oder einen Abscheidungsprozess wie plasmaverstärkte chemische Gasphasenabscheidung (PECVD), CVD, PVD oder dergleichen gebildet werden. In einigen Ausführungsformen kann die Verbindungsstruktur 108 eine oder mehrere Typen von Schichten umfassen, wie etwa Diffusionsbarriereschichten (nicht dargestellt).
  • In einigen Ausführungsformen kann die Verbindungsstruktur 108 unter Verwendung eines Single- und/oder eines Dual-Damascene-Prozesses, eines Durchkontaktierung-zuerst-Prozesses oder eines Metall-zuerst-Prozesses gebildet werden. In einer Ausführungsform wird eine Isolierungsschicht 110 gebildet und Öffnungen (nicht dargestellt) werden darin unter Verwendung akzeptabler Photolithographie- und Ätztechniken gebildet. Diffusionsbarriereschichten (nicht dargestellt) könne n in den Öffnungen gebildet werden und können ein Material wie TaN, Ta, TiN, Ti, CoW oder dergleichen umfassen, und können in den Öffnungen durch einen Abscheidungsprozess wie CVD, ALD oder dergleichen gebildet werden. Ein leitfähiges Material kann in den Öffnungen aus Kupfer, Aluminium, Nickel, Wolfram, Kobalt, Silber, Kombinationen daraus oder dergleichen gebildet werden, und kann über den Diffusionsbarriereschichten in den Öffnungen durch einen elektrochemischen Plattierungsprozess, CVD, ALD, PVD, dergleichen oder einer Kombination daraus. Nach dem Bilden des leitfähigen Materials kann überschüssiges leitfähiges Material beispielsweise unter Verwendung eines Planarisierungsprozesses wie CMP entfernt werden, was Metallleitungen 112 in der Öffnungen der untersten IMD-Schicht 110 hinterlässt. Der Prozess kann dann wiederholt werden, um weitere Isolierungsschichten 110 und Metallleitungen 112 und Durchkontaktierungen 113 darin zu bilden. In einigen Ausführungsformen können die oberste Isolierungsschicht 110 und die darin gebildeten Metallleitungen 112 mit einer Dicke von mehr als einer Dicke der anderen Isolierungsschichten 110 der Verbindungsstruktur 108 gebildet werden. In einigen Ausführungsformen sind eine oder mehrere der obersten Metallleitungen 112 Dummyleitungen, die elektrisch von dem Substrat 102 isoliert sind.
  • In 3 wird eine Passivierungsschicht 114 über der Verbindungsstruktur 108 gebildet und eine oder mehrere Öffnungen 116 werden in der Passivierungsschicht 114 gebildet. Die Passivierungsschicht 114 kann eine oder mehrere Schichten aus einem oder mehreren Materialien umfassen. Beispielsweise kann die Passivierungsschicht 114 eine oder mehrere Schichten aus Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid, dergleichen oder eine Kombination daraus umfassen. Die Passivierungsschicht 114 kann in einem geeigneten Prozess gebildet werden, wie etwa CVD, PECVD, PVD, ALD, dergleichen oder einer Kombination daraus. Die Passivierungsschicht 114 kann mit einer Dicke von mehr als einer Dicke der obersten Isolierungsschicht 110 gebildet werden.
  • Die Öffnungen 116 in der Passivierungsschicht 114 können unter Verwendung eines geeigneten photolithographischen und Ätzprozesses gebildet werden. Beispielsweise kann ein Photoresist über der Passivierungsschicht 114 gebildet und strukturiert werden und dann wird der strukturierte Photoresist als eine Ätzmaske verwendet. Die Passivierungsschicht 114 kann durch Ätzen unter Verwendung eines geeigneten Nassätzprozesses und/oder Trockenätzprozesses gebildet werden. Die Öffnungen 116 werden gebildet, um Abschnitte der Metallschicht 112 offenzulegen (z. B. die oberste Metallschicht 112 der Verbindungsstruktur 108) für elektrische Verbindung.
  • In 4 werden leitfähige Pads 118 nach einigen Ausführungsformen über der Passivierungsschicht 114 gebildet. Ein oder mehrere leitfähige Pads 118 können gebildet werden, sodass sie sich durch die Öffnungen 116 erstrecken und eine elektrische Verbindung mit einer oder mehreren der obersten Metallleitungen 112 der Verbindungsstruktur 108 herstellen. In einigen Ausführungsformen werden die leitfähigen Pads 118 gebildet, indem zuerst eine Seed-Schicht über der Passivierungsschicht 114 und den Öffnungen 116 gebildet wird. In einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, die eine oder mehr Schichten umfasst, die aus verschiedenen Materialien gebildet werden können. Die Seed-Schicht kann unter Verwendung von beispielsweise physische Gasphasenabscheidung (PVD) oder dergleichen gebildet werden. Ein Photoresist wird aus der Seed-Schicht gebildet und strukturiert und leitfähiges Material in den Öffnungen des Photoresist und auf den offenliegenden Abschnitten der Seed-Schicht gebildet. In einigen Ausführungsformen kann das leitfähige Material durch einen Plattierungsprozess gebildet werden, wie etwa durch Verwendung eines Elektroplattierungs- oder nichtelektrischen Plattierungsprozesses oder dergleichen. Das leitfähige Material kann ein oder mehr Materialien wie Kupfer, Titan, Wolfram, Gold, Kobalt, Aluminium, dergleichen oder einer Kombination daraus umfassen. Das Photoresist und Abschnitte der Seed-Schicht, an der das leitfähige Material nicht gebildet wird, werden beispielsweise unter Verwendung eines geeigneten Aschen- oder Stripping-Prozesses entfernt, wie etwa unter Verwendung eines Sauerstoffplasmas oder dergleichen. Wenn der Photoresist entfernt ist, können verbleibende offenliegende Abschnitte der Seed-Schicht unter Verwendung eines annehmbaren Ätzprozesses, wie etwa eines Nassätzprozesses oder eines Trockenätzprozesses entfernt werden. Die verbleibenden Abschnitte der Seed-Schicht und des leitfähigen Materials bilden die leitfähigen Pads 118.
  • In einigen Ausführungsformen können die leitfähigen Pads 118 gebildet werden, indem zuerst eine Deckschicht aus einem leitfähigen Material abgeschieden wird. Beispielsweise können CVD, PVD oder dergleichen verwendet werden, um eine Schicht aus Aluminium über der Passivierungsschicht 114 und den Öffnungen 116 und über der Metallschicht 112 abzuscheiden. Eine Photoresistschicht (nicht getrennt illustriert) kann dann über der Aluminiumschicht gebildet werden und die Aluminiumschicht kann geätzt werden, um die leitfähigen Pads 118 zu bilden. Die leitfähigen Pads 118 können unter Verwendung anderer Techniken in anderen Ausführungsformen gebildet werden, und solche Techniken werden immer als in den Umfang dieser Offenbarung fallend betrachtet.
  • In einigen Ausführungsformen können die leitfähigen Pads 118, die elektrisch mit der Verbindungsstruktur 108 verbunden sind, als Testpads verwendet werden, bevor weitere Verarbeitungsschritte ausgeführt werden. Beispielsweise können die leitfähigen Pads 118 als Teil einer Waferabnahmeprüfung, einer Schaltungsprüfung, einer Known-Good-Die- (KGD) Prüfung oder dergleichen sondiert werden. Die Sondierung kann ausgeführt werden, um die Funktion der aktiven oder passiven Vorrichtungen des Substrats 102 oder der jeweiligen elektrischen Verbindung innerhalb des Substrats 102 oder der Verbindungsstruktur 108 (z. B. der Metallleitungen 112 oder der Durchkontaktierungen 113) zu verifizieren. Die Sondierung kann ausgeführt werden, indem die leitfähigen Pads 118 mit einer Sondennadel (nicht dargestellt) berührt werden. Die Sondennadel kann ein Teil einer Sondenkarte sei, die mehrere Sondennadeln umfasst, die beispielsweise mit Prüfausrüstung verbunden sein können.
  • In einigen Ausführungsformen kann sich das leitfähige Material der leitfähigem Pads 118 von dem leitfähigen Material der Metallleitungen 112 unterscheiden. Beispielsweise können die leitfähige Pads 118 aus Aluminium sein und die Metallleitungen 112 können aus Kupfer sein, wobei auch andere leitfähige Materialien verwendet werden können. In einigen Ausführungsformen können die leitfähigen Pads 118 eine Breite W zwischen etwa 2 µm und etwa 30 µm oder eine Länge (z. B. rechtwinklig zur Breite) zwischen etwa 20 µm und etwa 100 µm aufweisen. In einigen Ausführungsformen können die leitfähigen Pads 118 eine Dicke zwischen etwa 500 nm und etwa 3000 nm aufweisen. In einigen Fällen kann ein dickeres leitfähiges Pad 118 ein geringeres Risiko aufweisen, bei der Sondierung beschädigt zu werden. So können leitfähige Pads 118 eine größere Dicke als die Metallleitungen 112 aufweisen. Um die Schadenswahrscheinlichkeit bei der Sondierung zu verringern können die leitfähigen Pads 118 auch aus einem leitfähigen Material (z. B. Aluminium) gebildet werden, das weniger weich ist als das leitfähige Material (z. B. Kupfer) der Metallleitungen 112. Die in dieser Offenbarung beschriebenen Ausführungsformen können eine größere Dicke der leitfähigen Pads 118 zulassen, die verwendet werden sollen, ohne die allgemeine Dicke der Struktur zu erhöhen (z. B. der Vorrichtungsstruktur 100).
  • Mit Blick auf 5 wird nach einigen Ausführungsformen eine erste Stoppschicht 120 über den leitfähige Pads 118 und der Passivierungsschicht 114 gebildet. In einigen Ausführungsformen kann die erste Stoppschicht 120 als eine Stoppschicht für einen nachfolgenden CMP-Prozess verwendet werden (siehe 7). Die erste Stoppschicht 120 kann ein Dielektrikum wie Siliziumkarbid, Siliziumoxykarbid, Siliziumnitrid, Siliziumoxid, dergleichen oder eine Kombination daraus umfassen. Die erste Stoppschicht 120 kann unter Verwendung eines Prozesses wie CVD, PVD, ALD oder dergleichen gebildet werden. Die erste Stoppschicht 120 wird über den oberen Flächen der leitfähigen Pads 118 abgeschieden und kann konform über den oberen Flächen der Passivierungsschicht 114 und der leitfähigen Pads 118 und über den Seitenwänden der leitfähigen Pads 118 abgeschieden werden. In einigen Ausführungsformen kann die erste Stoppschicht 120 mit einer Dicke T1 gebildet werden, die zwischen etwa 30 nm und etwa 150 nm liegt. Die erste Stoppschicht 120 kann mit einer Dicke gebildet werden, die sich eignet, um den Planarisierungsprozess, der nachfolgend in 7 beschrieben ist, zu unterbrechen oder zu verlangsamen. In einigen Fällen kann eine dickere erste Stoppschicht 120 verwendet werden, um zu vermeiden, die leitfähigen Pads 118 während des nachfolgenden Planarisierungsprozesses offenzulegen. In einigen Ausführungsformen wird die erste Stoppschicht 120 auch als ein Ätzstopp verwendet (siehe z. B. 10 und 16), und die Dicke der ersten Stoppschicht 120 kann so gewählt werden, dass eine ausreichende Dicke der ersten Stoppschicht 120 nach der Planarisierung verbleibt, um als Ätzstopp zu wirken.
  • Mit Blick auf 6 wird eine Dielektrikumschicht 122 über der ersten Stoppschicht 120 gebildet. Die Dielektrikumschicht 122 kann aus einer oder mehr Schichten aus einem oder mehr Dielektrika, wie etwa Siliziumoxid, Siliziumnitrid, SiOCH, SiCH, dergleichen oder einer Kombination daraus gebildet werden. Die Dielektrikumschicht 122 kann in einem Abscheidungsprozess gebildet werden, wie etwa CVD, PECVD, PVD, ALD, dergleichen oder einer Kombination daraus. In einigen Ausführungsformen bestehen die Dielektrikumschicht 122 und die erste Stoppschicht 120 aus unterschiedlichen Dielektrika. Die Dielektrikumschicht 122 kann gebildet werden, um eine Dicke von mehr als einer Dicke der leitfähigen Pads 118 aufzuweisen, sodass das Material der Dielektrikumschicht 122 die leitfähigen Pads 118 lateral umgibt und die Dielektrikumschicht 122 kann planarisiert werden (siehe unten), ohne die leitfähigen Pads 118 offenzulegen.
  • In 7 wird ein Planarisierungsprozess auf die Dielektrikumschicht 122 ausgeführt. Der Planarisierungsprozess kann etwa ein CMP-Prozess sein. Die erste Stoppschicht 120 wird verwendet, um den Planarisierungsprozess in der Nähe den oberen Flächen der leitfähigen Pads 118 zu stoppen oder zu verlangsamen. Wie in 7 gezeigt, kann ein Abschnitt der ersten Stoppschicht 120 über den oberen Flächen der leitfähigen Pads 118 verbleiben, nachdem der Planarisierungsprozess ausgeführt wurde. In einigen Ausführungsformen kann die Dicke T2 der ersten Stoppschicht 120, die auf den leitfähigen Pads 118 verbleibt, zwischen etwa 10 nm und etwa 30 nm liegen, wie etwa zwischen etwa 5 nm und etwa 15 nm. In einigen Ausführungsformen kann das Verhältnis von T1 zu T2 zwischen etwa 3 zu 1 und etwa 50 zu 1 liegen. Die Dicke T2 der verbleibenden ersten Stoppschicht 120 kann ausreichend dick sein, um die leitfähigen Pads 118 zu schützen. In einigen Fällen erlaubt eine geringere Dicke T2 eine geringere Gesamtdistanz zwischen den leitfähigen Pads 118 und der oberen Fläche der Oberflächendielektrikumschicht 126 (siehe z. B. 17), was die Wärmeleitfähigkeit verbessern und die Kapazitätswirkungen in der Endvorrichtung verringern kann. In einigen Ausführungsformen kann ein Abschnitt der ersten Stoppschicht 120 an den leitfähigen Pads 118 verbleiben, um nachfolgend als ein Ätzstopp verwendet zu werden (siehe z. B. 10). In einigen Ausführungsformen kann der Planarisierungsprozess so gesteuert werden, dass die Dicke T2 der verbleibenden ersten Stoppschicht 120 ausreichen kann, um als ein Ätzstopp zu dienen.
  • Mit Blick auf 8 wird eine zweite Stoppschicht 124 über der Dielektrikumschicht 122 und der ersten Stoppschicht 120 gebildet. Die zweite Stoppschicht 124 kann nachfolgend als eine Ätzstoppschicht verwendet werden(siehe 10). In einigen Ausführungsformen ist die zweite Stoppschicht 124 aus demselben Material wie die erste Stoppschicht 120, aber die erste Stoppschicht 120 und die zweite Stoppschicht 124 können in anderen Ausführungsformen aus unterschiedlichen Materialien bestehen. Die zweite Stoppschicht 124 kann ein Material wie Siliziumkarbid, Siliziumoxykarbid, Siliziumnitrid, Siliziumoxid, dergleichen oder eine Kombination daraus umfassen. Die zweite Stoppschicht 124 kann unter Verwendung eines Prozesses wie CVD, PVD, ALD oder dergleichen gebildet werden. In einigen Fällen kann die Verwendung einer zweiten Stoppschicht 124 die Planarität der Fläche der zweiten Stoppschicht 124 und die Planarität von Flächen während nachfolgenden Prozessschritten verbessern. In einigen Ausführungsformen kann die zweite Stoppschicht 124 mit einer Dicke gebildet werden, die zwischen etwa 15 nm und etwa 150 nm liegt wie etwa bei etwa 30 nm. In einigen Ausführungsformen kann die Dicke der zweiten Stoppschicht 124 ausreichen, um als ein Ätzstopp zu wirken (siehe z. B. 10). In einigen Fällen kann eine dickere zweite Stoppschicht 124 die Planarität der Fläche der zweiten Stoppschicht 124 und nachfolgend gebildeter Merkmale verbessern.
  • Mit Blick auf 9 wird eine Oberflächendielektrikumschicht 126 über der zweiten Stoppschicht 124 gebildet. Die Oberflächendielektrikumschicht 126 kann aus einer oder mehr Schichten aus einem oder mehr Dielektrika gebildet werden, und ein siliziumhaltiges Material wie Siliziumoxid, Siliziumoxynitrid, Siliziumnitrid oder dergleichen umfassen. In einigen Ausführungsformen bestehen die Oberflächendielektrikumschicht 126 und die zweite Stoppschicht 124 aus unterschiedlichen Dielektrika. Die Oberflächendielektrikumschicht 126 kann in einem Abscheidungsprozess gebildet werden, wie etwa CVD, PECVD, PVD, ALD, dergleichen oder einer Kombination daraus. In einer Ausführungsform umfasst die Oberflächendielektrikumschicht 126 Siliziumoxid und kann alternativ als ein „Verbindungsoxid“ bezeichnet werden‟
  • In 10 werden nach einigen Ausführungsformen Öffnungen 127 in der Oberflächendielektrikumschicht 126 gebildet. Die Öffnungen 127 können unter Verwendung von annehmbaren Photolithographie- und Ätztechniken gebildet werden. Beispielsweise kann der Photolithographieprozess die Bildung eines Photoresist (nicht dargestellt) über der Oberflächendielektrikumschicht 126, das Strukturieren des Photoresist mit Öffnungen, die den Öffnungen 127 entsprechen, das Erweitern der Padöffnungen 127 durch den Photoresist und in die Oberflächendielektrikumschicht 126, und dann das Entfernen des Photoresist umfassen. Der Photoresist kann ein einschichtiger Photoresist, ein zweischichtiger Photoresist, ein dreischichtiger Photoresist oder dergleichen sein. Der Ätzprozess erfolgt so, dass die Ätzung an der zweiten Stoppschicht 124 endet. Ein weiterer Ätzprozess kann ausgeführt werden, um die Öffnungen 127 durch die zweite Stoppschicht 124 zu erstrecken. In einigen Regionen, in denen die zweite Stoppschicht 124 auf der ersten Stoppschicht 120 liegt, können die Öffnungen 127 durch die zweite Stoppschicht 124 und die erste Stoppschicht 120 erweitert werden. Beispielsweise können sich in Regionen über den leitfähigen Pads 118 die Öffnungen 127 durch die zweite Stoppschicht 124 und die erste Stoppschicht 120 erstrecken, um obere Flächen der leitfähigen Pads 118 offenzulegen. Beispielhafte Öffnungen, die sich durch die zweite Stoppschicht 124 und die erste Stoppschicht 120 erstrecken, sind in 10 als Öffnungen 127A bezeichnet. In einigen Ausführungsformen können die Öffnungen 127 eine Breite zwischen etwa 1 µm und etwa 5 µm aufweisen, wobei jedoch andere Breiten möglich sind. In einigen Ausführungsformen können die Öffnungen 127 ein kegelförmiges Profil aufweisen, wie etwa mit einer unteren Breite zwischen etwa 1 µm und etwa 2 µm und einer oberen Breite zwischen etwa 2 µm und etwa 5 µm. In einigen Fällen kann die Breite der Öffnungen 127A zwischen etwa 10% und etwa 100% der Breite W des leitfähigen Pads 118 liegen. Auf diese Weise kann die Breite der Öffnungen 127A so sein, dass mehrere Öffnungen 127A über einem einzigen leitfähigen Pad 118 gebildet werden können.
  • Mit Blick auf 11 werden nach einigen Ausführungsformen Bondingpads 128 in den Öffnungen 127 gebildet. Die Bondingpads 128 können ähnliche Abmessungen wie die Öffnungen 127 aufweisen, in denen sie gebildet sind, und können eine ähnliche Form aufweisen (z. B. ein kegelförmiges Profil). Die Bondingpads 128 können aus einem leitfähigen Material gebildet sein, das ein Metall oder eine Metalllegierung umfasst, wie etwa Kupfer, Silber, Gold, Wolfram, Kobalt, Aluminium, dergleichen oder einer Kombination daraus. In einigen Ausführungsformen können die Bondingpads 128 und die leitfähigen Pads 118 unterschiedliche leitfähige Materialien sein. Beispielsweise können die Bondingpads 128 Kupfer sein und die leitfähigen Pads 118 können Aluminium sein, wobei jedoch auch andere Materialien möglich sind. In einigen Ausführungsformen umfasst das Bilden der Bondingpads 128 das Abscheiden einer Seed-Schicht (nicht dargestellt) in den Öffnungen 127, was Kupfer, eine Kupferlegierung, Titan oder dergleichen umfassen kann, und dann das Füllen des verbleibenden Bereichs der Öffnungen 127 beispielsweise unter Verwendung eines Plattierungsprozesses, eines elektrolosen Plattierungsprozesses oder dergleichen. Überschüssiges leitfähiges Material und die Seed-Schicht können von der Oberflächendielektrikumschicht 126 unter Verwendung eines Planarisierungsprozesses wie etwa eines CMP-Prozesses entfernt werden. Der Prozess aus 11 stellt einen beispielhaften Prozess dar, der möglicherweise für die Bildung von Bondingpads 128 verwendet wird, und andere Prozesse oder Techniken können in anderen Ausführungsformen verwendet werden, wie etwa ein Damascene-Prozess, ein Dual-Damascene-Prozess oder ein anderer Prozess. Die Bondingpads 128, die in den Öffnungen 127A gebildet werden, können eine elektrische Verbindung mit den leitfähigen Pads 118 bilden und mehrere Bondingpads 128 können eine elektrische Verbindung mit demselben leitfähigen Pad 118 bilden. So kann eine Vorrichtungsstruktur 100 gebildet werden, die Bondingpads 128 aufweist, die elektrisch mit den Vorrichtungen in dem Substrat 102 verbunden sind.
  • Noch immer mit Verweis auf 11 können in einigen Ausführungsformen einige Bondingpads ohne elektrische Verbindung mit den leitfähigen Pads 118 gebildet werden. Bondingpads ohne elektrische Verbindung können etwa „Dummy“-Bondingpads sein, die ungleiche Ladung verringern und die Oberflächenplanarität nach dem Planarisierungsschritt verbessern, der überschüssiges leitfähiges Material entfernt. Durch Verbesserung der Oberflächenplanarität kann eine bessere Verbindung zwischen Oberflächen (siehe 21) erreicht werden. Beispielhafte Dummy-Bondingpads sind in 11 als Bondingpads 128D bezeichnet. Mit Blick auf 12 können in einigen Ausführungsformen leitfähige Dummy-Pads 118 gebildet werden, wobei Beispiele als leitfähige Dummy-Pads 118D bezeichnet werden. Die Bildung leitfähiger Dummy-Pads 118D kann auch Belastungseffekte verringern und die Oberflächenplanarität weiter verbessern. Leitfähige Dummy-Pads 118D können in jeder der hierin beschriebenen Ausführungsformen verwendet werden, einschließlich den nachfolgend beschriebenen. Die leitfähigen Dummy-Pads 118D können, müssen aber nicht elektrisch mit Metallleitungen 112 verbunden sein. Dummy-Bondingpads 128D können in Kontakt mit leitfähigen Dummy-Pads 118D gebildet sein, wie in 12 dargestellt. In einigen Ausführungsformen werden keine Dummy-Bondingpads 118D und/oder leitfähige Dummy-Pads 118D gebildet.
  • Wieder mit Verweis auf 11 kann die Verwendung einer ersten Stoppschicht 120 als Stopp für den Planarisierungsprozess (siehe 7) eine dünnere Oberflächendielektrikumschicht 126 erlauben. Beispielsweise kann die Oberflächendielektrikumschicht 126 mit einer Dicke T3 zwischen etwa 0,5 µm und etwa 8 µm gebildet werden, beispielsweise etwa 1,5 µm oder etwa 6 µm, wobei jedoch auch andere Dicken T3 verwendet werden können. In einigen Fällen könne die hierin beschriebenen Ausführungsformprozesse die Dicke der Oberflächendielektrikumschicht 126 um bis zu 50 % verringern. Durch Verringerung der Dicke der Oberflächendielektrikumschicht 126 kann die Höhe der Bondingpads 128 verringert werden, was den Widerstand der Bondingpads 128 verringern und die elektrische Leistung der Vorrichtung verbessern kann. Weiterhin kann durch Bildung einer dünneren Oberflächendielektrikumschicht 126 wie hierin beschrieben, die kombinierte Dicke aller Dielektrikumschichten über den leitfähigen Pads 118 (z. B. die kombinierte Dicke der Oberflächendielektrikumschicht 126, der ersten Stoppschicht 120 und der zweiten Stoppschicht 124) verringert werden. Die Verringerung der kombinierten Dicke der Dielektrikumschichten auf diese Weise kann die Barriere gegen Wärmeleitfähigkeit (z. B. über die Dielektrikumschichten hinweg) verringern, und die Wärmeleistung der Vorrichtung verbessern. Eine dünnere Oberflächendielektrikumschicht 126 kann ebenfalls unerwünschte Kapazitätseffekte verringern. Die Vorlage einer dünneren Oberflächendielektrikumschicht 126 kann ebenfalls die allgemeine Dicke der Endvorrichtung oder des Package verringern.
  • 13 bis 17 illustrieren Zwischenstadien im Bilden einer Vorrichtungsstruktur 150 nach einigen Ausführungsformen. 13 bis 17 sind Querschnittsansichten einer zweiten Ausführungsform, in der die zweite Stoppschicht 124 ausgelassen ist. Durch Auslassen des Bildens der zweiten Stoppschicht 124 kann die Anzahl der Prozessschritte verringert werden.
  • Mit Blick auf 13 wird eine Struktur dargestellt, die ähnlich wie 6 ist, wobei eine Dielektrikumschicht 122 über der ersten Stoppschicht 120 gebildet wurde. Die erste Stoppschicht 120 kann ähnlich wie die zuvor in 5 beschriebene sein und in einigen Ausführungsformen mit einer Dicke T4 gebildet werden, die zwischen etwa 50 nm und etwa 150 nm liegt, beispielsweise bei etwa 50 nm. Die erste Stoppschicht 120 kann mit einer Dicke gebildet werden, die sich eignet, um den Planarisierungsprozess, der nachfolgend in 14 beschrieben ist, zu unterbrechen oder zu verlangsamen. Die Dielektrikumschicht 122 kann ein ähnliches Material sein, wie das zuvor in 6 beschriebene, und kann in ähnlicher Weise gebildet sein.
  • In 14 wird eine Planarisierungsprozess auf der Dielektrikumschicht 122 unter Verwendung der ersten Stoppschicht 120 ausgeführt. Wie in 14 dargestellt, bleiben Abschnitte der ersten Stoppschicht 120 auf den leitfähigen Pads 118 zurück. In einigen Ausführungsformen kann die Dicke T5 der ersten Stoppschicht 120, die auf den leitfähigen Pads 118 verbleibt, zwischen etwa 10 nm und etwa 50 nm liegen, beispielsweise etwa 30 nm. In der Ausführungsform aus 14 kann die Dicke T5 der verbleibenden ersten Stoppschicht 120 größer als die Dicke T2 der verbleibenden erstem Stoppschicht 120 aus 7 sein, da die erste Stoppschicht 120 aus 14 sowohl als eine Planarisierungsstoppschicht als auch eine Ätzstoppschicht verwendet wird, wie nachfolgend beschrieben in 16.
  • Mit Blick auf 15 wird eine Oberflächendielektrikumschicht 126 über der ersten Stoppschicht 120 gebildet, die ähnlich sein kann wie die Oberflächendielektrikumschicht 126, die zuvor in 9 beschrieben wurde. In 16 werden Öffnungen 127 in der Oberflächendielektrikumschicht 126 gebildet. Die Öffnungen 127 können unter Verwendung von annehmbaren Photolithographie- und Ätztechniken gebildet werden, wie zuvor beschrieben. Die Öffnungen 127 können unter Verwendung der ersten Stoppschicht 120 als ein Ätzstopp gebildet werden. Die Öffnungen 127 können dann durch die erste Stoppschicht 120 erstreckt werden, um die leitfähigen Pads 118 offenzulegen. Auf diese Weise wird die erste Stoppschicht 120 als eine Planarisierungsstoppschicht und eine Ätzstoppschicht gleichermaßen verwendet.
  • Mit Blick auf 17 werden Bondingpads 128 in den Öffnungen 127 gebildet, um die elektrische Verbindung mit den leitfähigen Pads 118 herzustellen. Die Bondingpads 128 können in ähnlicher Weise gebildet werden, wie zuvor beschrieben. Auf diese Weise kann eine Vorrichtungsstruktur 150 unter Verwendung einer einzigen Stoppschicht (der ersten Stoppschicht 120) gebildet werden und daher unter Verwendung von weniger Prozessschritten gebildet werden. Die Vorrichtungsstruktur 150 hat auch weiterhin den Vorteil der dünneren Oberflächendielektrikumschicht 126 wie oben bezüglich 11 beschrieben.
  • 18 bis 21 illustrieren Zwischenstadien im Bilden einer Vorrichtungsstruktur 160 nach einigen Ausführungsformen. 18 bis 21 sind Querschnittsansichten einer dritten Ausführungsform, in der Bondingpaddurchkontaktierungen durch die Dielektrikumschicht 122 und die Passivierungsschicht 114 gebildet werden können, um elektrisch einige Bondingpads 133 mit den Metallschichtleitungen der Verbindungsstruktur 108 zu verbinden. Neben dem Bereitstellen einer weiteren elektrischem Verbindung können die Bondingpaddurchkontaktierungen verbesserte Wärmeleitfähigkeit bereitstellen und damit die Wärmeleistung der Vorrichtung verbessern.
  • Mit Blick auf 18 wird eine Oberflächendielektrikumschicht 126 über der zweiten Stoppschicht 124 gebildet, die ähnlich sein kann wie die Oberflächendielektrikumschicht 126 und die zweite Stoppschicht 124, die zuvor in 9 beschrieben wurde. In einigen Ausführungsformen sind Abschnitte der Dielektrikumschicht 122 durch die erste Stoppschicht 120 und die zweite Stoppschicht 124 umgeben, wie in 18 gezeigt. In 19 werden werte Öffnungen 131A in der Oberflächendielektrikumschicht 126 gebildet. Die ersten Öffnungen 131A können unter Verwendung von annehmbaren Photolithographie- und Ätztechniken gebildet werden, wie zuvor beschrieben. Die ersten Öffnungen 131A können unter Verwendung der zweiten Stoppschicht 124 und/oder der ersten Stoppschicht 120 als Ätzstopps gebildet werden. Die ersten Öffnungen 131A können dann durch die zweite Stoppschicht 124 und/oder die erste Stoppschicht 120 erstreckt werden, um die leitfähigen Pads 118 offenzulegen.
  • Mit Blick auf 20 werden Durchkontaktierungsöffnungen 131B gebildet, die sich durch die Dielektrikumschicht 122 und die Passivierungsschicht 114 erstrecken. Die Durchkontaktierungsöffnungen 131B werden am Boden der Öffnungen 131A gebildet, die sich nicht über den leitfähigen Pads 118 befinden. Die Durchkontaktierungsöffnungen 131B legen die Metallschicht 112 für die elektrische Verbindung offen. Die Durchkontaktierungsöffnungen 131B können unter Verwendung von annehmbaren Photolithographie- und Ätztechniken gebildet werden. Der Photolithographieprozess kann die Bildung eines Photoresist (nicht dargestellt) über der Oberflächendielektrikumschicht 126 und in den ersten Öffnungen 131A, das Strukturieren des Photoresist mit Öffnungen, die den Durchkontaktierungsöffnungen 131B entsprechen, das Erweitern der Durchkontaktierungsöffnungen 131B durch den Photoresist und durch die Passivierungsschicht 114, und dann das Entfernen des Photoresist umfassen. In einigen Ausführungsformen können die Durchkontaktierungsöffnungen 131B eine kleinere Breite aufweisen, die zwischen etwa 1 µm und etwa 3 µm liegt, oder eine Breite, die zwischen etwa 50 % und etwa 100 % der Breite der ersten Öffnungen 131A liegt.
  • Mit Blick auf 21 werden Bondingpads 133A und Durchkontaktierungsbondingpads 133B in den Öffnungen 131A und 131B gebildet, um elektrische Verbindung mit den leitfähigen Pads 118 und den Metallleitungen 112 herzustellen. Die Bondingpads 133A stellen eine elektrische Verbindung mit den leitfähigen Pads 118 her und die Durchkontaktierungsbondingpads 133B stellen eine elektrische Verbindung mit den Metallleitungen 112 her. Die Bondingpads 133A und die Durchkontaktierungsbondingpads 133B können in einer ähnlichen weise gebildet werden, wie die zuvor beschriebenen Bondingpads 128. Auf diese Weise können weitere elektrische Verbindungen von Bondingpads zu der Verbindungsstruktur 108 vorgenommen werden. In einigen Ausführungsformen sind ein oder mehr der Bondingdurchkontaktierungspads 133B möglicherweise nicht elektrisch verbunden und können „Dummy“-Merkmale sein, die verwendet werden, um die Ladung zu verringern und die Planarität zu verbessern. In einigen Ausführungsformen kann ein Dummy-Durchkontaktierungsbondingpad 133B mit Metallschichtleitungen 112 verbunden sein, die von der Verbindungsstruktur 108 isoliert sind. Wie in 21 gezeigt, sind die leitfähigen Pads 118 von der Oberflächendielektrikumschicht 126 durch die erste Stoppschicht 120 und/oder die zweite Stoppschicht 124 getrennt.
  • Mit Blick auf 22 wird das Vorrichtungspackage 1000 nach einigen Ausführungsformen dargestellt als zwei Vorrichtungsstrukturen umfassend, die miteinander verbunden sind. Das Vorrichtungspackage 1000 umfasst eine erste Vorrichtungsstruktur 100 und eine zweite Vorrichtungsstruktur 200, von denen eine oder beide ähnlich wie die Vorrichtungsstruktur 100, 150 oder 160 wie zuvor beschrieben sein können. Die Bondingpads 128 und die Oberflächendielektrikumschicht 126 der ersten Vorrichtungsstruktur 100 sind mit den Bondingpads 228 und der Oberflächendielektrikumschicht 226 der zweiten Vorrichtungsstruktur 200 verbunden. In einigen Ausführungsformen sind die Bondingpads 128 der ersten Vorrichtungsstruktur 100 und die Bondingpads 228 der zweiten Vorrichtungsstruktur 200 aus demselben Material. In einigen Ausführungsformen sind die Oberflächendielektrikumschicht 126 der ersten Vorrichtungsstruktur 100 und die Oberflächendielektrikumschicht 226 der zweiten Vorrichtungsstruktur 200 aus demselben Material.
  • In 22 ist die zweite Vorrichtungsstruktur 200 mit der ersten Vorrichtungsstruktur 100 z. B. unter Verwendung von Direktverbindung oder Hybridverbindung verbunden. Vor dem Durchführen der Verbindung kann eine Oberflächenbehandlung auf der zweiten Vorrichtungsstruktur 200 oder der ersten Vorrichtungsstruktur 100 ausgeführt werden. In einigen Ausführungsformen enthält die Oberflächenbehandlung eine Plasmabehandlung. Die Plasmabehandlung kann in einer Vakuumumgebung (z. B. einer Vakuumkammer, nicht dargestellt) ausgeführt werden. Das Prozessgas, das zum Erzeugen des Plasmas verwendet wird, kann ein wasserstoffhaltiges Gas sein, das ein erstes Gas umfasst, das Wasserstoff (H2) und Argon (Ar) umfasst, ein zweites Gas, das H2 und Stickstoff (N2) umfasst, oder ein drittes Gas, das H2 und Helium (He) umfasst. Die Plasmabehandlung kann auch unter Verwendung von reinem oder im Wesentlichen reinem H2, Ar oder N2 als Prozessgas ausgeführt werden, das die Oberflächen der Bondingpads 128 oder 228 und die Oberflächendielektrikumschichten 126 oder 226 behandelt. Die zweite Vorrichtungsstruktur 200 oder die erste Vorrichtungsstruktur 100 können mit demselben Oberflächenbehandlungsprozess oder mit unterschiedlichen Oberflächenbehandlungsprozessen behandelt werden. In einigen Ausführungsformen kann die zweite Vorrichtungsstruktur 200 oder die erste Vorrichtungsstruktur 100 nach der Oberflächenbehandlung gereinigt werden. Die Reinigung kann das Durchführen einer chemischen Reinigung und einer Reinigung/Spülung mit entionisiertem Wasser umfassen.
  • Als nächstes kann ein Vorverbindungsprozess mit der zweiten Vorrichtungsstruktur 200 und der ersten Vorrichtungsstruktur 100 ausgeführt werden. Die zweite Vorrichtungsstruktur 200 und die erste Vorrichtungsstruktur 100 sind ausgerichtet, sodass die Bondingpads 228 der zweiten Vorrichtungsstruktur 200 an den Bondingpads 128 der ersten Vorrichtungsstruktur 100 ausgerichtet sind. Nach der Ausrichtung werden die zweite Vorrichtungsstruktur 200 und die erste Vorrichtungsstruktur 100 aneinander gepresst. Die Druckkraft kann in einigen Ausführungsformen weniger als etwa 5 Newton pro Die sein, aber größere und kleinere Kräfte können ebenfalls verwendet werden. Der Vorverbindungsprozess kann bei Zimmertemperatur ausgeführt werden (z. B. bei einer Temperatur von etwa 21 °C bis etwa 25 °C), wobei jedoch auch höhere Temperaturen verwendet werden können. Die Vorverbindungszeit kann kürzer sein als beispielsweise etwa 1 Minute.
  • Nach der Vorverbindung werden die Oberflächendielektrikumschicht 226 der zweiten Vorrichtungsstruktur 200 und die Oberflächendielektrikumschicht 126 der ersten Vorrichtungsstruktur 100 miteinander verbunden. Die Verbindungsschnittstelle wird in den 22 und 23 als „B“ beschriftet. Die zweite Vorrichtungsstruktur 200 und die erste Vorrichtungsstruktur 100 zusammen werden nachfolgend als Vorrichtungspackage 1000 bezeichnet. Die Verbindung des Vorrichtungspackage 1000 kann in einem nachfolgenden Glühprozess verstärkt werden. Das Vorrichtungspackage 1000 kann mit einer Temperatur von beispielsweise etwa 300 °C bis etwa 400 °C geglüht werden. Das Glühen kann für einen Zeitraum von beispielsweise zwischen etwa 1 Stunde und etwa 2 Stunden ausgeführt werden. Während des Glühens können Metalle in den Bondingpads 128 und 228 zueinander diffundieren, sodass auch Metall-MetallVerbindungen gebildet werden. Daher können die entstehenden Verbindungen der zweiten Vorrichtungsstruktur 200 und der erstem Vorrichtungsstruktur 100 Hybridverbindungen sein. In einigen Ausführungsformen ist nach dem Glühen keine Materialschnittstelle zwischen den Bondingpads 118 und ihren jeweiligen Bondingpads 128 vorhanden.
  • In einigen Ausführungsformen liegt eine Distanz von den leitfähigen Pads 118 der ersten Vorrichtungsstruktur 100 und den leitfähigen Pads 218 der zweiten Vorrichtungsstruktur 200 zwischen etwa 1 µm und etwa 16 µm, beispielsweise bei etwa 3 µm oder etwa 12 µm. In einigen Ausführungsformen ist die Distanz von den leitfähigen Pads 118 zur Schnittstelle B anders als die Distanz von den leitfähigen Pads 218 zu der Schnittstelle B. In einigen Ausführungsformen können eine oder mehr Bondingpads 128 entlang der Schnittstelle B gegen ihre jeweiligen Bondingpads 228 versetzt sein. In einigen Ausführungsformen kann ein Verbindungspad 128 und sein entsprechendes Verbindungspad 228 elektrisch von den leitfähigen Pads 118, den leitfähigem Pads 228, der Verbindungsstruktur 108 und/oder der Verbindungsstruktur 208 isoliert sein. Bondingpads 128 oder Bondingpads 228, die elektrisch vollständig isoliert sind, können in einigen Fällen als „Dummy-“ leitfähige Merkmale betrachtet werden. In einigen Ausführungsformen können eines oder mehrere der Bondingpads 128 elektrisch mit der Verbindungsstruktur 108 verbunden sein (z. B. ähnlich wie die Durchkontaktierungsbondingpads 133B aus 21), und eines oder mehrere der Bondingpads 228 können elektrisch mit der Verbindungsstruktur 208 verbunden sein. In einigen Ausführungsformen kann ein Bondingpad 128, das mit einem leitfähigen Pad 118 verbunden ist, mit einem Bondingpad 228 verbunden sein, das nicht mit einem leitfähigen Pad 218 verbunden ist. In einigen Ausführungsformen können die Bondingpads 128 oder die Bondingpads 228 ein kegelförmiges Profil aufweisen, wobei die größte Breite in der Nähe der Schnittstelle B vorliegt. In einigen Ausführungsformen können die Bondingpads 128 eine andere Breite oder ein anderes Profil aufweisen als die Bondingpads 228.
  • Mit Blick auf 23 ist ein Vorrichtungspackage 1100 dargestellt. Das Vorrichtungspackage 1100 ist ähnlich wie das Vorrichtungspackage 1000, außer, dass eine dritte Vorrichtungsstruktur 300 neben der zweiten Vorrichtungsstruktur 200 mit der ersten Vorrichtungsstruktur 100 verbunden ist. Die dritte Vorrichtungsstruktur 300 und die erste Vorrichtungsstruktur 100 können in ähnlicher Weise wie für 22 beschrieben verbunden sein. Alle solchen Variationen der Bildung von Vorrichtungspackages werden im Umfang dieser Offenbarung betrachtet. In einigen Ausführungsformen kann ein Vereinzelungsprozess nach der Verbindung auf das Vorrichtungspackage 1000 oder das Vorrichtungspackage 1100 ausgeführt werden.
  • 24 bis 28 illustrieren nach einigen Ausführungsformen Zwischenschritte beim Bilden eines Package 1300, das ein Vorrichtungspackage 1200 umfasst. 24 illustriert eine vierte Vorrichtungsstruktur 400 und eine fünfte Vorrichtungsstruktur 500, die zu einem Vorrichtungspackage 1200 verbunden werden. Die vierte Vorrichtungsstruktur 400 und die fünfte Vorrichtungsstruktur 500 können ähnlich wie die Vorrichtungsstrukturen 100, 150, 160, 200 oder 300 sein, die zuvor beschrieben wurden, und das Vorrichtungspackage 1200 kann ähnlich wie die Vorrichtungpackages 1000 oder 1100 sein, die zuvor beschrieben wurden.
  • 24 illustriert auch ein Trägersubstrat 721 mit einer Klebeschicht 723 und einer Polymerschicht 725 über der Klebeschicht 723. In einigen Ausführungsformen umfasst das Trägersubstrat 721 beispielsweise siliziumbasierte Materialien, wie etwa Glas oder Siliziumoxid, oder andere Materialien, wie etwa Aluminiumoxid, Kombinationen aus irgendwelchen dieser Materialien oder dergleichen. Das Trägersubstrat 721 kann planar sein, um eine Befestigung von Halbleitervorrichtungen wie etwa dem verbundenen Paar 750 zu erlauben. Die Klebeschicht 723 ist auf dem Trägersubstrat 721 platziert, um die Haftung der darüberliegenden Strukturen (z. B. die Polymerschicht 725) zu unterstützen. In einigen Ausführungsformen kann die Klebeschicht 723 ein Licht-Wärme-Konvertierungs- (LTHC) Material oder einen Ultraviolettkleber umfassen, der seine Hafteigenschaften verliert, wenn er ultraviolettem Licht ausgesetzt wird. Andere Arten von Klebstoffen, wie etwa druckempfindliche Klebstoffe, strahlungshärtende Klebstoffe, Epoxide, Kombination daraus oder dergleichen können jedoch ebenfalls verwendet werden. Die Klebeschicht 723 kann auf dem Trägersubstrat 721 in einer semiflüssigen oder Gelform aufgetragen werden, die leicht unter Druck verformt werden kann.
  • Die Polymerschicht 725 wird über der Klebeschicht 723 platziert und verwendet, um z. B. das verbundene Paar 750 zu schützen. In einigen Ausführungsformen kann die Polymerschicht 725 Polybenzoxazol (PBO) sein, wobei jedoch jedes geeignete Material, wie etwa Polyimid oder ein Polyimidderivativ, stattdessen verwendet werden kann. Die Polymerschicht 725 kann z. B. unter Verwendung eines Spin-Coating-Prozesses in einer Dicke zwischen etwa 2 µm und etwa 15 µm, beispielsweise etwa 5 µm, gebildet werden, wobei jedoch jedes geeignete Verfahren und jede Dicke stattdessen verwendet werden können. Das verbundene Paar 750 ist an der Polymerschicht 725 befestigt. In einigen Ausführungsformen kann das verbundene Paar 750 z. B. unter Verwendung eines Pick-and-Place-Verfahrens platziert werden. Es kann jedoch jedes geeignete Verfahren zur Platzierung des verbundenen Paars 750 verwendet werden.
  • In einigen Ausführungsformen werden Durchkontaktierungen wie etwa Dielektrikums-Durchkontaktierungen (TDVs) 727, über der Polymerschicht 725 gebildet. In einigen Ausführungsformen wird zuerst eine Seed-Schicht (nicht dargestellt) über der Polymerschicht 725 gebildet. Die Seed-Schicht ist eine dünne Schicht aus einem leitfähigen Material, das das Bilden einer dickeren Schicht in nachfolgenden Prozessschritten unterstützt. In einigen Ausführungsformen kann die Seed-Schicht eine Schicht aus Titan mit einer Dicke von etwa 50 nm umfassen, gefolgt von einer Schicht aus Kupfer mit einer Dicke von etwa 300 nm. Die Seed-Schicht kann unter Verwendung von Prozessen wie Sputtern, Verdampfung oder PECVD-Prozessen gebildet werden, abhängig von gewünschten Materialien. Wenn die Seed-Schicht gebildet wird, kann ein Photoresist (nicht dargestellt) über der Seed-Schicht gebildet und strukturiert werden. Die TDVs 727 werden dann in dem strukturierten Photoresist gebildet. In einigen Ausführungsformen umfassen die TDVs 727 ein oder mehr leitfähige Materialien, wie etwa Kupfer, Wolfram, andere leitfähige Metalle oder dergleichen, und können beispielsweise durch Elektroplattierung, elektrolose Plattierung oder dergleichen gebildet werden. In einigen Ausführungsformen wird ein Elektroplattierungsprozess verwendet, wobei die Seed-Schicht und der Photoresist in einer Elektroplattierungslösung untergetaucht oder eingetaucht werden. Wenn die TDVs 727 unter Verwendung des Photoresist und der Seed-Schicht gebildet wurden, kann der Photoresist unter Verwendung eines geeigneten Entfernungsprozesses entfernt werden. In einigen Ausführungsformen kann ein Plasmaaschungsprozess verwendet werden, um den Photoresist zu entfernen, wodurch die Temperatur des Photoresist erhöht werden kann, bis der Photoresist eine Wärmezersetzung aufweist und entfernt werden kann. Jeder andere geeignete Prozess, wie etwa ein Nassstripping, kann jedoch stattdessen eingesetzt werden. Das Entfernen des Photoresist kann die darunterliegenden Abschnitte der Seed-Schicht offenlegen. Wenn die TDVs 727 gebildet wurden, werden die offengelegten Abschnitte der Seed-Schicht dann entfernt, etwa durch einen Nass- oder Trockenätzprozess. Die TDVs 727 können mit einer Höhe von zwischen etwa 180 µm und etwa 200 µm gebildet werden, mit kritischen Abmessungen von etwa 190 µm und einem Abstand von etwa 300 µm.
  • 25 illustriert eine Verkapselung des verbundenen Paars 750 und der TDVs 727 mit einem Verkapselungsmittel 729. Das Verkapselungsmittel 729 kann eine Formmasse sein, wie etwa ein Harz, Polyimid, PPS, PEEK, PES, ein wärmeresistentes Kristallharz, Kombinationen daraus oder dergleichen. 26 illustriert ein Ausdünnen des Verkapselungsmittels 729, um die TDVs 727 und das verbundene Paar 750 offenzulegen. Das Ausdünnen kann z. B. unter Verwendung eines CMP-Prozesses oder eines anderen Prozesses ausgeführt werden.
  • 27 illustriert ein Bilden einer Umverteilungsstruktur 800 mit einer oder mehr Schichten über dem Verkapselungsmittel 729. In einigen Ausführungsformen kann die Umverteilungsstruktur 800 gebildet werden, indem zuerst eine erste Umverteilungspassivierungsschicht 801 über dem Verkapselungsmittel 729 gebildet wird. In einigen Ausführungsformen kann die der Verkapselungsmittel 801 Polybenzoxazol (PBO) sein, wobei jedoch jedes geeignete Material, wie etwa Polyimid oder ein Polyimidderivativ, wie etwa einem bei geringer Temperatur gehärteten Polyimid, stattdessen verwendet werden kann. Die erste Umverteilungspassivierungsschicht 801 kann z. B. unter Verwendung eines Spin-Coating-Prozesses in einer Dicke zwischen etwa 5 µm und etwa 17 µm, beispielsweise etwa 7 µm, gebildet werden, wobei jedoch jedes geeignete Verfahren und jede Dicke stattdessen verwendet werden können.
  • Wenn die erste Umverteilungspassivierungsschicht 801 gebildet wurde, können erste Umverteilungsdurchkontaktierungen 803 durch die erste Umverteilungspassivierungsschicht 801 gebildet werden, um elektrische Verbindungen mit dem verbundenen Paar 750 und den TDVs 727 herzustellen. In einigen Ausführungsformen können die ersten Umverteilungsdurchkontaktierungen 803 durch Verwendung eines Damascene-Prozesses, eines Dual-Damascene-Prozesses oder eines anderen Prozesses gebildet werden. Nach Bilden der ersten Umverteilungsdurchkontaktierungen 803 wird eine erste Umverteilungsschicht 805 über und in elektrischer Verbindung mit den ersten Umverteilungsdurchkontaktierungen 803 gebildet. In einigen Ausführungsformen kann die erste Umverteilungsschicht 805 durch anfängliches Bilden einer Seed-Schicht (nicht dargestellt) aus einer Titankupferlegierung durch einen geeigneten Bildungsprozess wie CVD oder Sputtern gebildet werden. Ein Photoresist (ebenfalls nicht dargestellt) kann dann gebildet werden, um die Seed-Schicht abzudecken, und das Photoresist kann dann strukturiert werden, um die Abschnitte der Seed-Schicht offenzulegen, die sich befinden, wo die erste Umverteilungsschicht 805 platziert werden soll.
  • Wenn der Photoresist gebildet und strukturiert wurde, kann ein leitfähiges Material, wie etwa Kupfer, auf der Seed-Schicht durch einen Abscheidungsprozess wie Plattierung gebildet werden. Das leitfähige Material kann mit einer Dicke zwischen etwa 1 µm und etwa 10 µm, beispielsweise etwa 4 µm, gebildet werden. Während jedoch das Material und die Verfahren, die hierin besprochen werden, geeignet sind, das leitfähige Material zu bilden, sind diese Materialien nur beispielhaft. Alle anderen geeigneten Materialien, wie etwa AlCu oder Au, und alle anderen geeigneten Prozesse des Bildens, wie etwa CVD oder PVD, können stattdessen verwendet werden, um die erste Umverteilungsschicht 805 zu bilden.
  • Nach Bilden der ersten Umverteilungsschicht 805 kann eine zweite Umverteilungspassivierungsschicht 807 gebildet und strukturiert werden, um zu helfen, die erste Umverteilungsschicht 805 zu isolieren. In einigen Ausführungsformen kann die zweite Umverteilungspassivierungsschicht 807 ähnlich wie die erste Umverteilungspassivierungsschicht 801 sein, etwa indem sie eine Positive-Tone-PBO ist. oder sich von der ersten Umverteilungspassivierungsschicht 801 unterscheiden, etwa indem sie in Negative-Tone-Material ist, wie etwa ein bei niedriger Temperatur härtendes Polyimid. Die zweite Umverteilungspassivierungsschicht 807 kann mit einer Dicke von etwa 7 µm platziert werden. Wenn sie platziert ist, kann die zweite Umverteilungspassivierungsschicht 807 strukturiert werden, um Öffnungen etwa unter Verwendung einer photolithographischen Maskierung und deines Ätzprozesses oder, wenn das Material der zweiten Umverteilungspassivierungsschicht 807 lichtempfindlich ist, durch Belichten und Entwickeln des Materials der zweiten Umverteilungspassivierungsschicht 807 zu bilden. Jedes geeignete Material und Verfahren der Strukturierung können jedoch verwendet werden.
  • Nachdem die zweite Umverteilungspassivierungsschicht 807 strukturiert wurde, kann eine zweite Umverteilungsschicht 809 gebildet werden, um sich durch die Öffnungen zu erstrecken, die in der zweiten Umverteilungspassivierungsschicht 807 gebildet sind, und eine elektrische Verbindung mit der ersten Umverteilungsschicht 805 herzustellen. In einigen Ausführungsformen kann die zweite Umverteilungsschicht 809 unter Verwendung von Materialien und Prozessen verwendet werden, die ähnlich wie die erste Umverteilungsschicht 805 sind. Beispielsweise kann eine Seed-Schicht aufgebracht und mit einem strukturierten Photoresist abgedeckt werden, ein leitfähiges Material wie Kupfer kann auf die Seed-Schicht aufgebracht werden, das strukturierte Photoresist kann entfernt werden und die Seed-Schicht kann unter Verwendung des leitfähigen Materials als Maske verwendet werden. In einigen Ausführungsformen wird die zweite Umverteilungsschicht 809 mit einer Dicke von etwa 4 µm gebildet. Jedes geeignete Material oder jeder Herstellungsprozess kann jedoch verwendet werden.
  • Nach dem Bilden der zweiten Umverteilungsschicht 809 wird eine dritte Umverteilungspassivierungsschicht 811 über der zweiten Umverteilungsschicht 809 aufgebracht, um zu helfen, die zweite Umverteilungsschicht 809 zu isolieren und zu schützen. In einigen Ausführungsformen kann die dritte Umverteilungspassivierungsschicht 811 aus ähnlichen Materialien und in einer ähnlichen Weise gebildet werden, wie die zweite Umverteilungspassivierungsschicht 807, mit einer Dicke von etwa 7 um. Beispielsweise kann die dritte Umverteilungspassivierungsschicht 811 aus PBO oder einem bei niedriger Temperatur gehärteten Polyimid gebildet werden, das wie oben bezüglich der zweiten Umverteilungspassivierungsschicht 1007 beschrieben aufgebracht und strukturiert wurde. Jedes geeignete Material oder jeder Herstellungsprozess kann jedoch verwendet werden.
  • Nachdem die dritte Umverteilungspassivierungsschicht 811 strukturiert wurde, kann eine dritte Umverteilungsschicht 813 gebildet werden, um sich durch die Öffnungen zu erstrecken, die in der dritten Umverteilungspassivierungsschicht 811 gebildet sind, und eine elektrische Verbindung mit der zweiten Umverteilungsschicht 809 herzustellen. In einigen Ausführungsformen kann die dritte Umverteilungsschicht 813 unter Verwendung von Materialien und Prozessen verwendet werden, die ähnlich wie die erste Umverteilungsschicht 805 sind. Beispielsweise kann eine Seed-Schicht aufgebracht und mit einem strukturierten Photoresist abgedeckt werden, ein leitfähiges Material wie Kupfer kann auf die Seed-Schicht aufgebracht werden, das strukturierte Photoresist kann entfernt werden und die Seed-Schicht kann unter Verwendung des leitfähigen Materials als Maske verwendet werden. In einigen Ausführungsformen wird die dritte Umverteilungsschicht 813 mit einer Dicke von 5 µm gebildet. Jedes geeignete Material oder jeder Herstellungsprozess kann jedoch verwendet werden.
  • Nach dem Bilden der dritten Umverteilungsschicht 813 kann eine vierte Umverteilungspassivierungsschicht 815 über der dritten Umverteilungsschicht 813 gebildet werden, um zu helfen, die dritte Umverteilungsschicht 813 zu isolieren und zu schützen. In einigen Ausführungsformen kann die vierte Umverteilungspassivierungsschicht 815 aus ähnlichen Materialien und in einer ähnlichen Weise gebildet werden, wie die dritte Umverteilungspassivierungsschicht 807. Beispielsweise kann die vierte Umverteilungspassivierungsschicht 815 aus PBO oder einem bei niedriger Temperatur gehärteten Polyimid gebildet werden, das wie oben bezüglich der zweiten Umverteilungspassivierungsschicht 807 beschrieben aufgebracht und strukturiert wurde. In einigen Ausführungsformen wird die vierte Umverteilungspassivierungsschicht 815 mit einer Dicke von etwa 8 µm gebildet. Jedes geeignete Material oder jeder Herstellungsprozess kann jedoch verwendet werden.
  • In anderen Ausführungsformen können die Umverteilungsdurchkontaktierungen und Umverteilungsschichten der Umverteilungsstruktur 800 unter Verwendung eines Damascene-Prozesses, wie etwa eines Dual-Damascene-Prozesses gebildet werden. Beispielsweise kann eine erste Umverteilungspassivierungsschicht über dem Verkapselungsmittel 729 gebildet werden. Die erste Umverteilungspassivierungsschicht wird dann unter Verwendung eines oder mehrerer photolithographischer Schritte zum Bilden von Öffnungen für Durchkontaktierungen sowie Öffnungen für leitfähige Leitungen in der ersten Umverteilungspassivierungsschicht strukturiert. Ein leitfähiges Material kann in den Öffnungen für Durchkontaktierungen und den Öffnungen für leitfähige Leitungen gebildet werden, um die ersten Umverteilungsdurchkontaktierungen und die erste Umverteilungsschicht zu bilden. Weitere Umverteilungpassivierungsschichten können über der ersten Umverteilungspassivierungsschicht gebildet werden, und weitere Sätze Umverteilungsdurchkontaktierungen und leitfähige Leitungen können in den weiteren Umverteilungpassivierungsschichten wie für die erste Umverteilungspassivierungsschicht beschrieben gebildet werden, um die Umverteilungsstruktur 800 zu bilden. Diese oder andere Techniken können verwendet werden, um die Umverteilungsstruktur 800 zu bilden.
  • 27 illustriert weiterhin ein Bilden von Underbumpmetallisierungen 819 und dritter externer Verbinder 817, um elektrischen Kontakt mit einer dritten Umverteilungsschicht 813 herzustellen. In einigen Ausführungsformen können die Underbumpmetallisierungen 819 je drei Schichten leitfähiger Materialien umfassen, wie etwa einer Schicht aus Titan, einer Schicht aus Kupfer und einer Schicht aus Nickel. Ein gewöhnlicher Fachmann erkennt jedoch, dass viele geeignete Anordnungen von Materialien und Schichten existieren, wie etwa eine Anordnung von Chrom/Chrom-Kupferlegierung/Kupfer/Gold, eine Anordnung von Titan/Titan-Wolfram/Kupfer, oder eine Anordnung von Kupfer/Nickel/Gold, die sich für die Bildung der Underbumpmetallisierungen 819 eignen. Alle geeigneten Materialien oder Materialschichten, die für die Underbumpmetallisierungen 819 verwendet werden können, sind vollständig vorgesehen, als in den Umfang der Ausführungsformen fallend.
  • In einigen Ausführungsformen werden die Underbumpmetallisierungen 819 durch Bilden jeder Schicht über der dritten Umverteilungsschicht 813 und entlang des Inneren der Öffnungen durch die vierte Umverteilungspassivierungsschicht 815 gebildet. Das Bilden jeder Schicht kann unter Verwendung eines Plattierungsprozesses, wie etwa elektrochemischer Plattierung, ausgeführt werden, wobei jedoch auch andere Prozesse des Bildens, wie etwa Sputtern, Verdampfung oder ein PECVD-Prozess, abhängig von den gewünschten Materialien verwendet werden können. Die Underbumpmetallisierungen 819 können mit einer Dicke zwischen etwa 0,7 µm und etwa 10 µm, beispielsweise etwa 5 µm, gebildet werden.
  • In einigen Ausführungsformen können die dritten externen Verbinder 817 an den Underbumpmetallisierungen 819 platziert werden und ein Ball-Grid-Array (BGA) darstellen, das ein eutektisches Material wie ein Lot umfasst, wobei jedoch alle geeigneten Materialien stattdessen verwendet werden können. In einigen Ausführungsformen, in denen die dritten externen Verbinder 817 Lötkugeln sind, können die dritten externen Verbinder 817 unter Verwendung eines Kugelfallverfahrens gebildet werden, wie etwa eines direkten Kugelfallprozesses. In einer anderen Ausführungsform können die Lötkugeln durch Anfängliches Bilden einer Schicht Zinn durch jedes geeignete Verfahren, wie etwa Verdampfung, Elektroplattierung, Drucken, Löttransfer und dann Durchführen eines Reflows, um das Material in der gewünschten Bumpform zu formen, gebildet werden. Wenn die dritten externen Verbinder 817 gebildet wurden, kann ein Test ausgeführt werden, um sicherzustellen, dass die Struktur für weitere Verarbeitung geeignet ist.
  • 28 illustriert eine Verbindung eines Package 700 mit den TDVs 727 durch die Polymerschicht 725. Vor der Verbindung des Package 700 werden das Trägersubstrat 721 und die Klebeschicht 723 von der Polymerschicht 725 entfernt. Die Polymerschicht 725 ist auch strukturiert, um die TDVs 727 freizulegen. In einigen Ausführungsformen kann die Polymerschicht 725 etwa unter Verwendung eines Laserbohrverfahrens strukturiert werden. Bei einem solchen Verfahren wird eine Schutzschicht, wie etwa eine Licht-Wärme-Konvertierungs- (LTHC) Schicht oder eine Hogomax-Schicht (nicht getrennt illustriert) zuerst über der Polymerschicht 725 abgeschieden. Nach dem Schutz wird ein Laser auf die Abschnitte der Polymerschicht 725 gerichtet, die entfernt werden sollen, um die darunterliegenden TDVs 727 offenzulegen. Während des Laserbohrprozesses kann die Bohrenergie in einem Bereich von 0,1 mJ bis etwa 30 mJ liegen, und ein Bohrwinkel von etwa 0 Grad (rechtwinklig zur Polymerschicht 605) bis etwa 85 Grad gegen die Normale der Polymerschicht 605 kann verwendet werden. In einigen Ausführungsformen kann die Strukturierung gebildet werden, um Öffnungen über den TDVs 727 zu bilden, die eine Breite zwischen etwa 100 µm und etwa 300 µm aufweisen, wie etwa 200 µm.
  • In einer anderen Ausführungsform kann die Polymerschicht 725 strukturiert werden, indem zuerst eine Photoresist (nicht getrennt illustriert) auf die Polymerschicht 725 aufgebracht wird und dann der Photoresist einer strukturierten Energiequelle ausgesetzt wird (z. B. einer strukturierten Lichtquelle) um eine chemische Reaktion auszulösen, wodurch eine physische Änderung der Abschnitte des Photoresist ausgelöst wird, die der strukturierten Lichtquelle ausgesetzt sind. Dann wird ein Entwickler auf den belichteten Photoresist aufgebracht, um die physischen Änderungen zu nutzen und selektiv entweder den belichteten Abschnitt des Photoresist oder den nicht belichteten Abschnitt des Photoresist zu entfernen, abhängig von der gewünschten Struktur, und der darunterliegende offengelegte Abschnitt der Polymerschicht 725 wird z. B. in einem Trockenätzprozess entfernt. Es kann jedoch jedes andere geeignete Verfahren zur Strukturierung der Polymerschicht 725 verwendet werden.
  • In einigen Ausführungsformen umfasst das zweite Package 700 ein Substrat 702 und ein oder mehrere gestapelte Dies 710 (710A und 710B), die mit dem Substrat 702 gekoppelt sind. Wenn auch ein Satz gestapelter Dies 710 (710A und 710B) illustriert ist, können in anderen Ausführungsformen mehrere gestapelte Dies 710 (die jeweils ein oder mehrere gestapelte Dies aufweisen) nebeneinander angeordnet und mit einer selben Fläche des Substrats 702 gekoppelt sein. Das Substrat 702 kann aus einem Halbleitermaterial wie Silizium, Germanium, Diamant oder dergleichen bestehen. In einigen Ausführungsformen können Verbundmaterialien wie Siliziumgermanium, Siliziumkarbid, Galliumarsen, Indiumarsenid, Indiumphosphid, Siliziumgermaniumkarbid, Galliumarsenphosphid, Galliumindiumphosphid, Kombinationen daraus und dergleichen ebenfalls verwendet werden. Außerdem kann das Substrat 702 ein Silizium-auf-Isolator- (SOI) Substrat sein. Allgemein enthält ein SOI-Substrat eine Schicht eines Halbleitermaterials wie epitaktisches Silizium, Germanium, Siliziumgermanium, SOI, Siliziumgermanium auf Isolator (SGOI) oder Kombinationen daraus. Das Substrat 702 basiert in einer alternativen Ausführungsform auf einem isolierenden Kern wie etwa einem glasfaserverstärkten Harzkern. Ein Beispielkernmaterial ist Glasfaserharz wie FR4. Alternativen für das Kernmaterial enthalten Bismaleimidtriazin- (BT) Harz oder alternativ andere Platinen- (PCB) Materialien oder Filme. Aufbaufilme wie Ajinomoto-Build-Up-Film (ABF) oder andere Verbundstoffe können für Substrat 702 verwendet werden.
  • Das Substrat 702 kann aktive und passive Vorrichtungen enthalten (nicht dargestellt). Eine große Vielzahl von Vorrichtungen wie Transistoren, Kondensatoren, Widerständen, Kombinationen daraus und dergleichen kann verwendet werden, um die strukturellen und funktionalen Anforderungen des Designs an das Package 700 zu erfüllen. Die Vorrichtungen können unter Verwendung aller geeigneten Verfahren gebildet werden.
  • Das Substrat 702 kann auch Metallisierungsschichten oder leitfähige Durchkontaktierungen (nicht dargestellt) umfassen. Die Metallisierungsschichten können über den aktiven und passiven Vorrichtungen gebildet werden und sind vorgesehen, sich mit verschiedenen Vorrichtungen zu verbinden, um funktionale Schaltungen zu bilden. Die Metallisierungsschichten können aus abwechselnden Schichten aus dielektrischem (z. B. Dielektrikum mit niedrigem k-Wert) und leitfähigem Material (z. B. Kupfer) gebildet werden, wobei Durchkontaktierungen die Schichten von leitfähigem Material verbinden, und können durch jeden geeigneten Prozess gebildet werden (wie etwa Abscheidung, Damascene, Dual Damascene oder dergleichen). In einigen Ausführungsformen ist das Substrat 702 im Wesentlichen frei von aktiven und passiven Vorrichtungen.
  • Das Substrat 702 kann auf einer ersten Seite das Substrat 702 Bondpads 704 zur Koppelung mit den gestapelten Dies 710 und Bondpads 706 auf einer zweiten Seite des Substrats 702 aufweisen, wobei die zweite Seite der ersten Seite des Substrats 702 gegenüberliegt, um sich mit dem externen Verbindern 901 zu koppeln. In einigen Ausführungsformen sind die Bondpads 704 und 706 durch Bilden von Ausschnitten (nicht dargestellt) in Dielektrikumschichten (nicht dargestellt) auf den ersten und zweiten Seiten des Substrats 702 gebildet. Die Ausschnitte können gebildet werden, um zu ermöglichen, dass die Bondpads 704 und 706 in die Dielektrikumschichten eingebettet werden. In anderen Ausführungsformen sind die Ausschnitte ausgelassen, da die Bondpads 704 und 706 auf der Dielektrikumschicht gebildet werden können. In einigen Ausführungsformen enthalten die Bondpads 704 und 706 eine dünne Seed-Schicht (nicht dargestellt) aus Kupfer, Titan, Nickel, Gold, Palladium, dergleichen, oder einer Kombination daraus. Das leitfähige Material der Bondpads 704 und 706 kann über der dünnen Seed-Schicht abgeschieden werden. Das leitfähige Material kann durch einen elektrochemisches Plattierungsprozess, einen nichtelektrischen Plattierungsprozess, CVD, Atomlagenabscheidung (ALD), PVD, dergleichen, oder einer Kombination daraus gebildet werden. In einer Ausführungsform ist das leitfähige Material aus der Bondpads 704 und 706 Kupfer, Wolfram, Aluminium, Silber, Gold, dergleichen, oder eine Kombination daraus.
  • In einer Ausführungsform sind die Bondpads 704 und Bondpads 706 UBMs, die drei Schichten leitfähiger Materialien umfassen, wie etwa eine Schicht Titan, eine Schicht Kupfer und eine Schicht Nickel. Andere Anordnungen von Materialien und Schichten existieren, wie etwa eine Anordnung von Chrom/Chrom-Kupferlegierung/Kupfer/Gold, eine Anordnung von Titan/Titan-Wolfram/Kupfer, oder eine Anordnung von Kupfer/Nickel/Gold, die für die Bildung der Bondpads 704 und 706 genutzt werden können. Alle geeigneten Materialien oder Materialschichten, die für die Bondpads 704 und 706 verwendet werden können, sind vollständig vorgesehen, als in den Umfang der vorliegenden Anmeldung fallend. In einigen Ausführungsformen erstrecken sich die leitfähigen Durchkontaktierungen durch das Substrat 702 und koppeln mindestens eines der Bondpads 704 mit mindestens einem der Bondpads 706.
  • In der illustrierten Ausführungsform sind die gestapelten Dies 210 mit dem Substrat 702 durch Drahtverbindungen 712 gekoppelt, wenn auch andere Verbindungen wie etwa leitfähige Bumps, ebenfalls verwendet werden können. In einer Ausführungsform sind die gestapelten Dies 710 gestapelte Speicherdies. Beispielsweise können die gestapelten Dies 710 Speicherdies wie Niederleistungs- (LP) Doppeldatenraten- (DDR) Speichermodule sein, wie etwa LPDDR1, LPDDR2, LPDDR3, LPDDR4 oder ähnliche Speichermodule.
  • Die gestapelten Dies 710 und die Drahtverbindungen 712 können durch ein Formmaterial 714 verkapselt sein. Das Formmaterial 714 kann auf die gestapelten Dies 710 und die Drahtverbindungen 712 beispielsweise unter Verwendung von Formpressen geformt sein. In einigen Ausführungsformen ist das Formmaterial 714 eine Formmasse, ein Polymer, ein Epoxid, Siliziumoxidfüllstoff, dergleichen oder eine Kombination daraus. Ein Härtungsprozess kann durchgeführt werden, um das Formmaterial 714 zu härten. Der Härtungsprozess kann ein thermales Härten, ein UV-Härten, dergleichen oder eine Kombination daraus sein.
  • In einigen Ausführungsformen sind die gestapelten Dies 710 und die Drahtverbindungen 712 in dem Formmaterial 714 versenkt, und nach dem Härten des Formmaterials 714 wird ein Planarisierungsschritt wie etwa Schleifen ausgeführt, um überflüssige Abschnitte des Formmaterials 714 zu entfernen, und eine im Wesentlichen ebene Fläche für die Packages 700 bereitzustellen.
  • In einigen Ausführungsformen können externe Verbindungen 901 gebildet werden, um eine externe Verbindung zwischen dem Package 700 und z. B. den TDVs 727 bereitzustellen. Die externen Verbindungen 901 können Kontaktbumps sein, wie etwa Mikrobumps oder Controlled-Collapse-Chip-Connection- (C4) Bumps und können ein Material wie Zinn oder andere geeignete Materialien umfassen, wie etwa Silber oder Kupfer. In einigen Ausführungsformen in denen die externen Verbindungen 901 Zinnlötbumps sind, können die externen Verbindungen 901 durch anfängliches Bilden einer Schicht Zinn durch jedes geeignete Verfahren gebildet werden, wie etwa Verdampfen, Elektroplattieren, Drucken, Löttransfer, Kugelplatzierung usw. mit einer Dicke von, z. B. etwa 100 µm. Wenn eine Schicht Zinn auf der Struktur gebildet wurde, wird ein Reflow durchgeführt, um das Material in der gewünschten Bumpform zu formen.
  • Wenn die externen Verbindungen 901 gebildet wurden, sind die externen Verbindungen 901 an den TDVs 727 ausgerichtet und darüber platziert, und eine Verbindung wird ausgeführt. Beispielsweise können In einigen Ausführungsformen in denen die externen Verbindungen 901 Lötbumps sind, der Verbindungsprozess einen Reflowprozess umfassen, durch den die Temperatur der externen Verbindungen 901 zu einem Wert erhöht wird, an dem die externen Verbindungen 901 sich verflüssigen und fließen, wodurch das Package 700 mit den TDVs 727 verbunden wird, wenn die externen Verbindungen 901 sich wieder verfestigen. Ein Verkapselungsmittel 903 kann gebildet werden, um das Package 700 zu verkapseln und zu schützen. Das Verkapselungsmittel 903 kann sich zwischen der Polymerschicht 725 und dem Package 700 erstrecken und in einigen Ausführungsformen eine Unterfüllung sein. Auf diese Weise kann ein Package 1300 gebildet werden.
  • Ausführungsformen können Vorteile erreichen. Durch Verwendung einer Planarisierungsstoppschicht über den leitfähigen Pads kann der Planarisierungsprozess in der Nähe der oberen Fläche der leitfähigen Pads angehalten werden. Dies kann das Bilden einer dünneren Oberflächendielektrikumschicht (z. B. „Verbindungsoxid“) ermöglichen. Durch Verringern der Dicke der Oberflächendielektrikumschicht kann die Gesamtdicke eines Package, das die Vorrichtung enthält, verringert werden. Weiterhin stellt die dünnere Oberflächendielektrikumschicht eine verbesserte Wärmeleitfähigkeit bereit, und kann damit die Wärmeleistung der Vorrichtung verbessern.
  • In einer Ausführungsform umfasst eine Vorrichtung eine Verbindungsstruktur über einem Substrat, mehrere erste leitfähige Pads über und verbunden mit der Verbindungsstruktur, eine Planarisierungsstoppschicht, die sich über die Seitenwände und oberen Flächen der ersten leitfähige Pads der mehreren ersten leitfähigen Pads erstreckt, eine Oberflächendielektrikumschicht, die sich über der Planarisierungsstoppschicht erstreckt und mehrere erste Bondingpads innerhalb der Oberflächendielektrikumschicht, die mit den mehreren ersten leitfähigen Pads verbunden sind. In einer Ausführungsform umfasst die Vorrichtung eine Ätzstoppschicht, die sich über der Planarisierungsstoppschicht, der Oberflächendielektrikumschicht auf der Ätzstoppschicht erstreckt. In einer Ausführungsform umfasst die Vorrichtung eine erste Dielektrikumschicht zwischen der Planarisierungsstoppschicht und der Ätzstoppschicht. In einer Ausführungsform erstrecken sich die mehreren Bondingpads durch die Planarisierungsstoppschicht und die Ätzstoppschicht. In einer Ausführungsform umfasst die Planarisierungsstoppschicht Siliziumkarbid. In einer Ausführungsform weist die Oberflächendielektrikumschicht eine Dicke zwischen 6 µm und 8 µm auf. In einer Ausführungsformen umfasst die Vorrichtung eine zweite Dielektrikumschicht zwischen der Verbindungsstruktur und den mehreren ersten leitfähigen Pads, wobei sich die Planarisierungsstoppschicht über eine obere Fläche der zweiten Dielektrikumschicht erstreckt. In einer Ausführungsform umfasst die Vorrichtung mehrere zweite leitfähige Pads über der Verbindungsstruktur und umfasst mehrere zweite Bondingpads innerhalb der Oberflächendielektrikumschicht und mit den mehreren zweiten leitfähigen Pads verbunden, wobei die zweiten leitfähigen Pads von der Verbindungsstruktur isoliert sind. In einer Ausführungsform umfassen die mehreren ersten leitfähigen Pads Aluminium.
  • In einer Ausführungsform umfasst ein Verfahren das Bilden einer ersten Metallleitung in einer Verbindungsstruktur, das Bilden einer Isolierungsschicht über der Verbindungsstruktur das Bilden eines leitfähigen Elements über der Isolierungsschicht, wobei sich das leitfähige Element durch die Isolierungsschicht auf die erste Metallleitung erstreckt, das Bilden einer ersten Stoppschicht, die sich über der Isolierungsschicht erstreckt und die sich über Seitenwänden und einer oberen Fläche des leitfähigen Elements erstreckt, das Bilden einer zweiten Isolierungsschicht über der ersten Stoppschicht, das Durchführen eines Planarisierungsprozesses auf der zweiten Isolierungsschicht unter Verwendung der ersten Stoppschicht als eine Planarisierungsstoppschicht, das Bilden einer zweiten Stoppschicht über der ersten Stoppschicht, wobei die zweite Stoppschicht physisch mit einer oberen Fläche der zweiten Isolierungsschicht in Kontakt steht und physisch mit einer oberen Fläche der ersten Stoppschicht in Kontakt steht, das Bilden einer Verbindungsoxidschicht über der zweiten Stoppschicht, und das Bilden eines ersten Bondingpads in der Verbindungsoxidschicht. In einer Ausführungsform ist nach dem Durchführen des Planarisierungsprozesses eine erste Dicke der ersten Stoppschicht über der Isolierungsschicht größer als eine zweite Dicke der ersten Stoppschicht über dem leitfähigen Element. In einer Ausführungsform umfasst das Bilden eines Bondingpads in der Verbindungsoxidschicht das Ätzen einer Öffnung in der Verbindungsoxidschicht unter Verwendung der zweiten Stoppschicht als einen Ätzstopp. Und das Ätzen einer Öffnung in der ersten Stoppschicht zum Offenlegen des leitfähigen Elements. In einer Ausführungsform umfasst das Bilden eines Bondingpads in der Verbindungsoxidschicht das Ätzen einer Öffnung in der Verbindungsoxidschicht zum Offenlegen der zweiten Isolierungsschicht unter Verwendung der zweiten Stoppschicht als einen Ätzstopp. In einer Ausführungsform umfasst das Verfahren das Erstrecken der Öffnung in der Verbindungsoxidschicht durch die zweite Isolierungsschicht zum Offenlegen einer zweiten Metallleitung in der Verbindungsstruktur.
  • In einer Ausführungsform umfasst eine Vorrichtung eine Verbindungsstruktur über einem Halbleitersubstrat, mehrere leitfähige Pads über und verbunden mit der Verbindungsstruktur, eine erste Ätzstoppschicht über den mehreren leitfähigen Pads, eine Dielektrikumschicht über der ersten Ätzstoppschicht und um die leitfähigen Pads herum, eine obere Fläche der Dielektrikumschicht, die koplanar mit einer oberen Fläche der ersten Ätzstoppschicht ist, eine Verbindungsschicht über der ersten Ätzstoppschicht und der Dielektrikumschicht, und mehrere Bondingpads in der Verbindungsschicht, wobei die mehreren Bondingpads mit den mehreren leitfähigen Pads verbunden sind. In einer Ausführungsform umfasst die Vorrichtung eine zweite Ätzstoppschicht über der ersten Ätzstoppschicht und der Dielektrikumschicht. In einer Ausführungsform ist das Material der zweiten Ätzstoppschicht dasselbe wie das Material der ersten Ätzstoppschicht. In einer Ausführungsform umfasst die Vorrichtung ein oberes Package, das mit mehreren Bondingpads und der Verbindungsschicht verbunden ist. In einer Ausführungsform erstreckt sich die erste Ätzstoppschicht an Seitenwänden der leitfähigen Pads der mehreren leitfähigen Pads. In einer Ausführungsform erstreckt sich mindestens ein Bondingpad von über den mehreren leitfähigen Pads zu unter den mehreren leitfähigen Pads.

Claims (17)

  1. Vorrichtung (100), aufweisend: eine Verbindungsstruktur (108) über einem Substrat (102); mehrere erste leitfähige Pads (118) über und verbunden mit der Verbindungsstruktur (108); eine Planarisierungsstoppschicht (120), die sich über den Seitenwänden und oberen Flächen der ersten leitfähigen Pads (118) der mehreren ersten leitfähigen Pads (118) erstreckt; eine Oberflächendielektrikumschicht (126), die sich über der Planarisierungsstoppschicht (120) erstreckt; und mehrere erste Bondingpads (128) innerhalb der Oberflächendielektrikumschicht (126), die mit den mehreren ersten leitfähigen Pads (118) verbunden sind; mehrere zweite leitfähige Pads (118) über der Verbindungsstruktur (108) und ferner aufweisend mehrere zweite Bondingpads (133) innerhalb der Oberflächendielektrikumschicht (126), die mit den mehreren zweiten leitfähigen Pads (118) verbunden sind, wobei die zweiten leitfähigen Pads (118) von der Verbindungsstruktur (108) isoliert sind.
  2. Vorrichtung (100) nach Anspruch 1, ferner aufweisend eine Ätzstoppschicht (124), die sich über der Planarisierungsstoppschicht (120) erstreckt, wobei die Oberflächendielektrikumschicht (126) auf der Ätzstoppschicht ist.
  3. Vorrichtung (100) nach Anspruch 2, ferner aufweisend eine erste Dielektrikumschicht (122) zwischen der Planarisierungsstoppschicht (120) und der Ätzstoppschicht (124).
  4. Vorrichtung (100) nach Anspruch 2 oder 3, wobei sich die mehreren Bondingpads (128) durch die Planarisierungsstoppschicht (120) und die Ätzstoppschicht (124) erstrecken.
  5. Vorrichtung (100) nach einem der vorhergehenden Ansprüche, wobei die Planarisierungsstoppschicht (120) Siliziumkarbid umfasst.
  6. Vorrichtung (100) nach einem der vorhergehenden Ansprüche, wobei die Oberflächendielektrikumschicht (126) eine Dicke zwischen 6 µm und 8 µm aufweist.
  7. Vorrichtung (100) nach einem der vorhergehenden Ansprüche, ferner aufweisend eine zweite Dielektrikumschicht (114) zwischen der Verbindungsstruktur (108) und den mehreren ersten leitfähigen Pads (118), wobei sich die Planarisierungsstoppschicht (120) über eine obere Fläche der zweiten Dielektrikumschicht (114) erstreckt.
  8. Vorrichtung (100) nach einem der vorhergehenden Ansprüche, wobei die mehreren ersten leitfähigen Pads (118) Aluminium aufweisen.
  9. Verfahren, umfassend: das Bilden einer ersten Metallleitung (112) in einer Verbindungsstruktur (100); das Bilden einer ersten Isolierungsschicht (114) über der Verbindungsstruktur; das Bilden eines leitfähigen Elements (118) über der ersten Isolierungsschicht (114), wobei sich das leitfähige Element (118) durch die erste Isolierungsschicht (114) auf die erste Metallleitung (112) erstreckt; das Bilden einer ersten Stoppschicht (120), die sich über der ersten Isolierungsschicht (114) erstreckt und die sich über Seitenwänden und einer oberen Fläche des leitfähigen Elements (118) erstreckt; das Bilden einer zweiten Isolierungsschicht (122) über der ersten Stoppschicht (120); das Durchführen eines Planarisierungsprozesses auf der zweiten Isolierungsschicht (122) unter Verwendung der ersten Stoppschicht (120) als eine Planarisierungsstoppschicht; das Bilden einer zweiten Stoppschicht (124) über der ersten Stoppschicht (120), wobei die zweite Stoppschicht (124) physisch mit einer oberen Fläche der zweiten Isolierungsschicht (122) in Kontakt steht und physisch mit einer oberen Fläche der ersten Stoppschicht (120) in Kontakt steht; das Bilden einer Verbindungsoxidschicht (126) über der zweiten Stoppschicht (124); und das Bilden eines ersten Bondingpads (128) in der Verbindungsoxidschicht (126), wobei das Bilden des ersten Bondingpads (128) in der Verbindungsoxidschicht (126) umfasst: Ätzen einer Öffnung (127) in die Verbindungsoxidschicht (126) zum Offenlegen der zweiten Isolierungsschicht (122) unter Verwendung der zweiten Stoppschicht (124) als einen Ätzstopp.
  10. Verfahren nach Anspruch 9, ferner umfassend: wobei nach dem Durchführen des Planarisierungsprozesses eine erste Dicke (T1) der ersten Stoppschicht (120) über der ersten Isolierungsschicht (114) größer als eine zweite Dicke (T2) der ersten Stoppschicht (120) über dem leitfähigen Element (118) ist.
  11. Verfahren nach Anspruch 9 oder 10, wobei die Bildung des ersten Bondingpads (128) in der Verbindungsoxidschicht (126) umfasst: Ätzen einer Öffnung (127) in die Verbindungsoxidschicht (126) unter Verwendung der zweiten Stoppschicht (124) als einen Ätzstopp; und Ätzen einer Öffnung in der ersten Stoppschicht (124) zum Offenlegen des leitfähigen Elements (118).
  12. Verfahren nach Anspruch 9, ferner umfassend das Erstrecken der Öffnung (127) in der Verbindungsoxidschicht (126) durch die zweite Isolierungsschicht (122) zum Offenlegen einer zweiten Metallleitung (112) in der Verbindungsstruktur (108).
  13. Vorrichtung (160), aufweisend: eine Verbindungsstruktur (108) über einem Halbleitersubstrat (102); mehrere leitfähige Pads (118) über und verbunden mit der Verbindungsstruktur (108); eine erste Ätzstoppschicht (120) über den mehreren leitfähigen Pads (118); eine Dielektrikumschicht (122) teilweise über der ersten Ätzstoppschicht (120) und um die leitfähigen Pads (118) der mehreren leitfähigen Pads (118) herum, eine obere Fläche der Dielektrikumschicht (122), die koplanar mit einer oberen Fläche der ersten Ätzstoppschicht (120) ist; eine Oberflächendielektrikumschicht (126) über der ersten Ätzstoppschicht (120) und der Dielektrikumschicht (122); und mehrere Bondingpads (128) in der Oberflächendielektrikumschicht (126), wobei die mehreren Bondingpads (128) mit den mehreren leitfähigen Pads (118) verbunden sind, wobei sich mindestens ein Bondingpad (128) von über den mehreren leitfähigen Pads (118) bis unter die mehreren leitfähigen Pads (118) erstreckt.
  14. Vorrichtung (160) nach Anspruch 13, ferner aufweisend eine zweite Ätzstoppschicht (124) über der ersten Ätzstoppschicht (120) und der Dielektrikumschicht (122).
  15. Vorrichtung (160) nach Anspruch 14, wobei das Material der zweiten Ätzstoppschicht (124) dasselbe ist wie das Material der ersten Ätzstoppschicht (120).
  16. Vorrichtung (160) nach einem der Ansprüche 13 bis 15, ferner aufweisend ein oberes Package (200), das mit den mehreren Bondingpads (128) und der Verbindungsschicht (126) verbunden ist.
  17. Vorrichtung (160) nach einem der Ansprüche 13 bis 16, wobei sich die erste Ätzstoppschicht (120) an Seitenwänden der leitfähigen Pads (118) der mehreren leitfähigen Pads (118) erstreckt.
DE102019123272.4A 2019-08-23 2019-08-30 Verbindungsstruktur und Verfahren zum Bilden derselben Active DE102019123272B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/549,004 US11195810B2 (en) 2019-08-23 2019-08-23 Bonding structure and method of forming same
US16/549,004 2019-08-23

Publications (2)

Publication Number Publication Date
DE102019123272A1 DE102019123272A1 (de) 2021-02-25
DE102019123272B4 true DE102019123272B4 (de) 2022-01-13

Family

ID=74495848

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019123272.4A Active DE102019123272B4 (de) 2019-08-23 2019-08-30 Verbindungsstruktur und Verfahren zum Bilden derselben

Country Status (5)

Country Link
US (3) US11195810B2 (de)
KR (1) KR102327448B1 (de)
CN (1) CN112420657A (de)
DE (1) DE102019123272B4 (de)
TW (1) TWI718722B (de)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11195810B2 (en) * 2019-08-23 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure and method of forming same
US11410902B2 (en) * 2019-09-16 2022-08-09 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same
FR3101726B1 (fr) * 2019-10-04 2021-10-01 Commissariat Energie Atomique procédé de fabrication d’un dispositif électronique
US11532524B2 (en) * 2020-07-27 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit test method and structure thereof
KR20220031398A (ko) * 2020-09-04 2022-03-11 삼성전기주식회사 인쇄회로기판
US11515234B2 (en) * 2020-12-03 2022-11-29 Advanced Semiconductor Engineering, Inc. Semiconductor device package including promoters and method of manufacturing the same
TWI765647B (zh) * 2021-04-08 2022-05-21 欣興電子股份有限公司 封裝載板及其製作方法
TWI765652B (zh) * 2021-04-09 2022-05-21 晶英科技股份有限公司 運用半導體製程成形於晶圓基板之電性檢測裝置
US20230026052A1 (en) * 2021-07-22 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition bonding layer for joining two semiconductor devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180226289A1 (en) 2015-09-23 2018-08-09 Intel Corporation Ultra thin helmet dielectric layer for maskless air gap and replacement ild processes
US20190244896A1 (en) 2018-02-07 2019-08-08 Samsung Electronics Co., Ltd. Semiconductor device including via plug and method of forming the same

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265315B1 (en) 1998-06-24 2001-07-24 Taiwan Semiconductor Manufacturing Company Method for improving chemical/mechanical polish uniformity over rough topography for semiconductor integrated circuits
US6677637B2 (en) 1999-06-11 2004-01-13 International Business Machines Corporation Intralevel decoupling capacitor, method of manufacture and testing circuit of the same
US6596640B1 (en) * 2002-06-21 2003-07-22 Intel Corporation Method of forming a raised contact for a substrate
US7354862B2 (en) * 2005-04-18 2008-04-08 Intel Corporation Thin passivation layer on 3D devices
US7897481B2 (en) * 2008-12-05 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. High throughput die-to-wafer bonding using pre-alignment
US9406877B2 (en) 2009-01-09 2016-08-02 Nec Corporation Semiconductor device and method of manufacturing the same
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US8841777B2 (en) * 2010-01-12 2014-09-23 International Business Machines Corporation Bonded structure employing metal semiconductor alloy bonding
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9520350B2 (en) 2013-03-13 2016-12-13 Intel Corporation Bumpless build-up layer (BBUL) semiconductor package with ultra-thin dielectric layer
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9257399B2 (en) * 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9893028B2 (en) 2015-12-28 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bond structures and the methods of forming the same
US10276691B2 (en) * 2016-12-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Conformal transfer doping method for fin-like field effect transistor
US10157867B1 (en) 2017-08-31 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10672820B2 (en) * 2017-11-23 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonded structure
US10886249B2 (en) * 2018-01-31 2021-01-05 Ams International Ag Hybrid wafer-to-wafer bonding and methods of surface preparation for wafers comprising an aluminum metalization
US11195810B2 (en) * 2019-08-23 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure and method of forming same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180226289A1 (en) 2015-09-23 2018-08-09 Intel Corporation Ultra thin helmet dielectric layer for maskless air gap and replacement ild processes
US20190244896A1 (en) 2018-02-07 2019-08-08 Samsung Electronics Co., Ltd. Semiconductor device including via plug and method of forming the same

Also Published As

Publication number Publication date
US20210057363A1 (en) 2021-02-25
CN112420657A (zh) 2021-02-26
US11664336B2 (en) 2023-05-30
US11195810B2 (en) 2021-12-07
KR102327448B1 (ko) 2021-11-17
KR20210024402A (ko) 2021-03-05
TW202109804A (zh) 2021-03-01
US20220068860A1 (en) 2022-03-03
DE102019123272A1 (de) 2021-02-25
TWI718722B (zh) 2021-02-11
US20230253354A1 (en) 2023-08-10

Similar Documents

Publication Publication Date Title
DE102019123272B4 (de) Verbindungsstruktur und Verfahren zum Bilden derselben
DE102017117815B4 (de) Struktur eines Halbleitergehäuses und Herstellungsverfahren
DE102020101431B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102019115275B4 (de) Halbleiter-Interconnect-Struktur und Verfahren
DE102020120137B4 (de) Halbleiterpackage und verfahren
DE102021111153A1 (de) Gehäustes halbleiterbauelement und verfahren zum bilden dieses bauelements
DE102019117006A1 (de) Halbleitervorrichtung und verfahren zur herstellung
DE102018124695A1 (de) Integrieren von Passivvorrichtungen in Package-Strukturen
DE102019116376B4 (de) Package mit integrierter Schaltung und Verfahren zu seinem Bilden
DE102021102836A1 (de) Integriertes schaltungspackage und verfahren
DE102020100002A1 (de) Fan-out-packages und verfahren zu deren herstellung
DE102021102227A1 (de) Wärmeableitung bei Halbleiter-Packages und Verfahren zum Ausbilden derselben
DE102020130996A1 (de) Halbleiter-package und verfahren zu dessen herstellung
DE102017126181A1 (de) Leitfähige Durchkontaktierungen in Halbleiterpackages und Verfahren zur Herstellung derselben
DE102019125790A1 (de) Integriertes schaltkreis-package und verfahren
DE102019114074A1 (de) Integriertes-schaltkreis-package und verfahren
DE102021114921A1 (de) Package und Verfahren zum Fertigen desselben
DE102020108481B4 (de) Halbleiter-Die-Package und Herstellungsverfahren
DE102020131125A1 (de) Halbleiterpaket und Verfahren zum Herstellen desselben
DE102020124229A1 (de) Halbleitervorrichtung und verfahren
DE102020115288A1 (de) Halbleitervorrichtungen und herstellungsverfahren
DE102023103380A1 (de) Halbleiter-packages mit gemischten bondarten und deren ausbildungsverfahren
DE102020119971B4 (de) Halbleiterstruktur mit Chip-on-Wafer-Struktur mit Chiplet-Interposer und Verfahren zum Bilden derselben
DE102021117899B3 (de) Verfahren zur herstellung eines integrierten schaltungs-packages
DE102021119243A1 (de) Geformte dies in halbleiterpackages und deren herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023522000

Ipc: H01L0023500000

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final