DE102019109846A1 - Halbleiterstruktur mit gestaffeltem selektivem wachstum - Google Patents

Halbleiterstruktur mit gestaffeltem selektivem wachstum Download PDF

Info

Publication number
DE102019109846A1
DE102019109846A1 DE102019109846.7A DE102019109846A DE102019109846A1 DE 102019109846 A1 DE102019109846 A1 DE 102019109846A1 DE 102019109846 A DE102019109846 A DE 102019109846A DE 102019109846 A1 DE102019109846 A1 DE 102019109846A1
Authority
DE
Germany
Prior art keywords
dielectric
dielectric material
conductive
dielectric layer
structural element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019109846.7A
Other languages
English (en)
Inventor
Zhi-Chang Lin
Teng-Chun Tsai
Wei-Hao Wu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/366,984 external-priority patent/US10840133B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019109846A1 publication Critical patent/DE102019109846A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Geometry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Die vorliegende Erfindung stellt eine Ausführungsform einer Halbleiterstruktur bereit. Die Halbleiterstruktur weist Folgendes auf: ein Halbleitersubstrat; ein erstes leitfähiges Strukturelement und ein zweites leitfähiges Strukturelement, die auf dem Halbleitersubstrat angeordnet sind; und ein versetztes dielektrisches Strukturelement, das zwischen dem ersten und dem zweiten leitfähigen Strukturelement angeordnet ist. Das versetzte dielektrische Strukturelement weist erste dielektrische Schichten und zweite dielektrische Schichten auf, die fingerartig ineinandergreifen. Die ersten dielektrischen Schichten weisen ein erstes dielektrisches Material auf, und die zweiten dielektrischen Schichten weisen ein zweites dielektrisches Material auf, das von dem ersten dielektrischen Material verschieden ist.

Description

  • Prioritätsdaten
  • Die vorliegende Anmeldung beansprucht die Priorität der am 27. September 2018 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/737.279 , die durch Bezugnahme aufgenommen ist.
  • Hintergrund der Erfindung
  • Integrierte Schaltkreise haben sich zu modernen Technologien mit kleineren Strukturgrößen entwickelt. Bei diesen modernen Technologien verkleinert sich der Gate-Abstand kontinuierlich, was eine Gefahr der Brückenbildung durch Kontakt mit dem Gate verursacht. Außerdem werden oftmals dreidimensionale Transistoren mit aktiven Finnenbereichen für eine verbesserte Bauelementleistung gewünscht. Solche dreidimensionalen Feldeffekttransistoren (FETs), die auf aktiven Finnenbereichen hergestellt sind, werden auch als FinFETs bezeichnet. FinFETs müssen eine geringe Finnenbreite für die Kurzkanalkontrolle haben, was zu kleineren oberen Source-/Drain-Bereichen (S/D-Bereichen) als denen von planaren FETs führt. Dies mindert wiederum den Kontakt mit dem S/D-Aufsetzbereich. Zusammen mit der Verringerung der Bauelementgrößen wurden die Kontakt- oder Durchkontaktierungsgrößen kontinuierlich verringert, um die Forderung nach sehr kleinen Gate-Abständen zu erfüllen. Es ist mit verschiedenen Bearbeitungsansätzen experimentiert worden, die jedoch nicht zufriedenstellend gewesen sind und Brückenbildung, hohe Kontaktwiderstände oder Strukturierungsprobleme und hohe Herstellungskosten verursacht haben. Daher werden eine Struktur und ein Verfahren zum Herstellen einer Kontakt-/Durchkontaktierungsstruktur benötigt, um diese Probleme für eine verbesserte Schaltkreisleistung und -zuverlässigkeit anzugehen.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • Die 1A und 1B sind Ablaufdiagramme eines Verfahrens zum Herstellen einer Halbleiterstruktur mit einer versetzten Kontakt-/Durchkontaktierungsstruktur gemäß einigen Ausführungsformen.
    • Die 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14A, 14B und 15 sind Schnittansichten einer Halbleiterstruktur auf verschiedenen Herstellungsstufen, gemäß einigen Ausführungsformen.
    • 16 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen einer Halbleiterstruktur gemäß einigen Ausführungsformen.
    • Die 17A und 17B sind perspektivische Darstellungen einer Halbleiterstruktur auf verschiedenen Herstellungsstufen, gemäß einigen Ausführungsformen.
    • 17C ist eine Teilschnittansicht einer Halbleiterstruktur von 17B, gemäß einigen Ausführungsformen.
    • Die 18 und 19 sind Schnittansichten einer Halbleiterstruktur auf verschiedenen Herstellungsstufen, gemäß einigen Ausführungsformen.
    • Die 20 und 21 sind Schnittansichten einer Halbleiterstruktur auf verschiedenen Herstellungsstufen, gemäß einigen Ausführungsformen.
    • Die 22 und 23 sind Schnittansichten einer Halbleiterstruktur auf verschiedenen Herstellungsstufen, gemäß einigen Ausführungsformen.
    • Die 24 und 25 sind Schnittansichten einer Halbleiterstruktur gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Es ist klar, dass die nachstehende Beschreibung viele verschiedene Ausführungsformen oder Beispiele zum Implementieren unterschiedlicher Merkmale verschiedener Ausführungsformen bereitstellt. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor. Außerdem kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind.
  • Die 1A und 1B sind Ablaufdiagramme eines Verfahrens 100 zum Herstellen eines integrierten Schaltkreises gemäß einigen Ausführungsformen. Die 2 bis 15 sind Schnittansichten eines integrierten Schaltkreises 200 auf verschiedenen Herstellungsstufen. Der integrierte Schaltkreis 200 weist eine versetzte selbstjustierte Kontakt-/Durchkontaktierungsstruktur auf. Bei einigen Ausführungsformen weist der integrierte Schaltkreis 200 Finnen-Transistoren auf, die über die versetzte selbstjustierte Kontakt-/Durchkontaktierungsstruktur elektrisch verbunden sind. Die Halbleiterstruktur 200 und das Verfahren 100 zu deren Herstellung werden nachstehend gemeinsam unter Bezugnahme auf die 1A, 1B und 2 bis 15 beschrieben.
  • In den 1A und 2 beginnt das Verfahren 100 mit einem Block 102, in dem ein Halbleitersubstrat 202 bereitgestellt wird. Das Halbleitersubstrat 202 weist Silizium auf. Bei anderen Ausführungsformen weist das Substrat 202 Germanium, Siliziumgermanium oder andere geeignete Halbleitermaterialien auf. Alternativ kann das Substrat 202 aus Folgendem bestehen: einem anderen geeigneten elementaren Halbleiter, wie etwa Diamant oder Germanium; einem geeigneten Verbindungshalbleiter, wie etwa Siliziumcarbid, Indiumarsenid oder Indiumphosphid; oder einem geeigneten Legierungshalbleiter, wie etwa Siliziumgermaniumcarbid, Galliumarsenphosphid oder Galliumindiumphosphid.
  • Das Halbleitersubstrat 202 kann außerdem verschiedene dotierte Bereiche, wie etwa n-Wannen und p-Wannen, aufweisen. Bei einer Ausführungsform weist das Halbleitersubstrat 202 eine Halbleiter-Epitaxialschicht auf. Bei einer anderen Ausführungsform weist das Halbleitersubstrat 202 eine vergrabene dielektrische Materialschicht zur Isolation auf, die mit einem geeigneten Verfahren, wie etwa einem als SIMOX (Trennung durch implantierten Sauerstoff) bezeichneten Verfahren, hergestellt wird. Bei einigen Ausführungsformen kann das Substrat 202 ein Halbleiter auf Isolator, wie etwa Silizium auf Isolator (SOI), sein.
  • Das Verfahren 100 umfasst einen Schritt 104 zum Herstellen verschiedener Komponenten und Bauelemente auf dem Halbleitersubstrat 202, wie etwa Herstellen von STI-Strukturelementen (STI: flache Grabenisolation); Herstellen von aktiven Finnenbereichen; und Herstellen von Feldeffekttransistoren (FETs). Der Schritt 104 und die entsprechenden Strukturen werden später näher beschrieben.
  • Bleiben wir bei 1A, in der das Verfahren 100 einen Schritt 106 zum Herstellen von ersten leitfähigen Strukturelementen 210 und zweiten leitfähigen Strukturelementen 212 auf dem Substrat 202 und zum Herstellen von dielektrischen Abstandshaltern 214 auf dem Substrat 202 umfasst. Insbesondere werden die dielektrischen Abstandshalter 214 zwischen die benachbarten ersten leitfähigen Strukturelemente 210 und zweiten leitfähigen Strukturelemente 212 eingefügt, um eine Isolation bereitzustellen. Die dielektrischen Abstandshalter 214 kontaktieren seitlich Seitenwände der benachbarten leitfähigen Strukturelemente 210 und 212. Bei einigen Ausführungsformen sind die ersten leitfähigen Strukturelemente 210 Gate-Elektroden der entsprechenden FETs, und die zweiten leitfähigen Strukturelemente 212 sind Kontakte mit den Source-/Drain(S/D)-Strukturelementen der entsprechenden FETs. Die ersten leitfähigen Strukturelemente 210 und die zweiten leitfähigen Strukturelemente 212 weisen Metalle, Metalllegierungen, Silizide, andere geeignete leitfähige Materialien oder eine Kombination davon auf. Bei einigen Ausführungsformen, bei denen die ersten leitfähigen Strukturelemente 210 Gate-Elektroden sind, weisen die ersten leitfähigen Strukturelemente 210 Wolfram, Titannidrid, Tantalnitrid, Titansiliziumnitrid, Titanaluminiumcarbid oder eine Kombination davon auf. Bei einigen Ausführungsformen, bei denen die zweiten leitfähigen Strukturelemente 212 Kontakte sind, weisen die zweiten leitfähigen Strukturelemente 212 Cobalt, Wolfram, Kupfer, Aluminium, Ruthenium oder eine Kombination davon auf. Die Gate-Elektroden werden durch Abscheidung und Strukturierung hergestellt. In einigen Beispielen sind die Gate-Elektroden Metall-Gate-Elektroden, die mit einem Gate-zuletzt-Prozess hergestellt werden, der Folgendes umfasst: Herstellen von Dummy-Gates durch Abscheidung und Strukturierung; Entfernen der Dummy-Gates durch Ätzung; und Herstellen von Metall-Gate-Elektroden durch Abscheidung und Polierung, wie etwa chemisch-mechanische Polierung (CMP). Die Kontakte werden wie folgt hergestellt: Strukturieren eines Zwischenschicht-Dielektrikum-Materials, um Kontaktlöcher zu erzeugen; Füllen eines Metalls in die Kontaktlöcher durch Abscheidung; und CMP. Bei einigen Ausführungsformen sind die dielektrischen Abstandshalter 214 Gate-Abstandshalter, die auf Seitenwänden der Gate-Elektroden durch Abscheidung und anisotrope Ätzung hergestellt werden. Die dielektrischen Abstandshalter 214 weisen ein oder mehrere geeignete dielektrische Materialien auf, wie etwa Siliziumoxid, Siliziumnitrid, andere geeignete dielektrische Materialien oder eine Kombination davon. Das Substrat 202 weist drei Bereiche auf: einen ersten Bereich R1 , auf dem die ersten leitfähigen Strukturelemente 210 hergestellt sind; einen zweiten Bereich R2 , auf dem die zweiten leitfähigen Strukturelemente 212 hergestellt sind; und einen dritten Bereich R3 , auf dem die dielektrischen Abstandshalter 214 hergestellt sind. Bei den Ausführungsformen, bei denen die ersten leitfähigen Strukturelemente 210 Gate-Elektroden sind und die zweiten leitfähigen Strukturelemente 212 Kontakte mit den S/D-Strukturelementen sind, sind die ersten Bereiche R1 Gatebereiche, und die zweiten Bereiche R2 sind Kontaktbereiche.
  • Ein erstes leitfähiges Strukturelement 210 erstreckt sich über eine erste Breite W1 , ein zweites leitfähiges Strukturelement 212 erstreckt sich über eine zweite Breite W2, und ein dielektrischer Abstandshalter 214 erstreckt sich zwischen dem benachbarten ersten und zweiten leitfähigen Strukturelement 210 und 212 mit einer dritten Breite W3 . In einigen Beispielen betragen die Breiten W1 , W2 und W3 7 nm bis 500 nm, 5 nm bis 500 nm bzw. 3 nm bis 50 nm. Die Höhe der ersten leitfähigen Strukturelemente 210, der zweiten leitfähigen Strukturelemente 212 und der dielektrischen Abstandshalter 214 beträgt 10 nm bis 100 nm. Wenn die Strukturgrößen bei modernen Technologieknoten verkleinert werden, wird auch die Breite W3 der dielektrischen Abstandshalter 214 auf eine geringe Größe verkleinert. Verschiedene leitfähige Strukturelemente einer Verbindungsstruktur, die auf den ersten und den zweiten leitfähigen Strukturelementen 210 und 212 hergestellt wird, haben viel kleinere Spannen, um richtig zu den darunter befindlichen leitfähigen Strukturelementen 210 und 212 ausgerichtet zu werden, was zu Kurzschluss- oder Brückenbildungsproblemen führt, wenn eine Fehljustierung den zulässigen Bereich überschreitet, der ebenfalls verkleinert wird. Wenn jedoch die Ätzselektivität zum Erreichen eines selbstjustierten Prozesses verwendet wird, können die Probleme zwar überwunden werden, wenn drei oder mehr unterschiedliche Materialien verwendet werden, aber dadurch steigen die Herstellungskosten und - komplexität, ganz zu schweigen von den Herausforderungen beim Auswählen von dielektrischen Materialien und Ätzmitteln und anderen Problemen, wie etwa dem Gatehöhenverlust während dieser Ätzprozesse. Mit dem offenbarten Verfahren 100 wird die Selbstjustierung unter Verwendung von nur zwei unterschiedlichen dielektrischen Materialien erreicht.
  • Das Verfahren 100 kann einen Schritt 108 zum Durchführen einer Behandlung an den ersten leitfähigen Strukturelementen 210, den zweiten leitfähigen Strukturelementen 212 oder beiden umfassen, um selektive Abscheidungen in dem nächsten Schritt zu verbessern.
  • Das Verfahren 100 umfasst einen Schritt 110 zum Durchführen von selektiven Abscheidungen von ersten und zweiten dielektrischen Materialien auf den ersten leitfähigen Strukturelementen 210 bzw. den zweiten leitfähigen Strukturelementen 212, sodass eine versetzte selbstjustierte dielektrische Doppelstruktur (staggered dual self-aligned dielectric structure; SDSADS) 220 entsteht, wie in 7 gezeigt ist. Das erste dielektrische Material und das zweite dielektrische Material sind voneinander verschieden, sodass selektive Abscheidungen und selektive Ätzungen in späteren Schritten durchgeführt werden können. Außerdem hat das dielektrische Material der Abstandshalter 214 in den dritten Bereichen R3 für die Abscheidungsselektivität eine andere Zusammensetzung als das erste und das zweite dielektrische Material. Insbesondere weist die SDSADS 220 mehrere Schichten des ersten dielektrischen Materials und mehrere Schichten des zweiten dielektrischen Materials auf, die in dem dritten Bereich R3 abwechselnd abgeschieden werden und fingerartig ineinandergreifen. Nur das erste dielektrische Material befindet sich in den ersten Bereichen R1 , die das zweite dielektrische Material nicht aufweisen. Ebenso befindet sich nur das zweite dielektrische Material in den zweiten Bereichen R2 , die das erste dielektrische Material nicht aufweisen, wie in 7 gezeigt ist.
  • Die SDSADS 220 wird dadurch hergestellt, dass das erste und das zweite dielektrische Material abwechselnd selektiv abgeschieden werden. Insbesondere wird das erste dielektrische Material in den ersten Bereichen R1 selektiv abgeschieden, und das zweite dielektrische Material wird in den zweiten Bereichen R2 selektiv abgeschieden. Die SDSADS 220 und der Schritt 110 zu deren Herstellung werden nachstehend unter Bezugnahme auf 1B des Schritts 110 und die 3 bis 7 der Halbleiterstruktur 200 näher beschrieben.
  • In 1B und in 3 umfasst der Schritt 110 einen Schritt 152 zum selektiven Abscheiden eines ersten dielektrischen Materials 216-1 in den ersten Bereichen R1 in Bezug zu den zweiten Bereichen R2 und den dritten Bereichen R3 mit einem selektiven Abscheidungsprozess. Das erste dielektrische Material 216-1 wird nur auf den ersten Bereichen R1 , aber nicht auf den zweiten Bereichen R2 und den dritten Bereichen R3 abgeschieden. Während der selektiven Abscheidung reicht jedoch das erste dielektrische Material seitlich in die benachbarten dritten Bereiche R3 hinein, da das zuvor abgeschiedene erste dielektrische Material als neue Abscheidungsfläche für eine nachfolgende Abscheidung dient.
  • Dies wird nun unter Bezugnahme auf 24 erläutert, die eine Struktur 2400 in einer Schnittansicht zeigt. Die Struktur 2400 weist zwei Bereiche mit unterschiedlichen Materialien auf der Oberseite auf: einen ersten Bereich („Bereich 1“) mit einem Material 2412 und einen zweiten Bereich („Bereich 2“) mit einem Material 2414. Wenn eine selektive Abscheidung für die Struktur 2400 verwendet wird, wird ein Material 2422 selektiv auf dem ersten Material 2412 in dem ersten Bereich 1 abgeschieden. Die Wachstumsfront des abgeschiedenen Materials 2422 bewegt sich von 2422-1 zu 2422-2, 2422-3 usw. Zum Beispiel hat die Wachstumsfront 2422-2 einen oberen Bereich und Seitenbereiche. Die Wachstumsfront 2422-2 in dem oberen Bereich stellt eine Fläche für eine weitere Abscheidung zum vertikalen Aufwachsen bereit, während sie in den Seitenbereichen Flächen für eine weitere Abscheidung bereitstellt, die zum horizontalen Ausdehnen des Materials 2422 in den benachbarten zweiten Bereich führt. In einem anderen Beispiel werden die zweiten Bereiche mit einem Inhibitor 2502 behandelt, um eine selektive Abscheidung zu ermöglichen, wie in 25 gezeigt ist. Der Inhibitor hat eine spezielle chemische Oberflächen-Eigenschaft, wie etwa hydrophob im Vergleich zu hydrophil. Bei einigen Ausführungsformen weist der Inhibitor eine hydrophobe/hydrophile funktionelle Gruppe auf, die sich selektiv auf einer gewünschten Nicht-Wachstumsfläche anlagert, um ein späteres Aufwachsen darauf, wie etwa durch Atomlagenabscheidung (ALD), zu blockieren. Dadurch wächst die nachfolgende ALD-Schicht selektiv nur auf der gewünschten Fläche.
  • Kommen wie zu 3 zurück, in der die Wachstumsfront des abgeschiedenen ersten dielektrischen Materials eine Oberseite für eine weitere Abscheidung zum vertikalen Ausdehnen und Seitenwandflächen für eine weitere Abscheidung bereitstellt, die dazu führt, dass sich das erste dielektrische Material horizontal in den benachbarten dritten Bereich R3 hinein ausdehnt.
  • Die selektive Abscheidung in dem Schritt 152 wird so gesteuert, dass die seitliche Ausdehnung des ersten dielektrischen Materials den am weitesten entfernten Rand des benachbarten dielektrischen Abstandshalters 214 erreicht und den entsprechenden dritten Bereich R3 vollständig bedeckt. Somit bedeckt das erste dielektrische Material, das in dem Schritt 152 abgeschieden wird, die ersten Bereiche R1 und die benachbarten dritten Bereiche R3 , wie in 3 gezeigt ist. Die so hergestellte dielektrische Schicht aus dem ersten dielektrischen Material ist mit der Bezugszahl 216-1 bezeichnet. Für die selektive Abscheidung in dem Schritt 152 kann jedes geeignete Abscheidungsverfahren verwendet werden. Bei der vorliegenden Ausführungsform umfasst die selektive Abscheidung in dem Schritt 152 eine Atomlagenabscheidung (ALD), die mit Mechanismen, die vorstehend beschrieben worden sind, wie etwa mit einem Inhibitor, so eingestellt wird, dass sie eine Abscheidungsselektivität hat. Bei einigen Ausführungsformen wird zum Schützen des Inhibitors auf der Nicht-Wachstumsfläche der ALD-Prozess so eingestellt, dass er eine Abscheidungstemperatur von weniger als 350 °C hat, um zu vermeiden, dass die Inhibitorschicht zerstört wird, die sich auf der Nicht-Wachstumsfläche anlagert. Das abgeschiedene erste dielektrische Material 216-1 dient auch als eine neue Abscheidungsfläche für eine nachfolgende Abscheidung.
  • In den 1B und 4 umfasst der Schritt 110 weiterhin einen Schritt 154 zum selektiven Abscheiden eines zweiten dielektrischen Materials 218-1 in den zweiten Bereichen R2 in Bezug zu den ersten Bereichen R1 und den dritten Bereichen R3 mit einem anderen selektiven Abscheidungsprozess. Das zweite dielektrische Material wird nur auf den zweiten Bereichen R2 , aber nicht auf den ersten Bereichen R1 und den dritten Bereichen R3 abgeschieden. Während der selektiven Abscheidung reicht jedoch aus den vorstehend dargelegten Gründen das zweite dielektrische Material seitlich in die benachbarten dritten Bereiche R3 hinein. Die selektive Abscheidung in dem Schritt 154 wird so gesteuert, dass die seitliche Ausdehnung des zweiten dielektrischen Materials den am weitesten entfernten Rand des benachbarten dielektrischen Abstandshalters 214 erreicht und den entsprechenden dritten Bereich R3 vollständig bedeckt. Somit bedeckt das zweite dielektrische Material, das in dem Schritt 154 abgeschieden wird, die zweiten Bereiche R2 und die benachbarten dritten Bereiche R3 , wie in 4 gezeigt ist. Die so hergestellte dielektrische Schicht aus dem zweiten dielektrischen Material ist mit der Bezugszahl 218-1 bezeichnet. Für die selektive Abscheidung in dem Schritt 154 kann jedes geeignete Abscheidungsverfahren verwendet werden. Bei der vorliegenden Ausführungsform umfasst die selektive Abscheidung in dem Schritt 154 eine ALD, die so eingestellt wird, dass sie eine Abscheidungsselektivität hat. Bei einigen Ausführungsformen wird der ALD-Prozess so eingestellt, dass er eine Abscheidungstemperatur von weniger als 350 °C hat, um sicherzustellen, dass sich die Inhibitorschicht auf der Nicht-Wachstumsfläche anlagert.
  • Das erste dielektrische Material und das zweite dielektrische Material haben eine unterschiedliche Zusammensetzung für eine selektive Abscheidung und eine selektive Ätzung. In einigen Beispielen umfasst das erste dielektrische Material Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbonitrid, Siliciumcarbooxidnitrid, Siliziumcarbid oder ein Metalloxid (z. B. Hafniumoxid, Zirconiumoxid, Lanthanoxid und Aluminiumoxid) oder eine Kombination davon. Das zweite dielektrische Material wird ebenfalls aus dieser Gruppe gewählt, aber es wird so gewählt, dass es von dem ersten dielektrischen Material verschieden ist. Zum Beispiel ist das erste dielektrische Material ein Metalloxid, und das zweite dielektrische Material ist Siliziumnitrid. In einem anderen Beispiel ist das erste dielektrische Material ein siliziumhaltiges dielektrisches Material (wie etwa Siliziumoxid, Siliziumnitrid und Siliziumoxidnitrid), und das zweite dielektrische Material ist ein metallhaltiges dielektrisches Material (wie etwa Hafniumoxid, Zirconiumoxid, Lanthanoxid und Aluminiumoxid). Das dielektrische Material der Abstandshalter 214 ist für die Abscheidungsselektivität von dem ersten und dem zweiten dielektrischen Material verschieden. Zum Beispiel ist das erste dielektrische Material ein Metalloxid, das zweite dielektrische Material ist Siliziumnitrid, und das dielektrische Material für die Abstandshalter 214 ist Siliziumoxid.
  • Die Schritte 152 und 154 werden mit mehreren Zyklen wiederholt, um das erste und das zweite dielektrische Material abwechselnd abzuscheiden, sodass die SDSADS 220 mit einer vereinten Dicke entsteht, die eine Solldicke erreicht. Insbesondere wird in einem i-ten Zyklus in dem Schritt 152 das erste dielektrische Material selektiv in den ersten Bereichen R1 abgeschieden, und es erstreckt sich seitlich bis zu den benachbarten dritten Bereichen R3 und wird als eine erste dielektrische Schicht 216-i bezeichnet. Dann wird in dem Schritt 154 das zweite dielektrische Material selektiv in den zweiten Bereichen R2 abgeschieden, und es erstreckt sich seitlich bis zu den benachbarten dritten Bereichen R3 und wird als eine zweite dielektrische Schicht 218-i bezeichnet. Zum Beispiel wird eine weitere erste dielektrische Schicht 216-2 in den ersten Bereichen R1 abgeschieden, und sie erstreckt sich bis zu den dritten Bereichen R3 , wie in 5 gezeigt ist; eine weitere zweite dielektrische Schicht 218-2 wird in den zweiten Bereichen R2 abgeschieden, und sie erstreckt sich bis zu den dritten Bereichen R3 , wie in 6 gezeigt ist; eine weitere erste dielektrische Schicht 216-3 wird in den ersten Bereichen R1 abgeschieden, und sie erstreckt sich bis zu den dritten Bereichen R3 , wie in 6 gezeigt ist; und so weiter, um die SDSADS 220 herzustellen, die in 7 gezeigt ist. Die wechselnden Abscheidungen 152 und 154 werden so lange fortgesetzt, bis die vereinte Dicke T der SDSADS 220 die Solldicke erreicht, wie etwa die gewünschte Dicke zum Herstellen von Durchkontaktierungen in der SDSADS 220. Der Schritt 110 umfasst einen Block 156 zum Ermitteln, ob die vereinte Dicke T die Solldicke erreicht. Falls nicht, wird zurückgegangen, um die Schritte 152 und 154 zu wiederholen (158). Falls ja, ist der Schritt 110 mit der hergestellten SDSADS 220 beendet. 7 zeigt nur beispielhafte erste dielektrische Schichten (216-1, 216-2, 216-3 usw.) und beispielhafte zweite dielektrische Schichten (218-1, 218-2 usw.). Die tatsächlichen Anzahlen der ersten und der zweiten dielektrischen Schichten hängen von der Solldicke T ab. Die ersten dielektrischen Schichten umfassen N1 Schichten: 216-1, 216-2, ... und 216-N1 . Die zweiten dielektrischen Schichten umfassen N2 Schichten: 218-1, 218-2, ... und 218-N2 . N1 und N2 sind jeweils größer als 2, z. B. 5 bis 10. Bei einigen Ausführungsformen sind N1 und/oder N2 2 oder größer als 2, und die jeweils andere Anzahl ist 1 oder größer als 1. Die so hergestellte SDSADS 220 umfasst drei Teile, und zwar die ersten Bereiche R1 , die nur das erste dielektrische Material aufweisen; die zweiten Bereiche R2 , die nur das zweite dielektrische Material aufweisen; und die dritten Bereiche R3 , die das erste und das zweite dielektrische Material aufweisen, die fingerartig ineinandergreifen. Alternativ können die Schritte des Schritts 110 eine andere Reihenfolge haben, zum Beispiel wird mit dem Schritt 154 begonnen, dann wird der Schritt 152 ausgeführt, und die Schritte 154 und 152 werden wiederholt, bis die SDSADS 220 die Solldicke erreicht.
  • Um die SDSADS 220 herzustellen, werden die Schritte 152 und 154 so angepasst, dass selektive Abscheidungen erreicht werden, wie vorstehend dargelegt worden ist. Die selektiven Abscheidungen hängen von zahlreichen Faktoren ab, wie etwa dem Abscheidungsverfahren, den Zusammensetzungen der abgeschiedenen dielektrischen Materialien und der Abscheidungsfläche. Somit kann der selektiven Abscheidungsprozess dadurch angepasst werden, dass eine Kombination aus dem Abscheidungsverfahren, den Zusammensetzungen des ersten und des zweiten dielektrischen Materials und der Abscheidungsfläche gewählt wird. Bei einigen Ausführungsformen umfasst das Verfahren 100 vor dem Schritt 110 einen Schritt 108 zum Behandeln oder Modifizieren der ersten Bereiche R1 und/oder der zweiten Bereiche R2 , um Flächen mit einer Abscheidungsselektivität bereitzustellen.
  • In den 1A und 8 kann das Verfahren 100 einen Schritt zum Herstellen einer Zwischenschichtdielektrikum-Schicht (ILD-Schicht) 222 umfassen, die ein oder mehrere geeignete dielektrische Materialien aufweist, wie etwa Siliziumoxid, Siliziumnitrid, ein dielektrisches Low-k-Material, ein anderes geeignetes dielektrisches Material oder eine Kombination davon. Zum Beispiel wird die ILD-Schicht mit einem geeigneten Abscheidungsverfahren, wie etwa chemische Aufdampfung (CVD), abgeschieden, und anschließend wird ein CMP-Prozess durchgeführt, um die Oberseite auszusparen und zu planarisieren.
  • In den 1A, 9 und 10 geht das Verfahren 100 zu einem Schritt 112 weiter, um einen ersten Strukturierungsprozess durchzuführen, um das erste dielektrische Material (die ersten dielektrischen Materialschichten 216-1, 216-2 usw.) in Bezug zu dem zweiten dielektrischen Material (den zweiten dielektrischen Materialschichten 218-1, 218-2 usw.) selektiv zu ätzen. Der erste Strukturierungsprozess kann das Erzeugen einer ersten Maske 224 mit einer oder mehreren Öffnungen 226 zum Freilegen des zu ätzenden ersten Bereichs R1 umfassen, wie in 9 gezeigt ist. Die Öffnung 226 der ersten Maske 224 wird auf Grund der Ätzselektivität zwischen dem ersten und dem zweiten dielektrischen Material nicht unbedingt exakt zu dem ersten dielektrischen Material in einem der ersten Bereiche R1 ausgerichtet. Auch wenn verschiedene Abmessungen/Größen mit begrenzten Justierspannen verkleinert werden, werden bei dem offenbarten Verfahren die vorstehend beschriebenen Probleme durch die selbstjustierte Ätzung überwunden. Die erste Maske 224 kann eine strukturierte Fotoresistschicht sein, die mit einem lithografischen Prozess hergestellt wird, der Schleuderbeschichtung, Belichtung und Entwicklung umfasst. Alternativ kann die erste Maske 224 eine Hartmaske sein, die mit einem lithografischen und einem Ätzprozess hergestellt wird, bei dem die Öffnung von der Fotoresistschicht auf die Hartmaske übertragen wird.
  • Dann wird ein erster selektiver Ätzprozess an der Halbleiterstruktur 200 durchgeführt, um das erste dielektrische Material selektiv zu ätzen, sodass ein Graben 228 in der SDSADS 220 entsteht, wie in 10 gezeigt ist. Das erste leitfähige Strukturelement 210 wird in dem ersten Graben 228 freigelegt. Das zweite dielektrische Material, das ebenfalls in der Öffnung 226 freigelegt wird, übersteht den selektiven Ätzprozess. Die versetzten Teile der SDSADS 220 in den dritten Bereichen R3 überstehen den Ätzprozess ebenfalls weitgehend, obwohl sie in der Öffnung 226 freigelegt werden. Dies ist darauf zurückzuführen, dass die versetzten Teile das erste und das zweite dielektrische Material, die fingerartig ineinandergreifen, aufweisen und die ersten dielektrischen Materialschichten, die von dem zweiten dielektrischen Material bedeckt sind, gegen die Ätzung geschützt sind. Der erste selektive Ätzprozess kann ein anisotroper Ätzprozess, wie etwa ein Plasmaätzprozess, mit einem Ätzmittel zum selektiven Ätzen des ersten dielektrischen Materials sein. Alternativ kann der erste selektive Ätzprozess ein Trockenätzprozess, ein Nassätzprozess oder eine Kombination davon mit einem oder mehreren Ätzmitteln sein, die das erste dielektrische Material selektiv entfernen.
  • In den 1A und 11 geht das Verfahren 100 zu einem Schritt 114 zum Herstellen einer ersten Durchkontaktierung 230 in dem ersten Graben 228 weiter. Die erste Durchkontaktierung 230 ist ein leitfähiges Strukturelement und setzt direkt auf dem ersten leitfähigen Strukturelement 210 als Teil der Verbindungsstruktur auf. Die erste Durchkontaktierung 230 kann ein Metall, eine Metalllegierung, ein anderes geeignetes leitfähiges Material oder eine Kombination davon aufweisen. In einigen Beispielen weist die erste Durchkontaktierung 230 Cobalt, Wolfram, Ruthenium, Kupfer, Aluminium oder eine Kombination davon auf. Die erste Durchkontaktierung 230 kann zwei oder mehr Schichten aufweisen, wie etwa eine Sperrschicht und ein leitfähiges Füllmaterial. Der Schritt 114 umfasst einen Abscheidungsprozess mit einem geeigneten Verfahren, wie etwa CVD, PVD, ALD, Plattierung, einer anderen geeigneten Abscheidung oder einer Kombination davon. Der Schritt 114 kann außerdem einen CMP-Prozess zum Entfernen von Teilen, die auf der SDSADS 220 abgeschieden worden sind, und zum Planarisieren der Oberseite umfassen. Anschließend wird die erste Maske 224 mit der CMP, einem geeigneten Entfernungsverfahren, wie etwa Nassätzung, oder einer Kombination davon entfernt.
  • In den 1A, 12 und 13 umfasst das Verfahren 100 außerdem einen Schritt 116 zum Durchführen eines zweiten Strukturierungsprozesses zum selektiven Ätzen des zweiten dielektrischen Materials (der zweiten dielektrischen Materialschichten 218-1, 218-2 usw.) in Bezug zu dem ersten dielektrischen Material (den ersten dielektrischen Materialschichten 216-1, 216-2 usw.). Der zweite Strukturierungsprozess kann das Erzeugen einer zweiten Maske 234 mit einer oder mehreren Öffnungen 236 zum Freilegen des zu ätzenden zweiten Bereichs R2 umfassen, wie in 12 gezeigt ist. Die Öffnung 236 der zweiten Maske 234 wird auf Grund der Ätzselektivität zwischen dem ersten und dem zweiten dielektrischen Material nicht unbedingt exakt zu dem zweiten dielektrischen Material in einem der zweiten Bereiche R2 ausgerichtet. Die zweite Maske 234 kann eine strukturierte Fotoresistschicht, die mit einem lithografischen Prozess hergestellt wird, oder eine strukturierte Hartmaske sein, die mit einem lithografischen und einem Ätzprozess hergestellt wird.
  • Dann wird ein zweiter selektiver Ätzprozess an der Halbleiterstruktur 200 durchgeführt, um das zweite dielektrische Material selektiv zu ätzen, sodass ein Graben 238 in der SDSADS 220 entsteht, wie in 13 gezeigt ist. Das erste dielektrische Material, das ebenfalls in der Öffnung 236 freigelegt wird, übersteht den selektiven Ätzprozess. Die versetzten Teile der SDSADS 220 in den dritten Bereichen R3 überstehen den Ätzprozess ebenfalls weitgehend, obwohl sie in der Öffnung 236 freigelegt werden, da sie das erste und das zweite dielektrische Material, die fingerartig ineinandergreifen, aufweisen und die zweiten dielektrischen Materialschichten, die von dem ersten dielektrischen Material bedeckt sind, gegen die Ätzung geschützt sind. Der zweite selektive Ätzprozess kann ein anisotroper Ätzprozess, wie etwa ein Plasmaätzprozess, mit einem Ätzmittel zum selektiven Ätzen des zweiten dielektrischen Materials sein. Alternativ kann der zweite selektive Ätzprozess ein Trockenätzprozess, ein Nassätzprozess oder eine Kombination davon mit einem oder mehreren Ätzmitteln sein, die das zweite dielektrische Material selektiv entfernen. Das in dem zweiten selektiven Ätzprozess verwendete Ätzmittel ist von dem verschieden, das in dem ersten selektiven Ätzprozess verwendet wird. Zum Beispiel ist das erste dielektrische Material ein Metalloxid (wie etwa Hafniumoxid, Zirconiumoxid, Lanthanoxid, Aluminiumoxid oder eine Kombination davon), und das zweite dielektrische Material ist Siliziumnitrid. Das erste Ätzmittel, das in dem ersten selektiven Ätzprozess verwendet wird, weist Chlor (Cl) oder BCl3 auf, um das Metalloxid zu ätzen und auf dem Siliziumnitrid zu stoppen, während das zweite Ätzmittel, das in dem zweiten selektiven Ätzprozess verwendet wird, CH3F aufweist, um das Siliziumnitrid zu ätzen und auf dem Metalloxid zu stoppen.
  • In den 1A und 14A geht das Verfahren 100 zu einem Schritt 118 zum Herstellen einer zweiten Durchkontaktierung 240 in dem zweiten Graben 238 weiter. Die zweite Durchkontaktierung 240 ist ein leitfähiges Strukturelement und setzt direkt auf dem zweiten leitfähigen Strukturelement 212 als Teil der Verbindungsstruktur auf. Die zweite Durchkontaktierung 240 kann ein Metall, eine Metalllegierung, ein anderes geeignetes leitfähiges Material oder eine Kombination davon aufweisen. In einigen Beispielen weist die zweite Durchkontaktierung 240 Cobalt, Wolfram, Ruthenium, Kupfer, Aluminium oder eine Kombination davon auf. Die zweite Durchkontaktierung 240 kann zwei oder mehr Schichten aufweisen, wie etwa eine Sperrschicht und ein leitfähiges Füllmaterial. Der Schritt 118 umfasst einen Abscheidungsprozess mit einem geeigneten Verfahren, wie etwa CVD, PVD, ALD, Plattierung, einer anderen geeigneten Abscheidung oder einer Kombination davon. Der Schritt 118 kann außerdem einen CMP-Prozess zum Entfernen von Teilen, die auf der SDSADS 220 abgeschieden worden sind, und zum Planarisieren der Oberseite umfassen. Anschließend wird die erste Maske 234 mit der CMP, einem geeigneten Entfernungsverfahren, wie etwa Nassätzung, oder einer Kombination davon entfernt.
  • Bei einigen Ausführungsformen haben die so hergestellten leitfähigen Strukturelemente (die erste Durchkontaktierung 230 und die zweite Durchkontaktierung 240) unebene Seitenwände, wie in 14B gezeigt ist. Auf Grund der Seitenätzeffekte des ersten Strukturierungsprozesses zum selektiven Ätzen der ersten dielektrischen Materialschichten in dem Schritt 112 und des zweiten Strukturierungsprozesses zum selektiven Ätzen der zweiten dielektrischen Materialschichten in dem Schritt 116 werden die entsprechenden dielektrischen Materialschichten seitlich geätzt, sodass der erste Graben 228 mit den seitlich ausgesparten ersten dielektrischen Materialschichten und/oder der zweite Graben 238 mit den seitlich ausgesparten zweiten dielektrischen Materialschichten entstehen. Dadurch haben so hergestellte leitfähige Strukturelemente (die erste Durchkontaktierung 230 und die zweite Durchkontaktierung 240) Seitenwände mit kurvenreichen Profilen.
  • Alternativ wird die SDSADS 220 zunächst dicker als mit der Solldicke hergestellt, und dann wird ein oberer Teil der SDSADS 220 durch CMP entfernt, um die erste Durchkontaktierung 230 und die zweite Durchkontaktierung 240 mit einer verbesserten Form und einem verbesserten Profil herzustellen, wie in 15 gezeigt ist. Die so hergestellte SDSADS 220 und die Durchkontaktierungen 230/240 werden kollektiv als eine versetzte selbstjustierte Kontakt-/Durchkontaktierungsstruktur 246 mit der Solldicke bezeichnet.
  • In der versetzten selbstjustierten Kontakt-/Durchkontaktierungsstruktur 246 erstreckt sich die erste Durchkontaktierung 230 über eine vierte Breite W4 , die zweite Durchkontaktierung 240 erstreckt sich über eine fünfte Breite W5 , und der versetzte dielektrische Abstandshalter 242 erstreckt sich über eine sechste Breite W6 zwischen der ersten Durchkontaktierung 230 und der zweiten Durchkontaktierung 240. Mit dem offenbarten Verfahren können für diese Breiten kleinere Abmessungen erzielt werden. Zum Beispiel können die Breiten W4 , W5 und W6 5 nm bis 100 nm, 5 nm bis 100 nm bzw. 3 nm bis 20 nm betragen. Außerdem können die ersten Durchkontaktierungen 230 und die zweiten Durchkontaktierungen 240 eine Höhe von 5 nm bis 100 nm erreichen, und die versetzten dielektrischen Abstandshalter 242 erreichen eine Höhe von 2 nm bis 90 nm.
  • Das Verfahren 100 und die Halbleiterstruktur 200 können unterschiedliche Ausführungsformen, Alternativen und Erweiterungen haben. In einem Beispiel haben die Schritte 112/114 und die Schritte 116/118 eine unterschiedliche Reihenfolge, zum Beispiel werden zuerst die Schritte 116 und 118 und dann die Schritte 112 und 114 ausgeführt. Die Ätzprozesse und Ätzmittel zum Durchführen der ersten und der zweiten selektiven Ätzung können entsprechend dem ersten und dem zweiten dielektrischen Material gewählt werden. In einigen Beispielen, in denen das erste dielektrische Material Siliziumoxid ist und das zweite dielektrische Material ein dielektrisches High-k-Material ist, ist der erste Ätzprozesses ein Trockenätzprozess unter Verwendung eines Ätzmittels, das ein fluorhaltiges Gas (wie etwa CF2, SF6, NF3 oder eine Kombination davon) aufweist, und der zweite Ätzprozess ist ein Trockenätzprozess unter Verwendung eines Ätzmittels, das ein chlorhaltiges Gas (wie etwa Cl2) aufweist. Bei einigen Ausführungsformen weist das Ätzmittel CH3F/O2 zum selektiven Ätzen von Siliziumnitrid, jedoch nicht zum Ätzen von Siliziumoxid, auf. Bei einigen Ausführungsformen weist das Ätzmittel C4F6 zum selektiven Ätzen von Siliziumoxid, jedoch nicht zum Ätzen von Siliziumnitrid, auf. In einem anderen Beispiel können die leitfähigen Strukturelemente 230 und 240 andere Verbindungselemente sein, wie etwa Metallleitungen, die auf den entsprechenden darunter befindlichen leitfähigen Strukturelementen (wie etwa Durchkontaktierungen oder Kontakten) aufsetzen.
  • 16 ist ein Ablaufdiagramm eines Verfahrens 1600 zum Herstellen von aktiven Finnenbereichen, FETs und Source-/Drain-Kontakten, gemäß einigen Ausführungsformen. 17A ist eine schematische Darstellung einer Halbleiterstruktur 1700 gemäß einigen Ausführungsformen. Das Verfahren 1600 umfasst die Schritte 104 und 106 des Verfahrens 100. Die Halbleiterstruktur 1700 kann Teile der Halbleiterstruktur 200 vor der Herstellung der ersten Durchkontaktierung 230, der zweiten Durchkontaktierung 240 und der SDSADS 220 haben. Das Verfahren 1600 und die Halbleiterstruktur 1700 werden gemeinsamen unter Bezugnahme auf die 16 und 17A beschrieben.
  • Das Verfahren 1600 beginnt mit einem Schritt 1602 zum Herstellen von STI-Strukturelementen 1704 (STI: flache Grabenisolation) auf dem Halbleitersubstrat 202. Bei einigen Ausführungsformen werden die STI-Strukturelemente 1704 mit einem Verfahren hergestellt, das die folgenden Schritte umfasst: Strukturieren des Substrats, um Gräben zu erzeugen; Füllen der Gräben mit einem dielektrischen Material; und Polieren, um überschüssiges dielektrisches Material zu entfernen und die Oberseite zu planarisieren. Der Strukturierungsprozess umfasst beispielhaft einen lithografischen und einen Ätzprozess. Der lithografische Prozess umfasst Auftragen, Belichten, Entwickeln und Schritte wie Härten. Ein Resist, das zum Definieren der Finnenstruktur verwendet wird, kann auf der Hartmaskenschicht hergestellt werden. Eine beispielhafte Resistschicht weist ein lichtempfindliches Material auf, mit dem die Eigenschaften der Schicht beim Belichten, zum Beispiel mit UV-Licht, tiefem UV-Licht (DUV-Licht) oder extremem UV-Licht (EUV-Licht), geändert werden. Für die Ätzung können alle geeigneten Ätzverfahren verwendet werden, wie etwa Trockenätzung, Nassätzung und/oder andere Ätzverfahren, z. B. reaktives Ionenätzen (RIE). In die Gräben werden ein oder mehrere dielektrische Materialien gefüllt, um das STI-Strukturelement 1704 herzustellen. Geeignete dielektrische Füllmaterialien sind Halbleiteroxide, Halbleiternitride, Halbleiter-Oxidnitride, Fluorsilicatglas (FSG), dielektrische Low-k-Materialien und/oder Kombinationen davon. Bei verschiedenen beispielhaften Ausführungsformen wird das dielektrische Material mit einem HDP-CVD-Prozess (HDP-CVD: CVD mit einem Plasma hoher Dichte), einem SA-CVD-Prozess (SA-CVD: CVD bei Unterduck), einem Hohes-Seitenverhältnis-Prozess (high aspect ratio process; HARP), einem fließfähigen CVD-Prozess (FCVD) und/oder durch Aufschleudern abgeschieden.
  • Das Verfahren 1600 umfasst außerdem einen Schritt 1604 zum Herstellen von aktiven Finnenbereichen 1706. Der Schritt 1604 umfasst das Aussparen der STI-Strukturelemente 1704, sodass die aktiven Finnenbereiche 1706 über die STI-Strukturelemente 1704 hinaus verlängert werden. In dem Aussparungsprozess werden ein oder mehrere Ätzschritte (wie etwa Trockenätzung, Nassätzung oder eine Kombination davon) verwendet, um die STI-Strukturelemente 1704 selektiv rückzuätzen. Zum Beispiel kann ein Nassätzprozess unter Verwendung von Fluorwasserstoffsäure zum Ätzen verwendet werden, wenn die STI-Strukturelemente 1704 aus Siliziumoxid bestehen. Die aktiven Finnenbereiche 1706 haben längliche Formen, die in der x-Richtung orientiert sind, wie in 17A gezeigt ist.
  • Für die Halbleiterbereiche können auf der vorliegenden Stufe oder vor dem Schritt 1604 verschiedene Dotierungsprozesse verwendet werden, um verschiedene dotierte Wannen, wie etwa n-Wannen und p-Wannen, zu erzeugen. In dem Halbleitersubstrat 202 können verschiedene dotierte Wannen durch jeweilige Ionenimplantationen erzeugt werden.
  • Das Verfahren 1600 geht zu einem Schritt 1606 zum Herstellen von Dummy-Gates auf den aktiven Finnenbereichen 1706 weiter. Die Dummy-Gates sind in 17A nicht dargestellt und sollen auf späteren Herstellungsstufen durch Metall-Gates 1708 ersetzt werden. Die Dummy-Gates haben längliche Formen und sind bei der vorliegenden Ausführungsform in der y-Richtung ausgerichtet. Die Dummy-Gates sind jeweils über mehreren aktiven Finnenbereichen 1706 angeordnet. Die Dummy-Gates können Polysilizium und zusätzlich Siliziumoxid unter dem Polysilizium aufweisen. Die Dummy-Gates werden durch Abscheidung und Strukturierung hergestellt, die wiederum einen lithografischen und einen Ätzprozess umfasst.
  • Auf den Seitenwänden der Dummy-Gates werden ein oder mehrere Gateseitenwand-Strukturelemente (oder -Abstandshalter) 1710 hergestellt. Die Abstandshalter 1710 können auch auf den Seitenwänden der aktiven Finnenbereiche 1706 hergestellt werden. Die Gate-Abstandshalter 1710 weisen ein geeignetes dielektrisches Material auf, wie etwa ein Halbleiteroxid, ein Halbleiternitrid, ein Halbleiter-Kohlenstoffoxid, ein Halbleiter-Oxidnitrid, andere geeignete dielektrische Materialien oder Kombinationen davon. Die Abstandshalter 1710 können mehrere Schichten aufweisen, wie etwa zwei Schichten (eine Siliziumoxidschicht und eine Siliziumnitridschicht) oder drei Schichten (eine Siliziumoxidschicht, eine Siliziumnitridschicht und eine Siliziumoxidschicht). Die Herstellung der Abstandshalter 1710 umfasst Abscheiden und anisotropes Ätzen, wie etwa Trockenätzen.
  • Das Verfahren 1600 geht zu einem Schritt 1608 zum Herstellen verschiedener Sources und Drains (oder Source- und Drain-Elemente) 1712 für jeweilige FinFETs weiter. Die Source- und Drain-Elemente 1712 können leicht dotierte Elemente (LDD-Elemente) und stark dotierte Source- und Drain-Elemente (S/D-Elemente) sein. Jeder Feldeffekttransistor weist eine Source und einen Drain auf, die auf jeweiligen aktiven Finnenbereichen hergestellt sind und zwischen denen das Dummy-Gate eingefügt ist. In dem aktiven Finnenbereich wird in einem Teil, der sich unter dem Dummy-Gate befindet, ein Kanal hergestellt, der sich zwischen den entsprechenden Sources und Drains 1712 erstreckt. Durch selektives epitaxiales Aufwachsen für einen Verspannung-Effekt mit verbesserter Trägerbeweglichkeit und Bauelementleistung können die Sources und Drains 1712 so hergestellt werden, dass sie eine erhabene Struktur aufweisen. Die Dummy-Gates und die Abstandshalter 1710 engen die Sources und Drains 1712 so ein, dass sie selektiv in den Source-/Drain-Bereichen mit einem geeigneten Profil aufgewachsen werden. Bei einigen Ausführungsformen werden die Sources und Drains 1712 mit einem oder mehreren Epitaxieprozessen hergestellt, sodass Si-Strukturelemente, SiGe-Strukturelemente, SiC-Strukturelemente und/oder andere geeignete Halbleiter-Strukturelemente in einem kristallinen Zustand auf den aktiven Finnenbereichen 1706 aufgewachsen werden. Alternativ wird vor dem epitaxialen Aufwachsen ein Ätzprozess zum Aussparen der Source-/Drain-Bereiche verwendet. Geeignete Epitaxieprozesse sind CVD-Abscheidungsverfahren, z. B. Dampfphasenepitaxie (VPE) und/oder CVD im Ultrahochvakuum (UHV-CVD), Molekularstrahlepitaxie (MBE), Atomlagenabscheidung und/oder andere geeignete Prozesse. Für den Epitaxieprozess können gasförmige und/oder flüssige Vorläufer verwendet werden, die mit der Zusammensetzung der aktiven Finnenbereiche 1706 interagieren. Bei einigen Ausführungsformen können benachbarte Sources/Drains so aufgewachsen werden, dass sie miteinander verschmelzen, um eine größere Kontaktfläche bei reduziertem Kontaktwiderstand zu ermöglichen.
  • Das Verfahren 1600 geht zu einem Schritt 1610 weiter, in dem eine Zwischenebenen-Dielektrikum-Schicht (ILD-Schicht) 1714 auf dem Halbleitersubstrat 202 so hergestellt wird, dass sie die Sources und Drains 1712 bedeckt. Die ILD-Schicht 1714 ist in 17A mit Strichlinien und transparent dargestellt, um eine bessere Sicht auf andere Strukturelemente (wie etwa die aktiven Finnenbereiche 1706) zu haben, die in die ILD-Schicht 1714 eingebettet sind. Die ILD-Schicht 1714 umschließt die Dummy-Gates so, dass sie entfernt werden können und ein Ersatz-Gate in der resultierenden Vertiefung hergestellt werden kann. Außerdem ist die ILD-Schicht 1714 ein Teil einer elektrischen Verbindungsstruktur, die verschiedene Bauelemente der Halbleiterstruktur 1700 miteinander elektrisch verbindet. Bei diesen Ausführungsformen fungiert die ILD-Schicht 1714 als ein Isolator, der die Leiterbahnen abstützt und isoliert. Die ILD-Schicht 1714 kann jedes geeignete dielektrische Material aufweisen, wie etwa ein Halbleiteroxid, ein Halbleiternitrid, ein Halbleiter-Oxidnitrid, andere geeignete dielektrische Materialien oder Kombinationen davon. Bei einigen Ausführungsformen weist die ILD-Schicht 1714 ein dielektrisches Low-k-Material (mit einer Dielektrizitätskonstante, die kleiner als die von Siliziumoxid ist) auf. Die Herstellung der ILD-Schicht 1714 kann Abscheidung und CMP zum Bereitstellen einer planarisierten Oberseite umfassen.
  • Das Verfahren 1600 geht zu einem Schritt 1612 zur Gate-Ersetzung weiter. Die Dummy-Gates werden entfernt und durch Gates 110 mit einem dielektrischen High-k-Material und einem Metall ersetzt, und sie werden daher als High-k-Metall-Gates (oder Metall-Gates) 1708 bezeichnet. Der Gate-Ersetzungsprozess kann Ätzen, Abscheiden und Polieren umfassen. Bei der vorliegenden Ausführungsform werden die Dummy-Gates selektiv durch Ätzen entfernt, sodass Gate-Vertiefungen (oder Gate-Gräben) entstehen. Dann werden Gate-Materialien, wie etwa ein dielektrisches High-k-Material und ein Metall, in den Gate-Gräben abgeschieden, um die High-k-Metall-Gates 1708 herzustellen. Außerdem wird ein CMP-Prozess zum Polieren und zum Entfernen von überschüssigen Gate-Materialien von der Halbleiterstruktur 1700 implementiert.
  • Die Metall-Gates 1708 werden in den Gate-Gräben mit einem geeigneten Verfahren, wie etwa einem Gate-zuletzt-Prozess oder einem High-k-zuletzt-Prozess, hergestellt, aber es ist klar, dass die Metall-Gates 1708 jede geeignete Gatestruktur haben können und mit jedem geeigneten Verfahren hergestellt werden können. Ein Metall-Gate 1708 wird auf dem Halbleitersubstrat 202 über dem Kanal des aktiven Finnenbereichs 1706 hergestellt. Die Metall-Gates 1708 weisen eine dielektrische Gateschicht 1708A und eine Gate-Elektrode 1708B auf, die auf der dielektrischen Gateschicht 1708A angeordnet ist. Bei der vorliegenden Ausführungsform weist die dielektrische Gateschicht 1708A ein dielektrisches High-k-Material auf, und die Gate-Elektrode 1708B weist ein Metall oder eine Metalllegierung auf. In einigen Beispielen können die dielektrische Gateschicht 1708A und die Gate-Elektrode 1708B jeweils mehrere Schichten umfassen. Das dielektrische High-k-Material kann Folgendes umfassen: ein Metalloxid, wie etwa LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), BaTiO3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO3 (BST), Al2O3; ein Metallnitrid; Si3N4; Siliziumoxidnitrid (SiON); oder andere geeignete dielektrische Materialien. Die Gate-Elektrode 1708B kann Folgendes umfassen: Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, Al, WN, Cu, W, Ru, Co oder andere geeignete leitfähige Materialien. Bei einigen Ausführungsformen werden unterschiedliche metallische Materialien für n-FET- und p-FET-Bauelemente (nFETs und pFETs) mit jeweiligen Austrittsarbeiten zum Reduzieren der Schwellenspannung und zum Verbessern der Bauelementleistung verwendet. Bei einigen weiteren Ausführungsformen wird die dielektrische Gateschicht 1708A in dem High-k-zuletzt-Prozess hergestellt, in dem die dielektrische Gateschicht 1708A in dem Gate-Gräben abgeschieden wird und U-förmig ist, wie in 17A gezeigt ist.
  • Die dielektrische Gateschicht 1708A kann außerdem eine Grenzflächenschicht aufweisen, die sich zwischen dem dielektrischen High-k-Material und dem entsprechenden aktiven Finnenbereich 1706 befindet. Die Grenzflächenschicht kann Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid und/oder ein anderes geeignetes Material aufweisen. Die Grenzflächenschicht wird mit einem geeigneten Verfahren wie ALD, CVD, Ozon-Oxidation oder dergleichen abgeschieden. Die dielektrische High-k-Schicht wird auf der Grenzflächenschicht (falls vorhanden) mit einem geeigneten Verfahren wie ALD, CVD, metallorganische CVD (MOCVD), PVD, thermische Oxidation, Kombinationen davon und/oder anderen geeigneten Verfahren abgeschieden.
  • Die Gate-Elektrode 1708B kann mehrere leitfähige Materialien aufweisen. Bei einigen Ausführungsformen weist die Gate-Elektrode 1708B eine Verkappungsschicht, eine Blockierschicht, eine Austrittsarbeitsmetallschicht (WF-Metallschicht) und eine Füllmetallschicht auf. Bei weiteren Ausführungsformen weist die Verkappungsschicht Titannidrid, Tantalnitrid oder ein anderes geeignetes Material auf, das mit einem geeigneten Abscheidungsverfahren wie ALD abgeschieden wird. Die Blockierschicht weist Titannidrid, Tantalnitrid oder ein anderes geeignetes Material auf, das mit einem geeigneten Abscheidungsverfahren wie ALD abgeschieden wird.
  • Die WF-Metallschicht umfasst eine leitfähige Schicht aus einem Metall oder einer Metalllegierung mit einer geeigneten Austrittsarbeit, sodass der entsprechende FET eine reduzierte Schwellenspannung und eine verbesserte Bauelementleistung hat. Die WF-Metallschicht für einen pFET (wie etwa in einem ersten Bereich 202A) und die WF-Metallschicht für einen nFET (wie etwa in einem zweiten Bereich 202B) haben unterschiedliche Zusammensetzungen, die als ein p-WF-Metall bzw. ein n-WF-Metall bezeichnet werden. Insbesondere ist ein n-WF-Metall ein Metall, das eine solche erste Austrittsarbeit hat, dass die Schwellenspannung des zugehörigen nFET reduziert wird. Das n-WF-Metall hat eine Austrittsarbeit, die dicht an der Silizium-Leitungsbandenergie (Ec) ist, oder eine niedrigere Austrittsarbeit, die einen leichteren Elektronenaustritt ermöglicht. Das n-WF-Metall hat zum Beispiel eine Austrittsarbeit von etwa 4,2 eV oder weniger. Ein p-WF-Metall ist ein Metall, das eine solche zweite Austrittsarbeit hat, dass die Schwellenspannung des zugehörigen pFET reduziert wird. Das p-WF-Metall hat eine Austrittsarbeit, die dicht an der Silizium-Valenzbandenergie (Ev) ist, oder eine höhere Austrittsarbeit, die eine starke Elektronenbindungsenergie für die Kerne bereitstellt. Das p-WF-Metall hat zum Beispiel eine Austrittsarbeit von etwa 5,2 eV oder höher. Bei einigen Ausführungsformen ist das n-WF-Metall Tantal (Ta). Bei anderen Ausführungsformen ist das n-WF-Metall Titan-Aluminium (TiAl), Titan-Aluminium-Nitrid (TiAlN) oder eine Kombination davon. Bei weiteren Ausführungsformen ist das n-WF-Metall Ta, TiAl, TiAlN, Wolframnitrid (WN) oder eine Kombination davon. Bei einigen Ausführungsformen ist das p-WF-Metall Titannidrid (TiN) oder Tantalnitrid (TaN). Bei anderen Ausführungsformen ist das p-WF-Metall TiN, TaN, Wolframnitrid (WN), Titan-Aluminium (TiAl) oder eine Kombination davon. Das Austrittsarbeitsmetall wird mit einem geeigneten Verfahren wie PVD abgeschieden. Das n-WF-Metall oder das p-WF-Metall kann verschiedene Schichten auf der Basis von Metallen als einen Stapel zur Optimierung der Bauelementleistung und der Bearbeitungskompatibilität umfassen.
  • Das Verfahren 1600 kann außerdem einen Schritt 1614 zum Herstellen eines oder mehrerer Kontakte 1716 umfassen, die auf den Source- und Drain-Elementen 1712 aufsetzen. 17A zeigt nur zwei beispielhafte Kontakte zur Erläuterung, aber es ist klar, dass mehrere Kontakte 1716 hergestellt werden, die auf jeweiligen Source- und Drain-Elementen 1712 aufsetzen. Der Schritt 1614 kann bei einigen Ausführungsformen die folgenden Schritte umfassen: Strukturieren der ILD-Schicht 1714, um Kontaktlöcher zu erzeugen; Abscheiden eines leitfähigen Materials in den Kontaktlöchern; und Polieren mittels CMP. Für den Strukturierungsprozess kann ein selbstjustierter Ätzprozess verwendet werden, um die ILD-Schicht 1714 unter Verwendung der Abstandshalter 1710 selektiv so zu ätzen, dass der Ätzprozess so beschränkt wird, dass er mit den jeweiligen Sources und Drains selbstjustiert wird. Das leitfähige Material ist Cobalt, Ruthenium, Nickel, Wolfram, Kupfer, Aluminium, ein anderes geeignetes Metall oder Metalllegierung oder eine Kombination davon.
  • Anschließend wird die versetzte selbstjustierte Kontakt-/Durchkontaktierungsstruktur 246 mit verschiedenen Schritten (wie etwa den Schritten 110 bis 118) des vorstehend beschriebenen Verfahrens 100 von 1A auf der Halbleiterstruktur 1700 hergestellt, wie in 17B gezeigt ist. 17C ist eine Teilschnittansicht der Halbleiterstruktur 1700 von 17B, gemäß einigen Ausführungsformen.
  • Kommen wir zu dem Schritt 110 von 1B zurück, in dem die SDSADS 220 durch selektive Abscheidungen hergestellt wird. Der Schritt 110 umfasst den Schritt 152 für die erste selektive Abscheidung und den Schritt 154 für die zweite selektive Abscheidung. Eine selektive Abscheidung hängt von zahlreichen Faktoren ab, wie etwa dem Abscheidungsverfahren, der Zusammensetzung des abgeschiedenen dielektrischen Materials und der Abscheidungsfläche. Somit können der erste und der zweite selektive Abscheidungsprozess dadurch angepasst werden, dass geeignete Kombinationen aus dem Abscheidungsverfahren, den Zusammensetzungen des ersten und des zweiten dielektrischen Materials und der Abscheidungsfläche gewählt wird.
  • Bei einigen Ausführungsformen bestehen die ersten leitfähigen Strukturelemente 210 und die zweiten leitfähigen Strukturelemente 212 aus unterschiedlichen leitfähigen Materialien, und sie können eine Abscheidungsselektivität bereitstellen. Zum Beispiel weisen die ersten leitfähigen Strukturelemente 210 Kupfer auf, und die zweiten leitfähigen Strukturelemente 212 weisen Wolfram auf. In anderen Beispielen weisen die ersten leitfähigen Strukturelemente 210 Aluminium, eine Metalllegierung, ein Silizid oder eine Kombination davon auf, während die zweiten leitfähigen Strukturelemente 212 Kupfer, Wolfram, Nickel oder eine Kombination davon aufweisen. In diesem Fall beginnt der Schritt 110 auf der Halbleiterstruktur 200, wie in 2 gezeigt ist.
  • Alternativ umfasst das Verfahren 100 vor dem Schritt 110 den Schritt 108 zum Behandeln oder Modifizieren der Oberfläche der Halbleiterstruktur 200, um die selektiven Abscheidungen zu gewährleisten und zu verbessern. Nachstehend werden mehrere Ausführungsformen beschrieben.
  • Bei einigen Ausführungsformen werden die ersten Bereiche R1 so modifiziert, dass sie das erste dielektrische Material (das als erste dielektrische Schicht 216-0 bezeichnet wird) auf der Oberseite aufweisen, wie in 18 gezeigt ist. Bei weiteren Ausführungsformen umfasst der Schritt 108 das selektive Ätzen, um die ersten leitfähigen Strukturelemente 210 auszusparen, und das Abscheiden des ersten dielektrischen Materials; und das Durchführen eines CMP-Prozesses zum Entfernen des ersten dielektrischen Materials, das auf den anderen Bereichen abgeschieden worden ist, und zum Planarisieren der Oberseite. Daher haben die ersten Bereiche R1 Oberflächen des ersten dielektrischen Materials, die von denen (eines leitfähigen Materials) in den zweiten Bereichen R2 und von denen (eines anderen dielektrischen Materials) in den dritten Bereichen R3 verschieden sind. In diesem Fall wird der erste Strukturierungsprozess in dem Schritt 112 fortgesetzt, um die erste dielektrische Schicht 216-0 durchzuätzen, bis die ersten leitfähigen Strukturelemente 210 in den entsprechenden Gräben freigelegt sind. Dadurch erstreckt sich die erste Durchkontaktierung 230 bis zu der ausgesparten Oberfläche des ersten leitfähigen Strukturelements 210 in der Endstruktur, wie in 19 gezeigt ist.
  • Bei einigen Ausführungsformen werden die zweiten leitfähigen Strukturelemente 212 so modifiziert, dass sie das zweite dielektrische Material (das als zweite dielektrische Schicht 218-0 bezeichnet wird) auf der Oberseite aufweisen, wie in 20 gezeigt ist. Bei weiteren Ausführungsformen umfasst der Schritt 108 das selektive Ätzen, um die zweiten leitfähigen Strukturelemente 212 auszusparen, und das Abscheiden des zweiten dielektrischen Materials; und das Durchführen eines CMP-Prozesses zum Entfernen des zweiten dielektrischen Materials, das auf den anderen Bereichen abgeschieden worden ist, und zum Planarisieren der Oberseite. Daher haben die zweiten Bereiche R2 Oberflächen des zweiten dielektrischen Materials, die von denen (eines leitfähigen Materials) in den ersten Bereichen R1 und von denen (eines anderen dielektrischen Materials) in den dritten Bereichen R3 verschieden sind. Der Schritt 110 zum selektiven Abscheiden beginnt auf der Halbleiterstruktur 200 von 20. In diesem Fall wird der zweite Strukturierungsprozess in dem Schritt 116 fortgesetzt, um die zweite dielektrische Schicht 286-0 durchzuätzen, bis die zweiten leitfähigen Strukturelemente 212 in den entsprechenden Gräben freigelegt sind. Dadurch erstreckt sich die zweite Durchkontaktierung 240 bis zu der ausgesparten Oberfläche des zweiten leitfähigen Strukturelements 212 in der Endstruktur, wie in 21 gezeigt ist.
  • Bei einigen Ausführungsformen werden die ersten leitfähigen Strukturelemente 210 und die zweiten leitfähigen Strukturelemente 212 so modifiziert, dass sie die erste dielektrische Schicht 216-0 auf den ersten leitfähigen Strukturelementen 210 bzw. die zweite dielektrische Schicht 218-0 auf den zweiten leitfähigen Strukturelementen 212 haben, wie in 22 gezeigt ist. Bei weiteren Ausführungsformen umfasst der Schritt 108 zwei Schritte in jeder Reihenfolge. Der erste Schritt umfasst das selektive Ätzen, um die ersten leitfähigen Strukturelemente 210 auszusparen, und das Abscheiden des ersten dielektrischen Materials; und das Durchführen eines CMP-Prozesses zum Entfernen des ersten dielektrischen Materials, das auf den anderen Bereichen abgeschieden worden ist, und zum Planarisieren der Oberseite. Der zweite Schritt umfasst das selektive Ätzen, um die zweiten leitfähigen Strukturelemente 212 auszusparen, und das Abscheiden des zweiten dielektrischen Materials; und das Durchführen eines CMP-Prozesses zum Entfernen des zweiten dielektrischen Materials, das auf den anderen Bereichen abgeschieden worden ist, und zum Planarisieren der Oberseite. Der CMP-Prozess kann gemeinsam nur einmal am Ende des Schritts 108 durchgeführt werden. Daher haben die ersten Bereiche R1 Oberflächen des ersten dielektrischen Materials; die zweiten Bereiche R2 haben Oberflächen des zweiten dielektrischen Materials, die unterschiedlich sind; und die dritten Bereiche R3 haben ein dielektrisches Material, das eine andere Zusammensetzung als das erste und das zweite dielektrische Material hat. Der Schritt 110 zum selektiven Abscheiden beginnt auf der Halbleiterstruktur 200 von 22. Die erste Durchkontaktierung 230 erstreckt sich in ähnlicher Weise bis zu der ausgesparten Oberfläche des ersten leitfähigen Strukturelements 210, und die zweite Durchkontaktierung 240 erstreckt sich bis zu der ausgesparten Oberfläche des zweiten leitfähigen Strukturelements 212, wie in 23 gezeigt ist.
  • Bei einigen Ausführungsformen werden ein oder zwei der ersten Bereiche R1 , der zweiten Bereiche R2 und der dritten Bereiche R3 mit einem Inhibitor behandelt, um die Abscheidungsselektivität zu ermöglichen oder zu verbessern.
  • Weitere Herstellungsschritte können vor, während und nach den Schritten des Verfahrens implementiert werden. Zum Beispiel werden weiterhin verschiedene Metallleitungen und Durchkontaktierungen in der Verbindungsstruktur auf der Halbleiterstruktur zum elektrischen Verbinden verschiedener FinFETs und anderer Bauelemente zu einer funktionellen Schaltung mit einem geeigneten Verfahren wie Dual-Damascene-Prozess hergestellt. Insbesondere werden die Gate-Elektroden über die ersten Durchkontaktierungen 230 elektrisch verbunden, und die Source- und Drain-Elemente werden über die zweiten leitfähigen Strukturelemente 212 und die zweite Durchkontaktierung 240 elektrisch verbunden. In den vorstehend beschriebenen Strukturierungsprozessen des Verfahrens 100 kann jeder Strukturierungsschritt durch Doppelstrukturierung oder Mehrfachstrukturierung implementiert werden.
  • Die vorliegende Erfindung stellt einen IC und ein Verfahren zu dessen Herstellung gemäß verschiedenen Ausführungsformen bereit. Insbesondere weist die IC-Struktur eine versetzte selbstjustierte dielektrische Doppelstruktur und Durchkontaktierungen auf, die darin hergestellt sind und zu darunter befindlichen leitfähigen Strukturelementen justiert sind. Das Verfahren umfasst selektive Abscheidungen eines ersten dielektrischen Materials und eines zweiten dielektrischen Materials, die fingerartig ineinandergreifen, und selektive Ätzprozesse. Bei dem Verfahren werden zwei dielektrische Materialien verwendet, um durch Strukturieren ohne Verwendung eines lithografischen Prozesses die versetzte selbstjustierte dielektrische Doppelstruktur mit einer höheren Produktivität und geringeren Herstellungskosten herzustellen. So hergestellte Durchkontaktierungen ermöglichen eine elektrische Trassierung zu verschiedenen Komponenten, wie etwa Gate-Elektroden, Sources und Drains.
  • Die vorliegende Erfindung stellt eine Halbleiterstruktur gemäß einigen Ausführungsformen bereit. Die Halbleiterstruktur weist Folgendes auf: ein Halbleitersubstrat; ein erstes leitfähiges Strukturelement und ein zweites leitfähiges Strukturelement, die auf dem Halbleitersubstrat angeordnet sind; und ein versetztes dielektrisches Strukturelement, das zwischen dem ersten und dem zweiten leitfähigen Strukturelement angeordnet ist. Das versetzte dielektrische Strukturelement weist erste dielektrische Schichten und zweite dielektrische Schichten auf, die fingerartig ineinandergreifen. Die ersten dielektrischen Schichten weisen ein erstes dielektrisches Material auf, und die zweiten dielektrischen Schichten weisen ein zweites dielektrisches Material auf, das von dem ersten dielektrischen Material verschieden ist.
  • Die vorliegende Erfindung stellt ein Verfahren zum Herstellen einer integrierten Schaltkreisstruktur gemäß einigen Ausführungsformen bereit. Das Verfahren umfasst das Bereitstellen einer Halbleiterstruktur, die ein erstes leitfähiges Strukturelement in einem ersten Bereich und ein zweites leitfähiges Strukturelement in einem zweiten Bereich sowie einen dielektrischen Abstandshalter aufweist, der zwischen dem ersten und dem zweiten leitfähigen Strukturelement angeordnet ist. Das Verfahren umfasst weiterhin Folgendes: selektives Abscheiden einer ersten dielektrischen Schicht aus einem ersten dielektrischen Material auf einem ersten Kontakt, wobei das selektive Abscheiden der ersten dielektrischen Schicht das seitliche Ausdehnen der ersten dielektrischen Schicht bis zu dem dielektrischen Abstandshalter umfasst; und selektives Abscheiden einer zweiten dielektrischen Schicht aus einem zweiten dielektrischen Material auf einem zweiten Kontakt. Das selektive Abscheiden der zweiten dielektrischen Schicht umfasst das seitliche Ausdehnen der zweiten dielektrischen Schicht bis zu einem verlängerten seitlichen Teil der ersten dielektrischen Schicht über dem dielektrischen Abstandshalter. Das zweite dielektrische Material hat eine andere Zusammensetzung als das erste dielektrische Material.
  • Die vorliegende Erfindung stellt ein Verfahren gemäß einigen weiteren Ausführungsformen bereit. Das Verfahren weist die folgenden Schritte auf: Bereitstellen einer Halbleiterstruktur, die ein erstes leitfähiges Strukturelement in einem ersten Bereich, ein zweites leitfähiges Strukturelement in einem zweiten Bereich und einen dielektrischen Abstandshalter in einem dritten Bereich aufweist, der zwischen dem ersten und dem zweiten Bereich angeordnet ist; selektives Abscheiden eines ersten dielektrischen Materials in dem ersten Bereich, wobei das selektive Abscheiden des ersten dielektrischen Materials das seitliche Ausdehnen des ersten dielektrischen Materials bis zu dem dritten Bereich umfasst; selektives Abscheiden eines zweiten dielektrischen Materials in dem zweiten Bereich, wobei das selektive Abscheiden des zweiten dielektrischen Materials das seitliche Ausdehnen des zweiten dielektrischen Materials bis zu dem dritten Bereich umfasst, wobei das zweite dielektrische Material eine andere Zusammensetzung als das erste dielektrische Material hat; und wiederholtes Abscheiden des ersten und des zweiten dielektrischen Materials, um eine vereinte dielektrische Schicht herzustellen, die das erste dielektrische Material in dem ersten Bereich, das zweite dielektrische Material in dem zweiten Bereich und eine dielektrische Struktur aus dem ersten und dem zweiten dielektrischen Material aufweist, die in dem dritten Bereich fingerartig ineinandergreifen.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/737279 [0001]

Claims (20)

  1. Halbleiterstruktur (200) mit: einem Halbleitersubstrat (202); einem ersten leitfähigen Strukturelement (230) und einem zweiten leitfähigen Strukturelement (240), die auf dem Halbleitersubstrat angeordnet sind; und einem versetzten dielektrischen Strukturelement (242), das zwischen dem ersten und dem zweiten leitfähigen Strukturelement angeordnet ist, wobei das versetzte dielektrische Strukturelement erste dielektrische Schichten und zweite dielektrische Schichten aufweist, die fingerartig ineinandergreifen, wobei die ersten dielektrischen Schichten ein erstes dielektrisches Material aufweisen und die zweiten dielektrischen Schichten ein zweites dielektrisches Material aufweisen, das von dem ersten dielektrischen Material verschieden ist.
  2. Halbleiterstruktur nach Anspruch 1, die weiterhin Folgendes aufweist: ein drittes leitfähiges Strukturelement, das unter dem ersten leitfähigen Strukturelement angeordnet ist und dieses kontaktiert; ein viertes leitfähiges Strukturelement, das unter dem zweiten leitfähigen Strukturelement angeordnet ist und dieses kontaktiert; und einen dielektrischen Abstandshalter, der unter dem versetzten dielektrischen Strukturelement angeordnet ist und dieses kontaktiert.
  3. Halbleiterstruktur nach Anspruch 2, wobei das dritte leitfähige Strukturelement eine Gate-Elektrode ist, das vierte leitfähige Strukturelement ein Kontakt mit einem Source-/Drain-Element ist, das erste leitfähige Strukturelement ein erstes Verbindungselement ist, das mit der Gate-Elektrode elektrisch verbunden ist, und das zweite leitfähige Strukturelement ein zweites Verbindungselement ist, das mit dem Kontakt elektrisch verbunden ist.
  4. Halbleiterstruktur nach Anspruch 2 oder 3, wobei das versetzte dielektrische Strukturelement zu dem dielektrischen Abstandshalter ausgerichtet ist und vollständig mit diesem überdeckt ist.
  5. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei das erste dielektrische Material ein siliziumhaltiges dielektrisches Material ist und das zweite dielektrische Material ein metallhaltiges dielektrisches Material ist.
  6. Halbleiterstruktur nach Anspruch 5, wobei das erste dielektrische Material Siliziumoxid, Siliziumnitrid oder Siliziumoxidnitrid umfasst und das zweite dielektrische Material Hafniumoxid, Zirconiumoxid, Lanthanoxid oder Aluminiumoxid umfasst.
  7. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei die ersten dielektrischen Schichten eine erste Anzahl N1 der ersten dielektrischen Schichten umfassen, die zweiten dielektrischen Schichten eine zweite Anzahl N2 der zweiten dielektrischen Schichten umfassen, und N1 und/oder N2 gleich oder größer als 2 sind.
  8. Verfahren (100) mit den folgenden Schritten: Bereitstellen einer Halbleiterstruktur, die ein erstes leitfähiges Strukturelement in einem ersten Bereich und ein zweites leitfähiges Strukturelement in einem zweiten Bereich sowie einen dielektrischen Abstandshalter aufweist, der zwischen dem ersten und dem zweiten leitfähigen Strukturelement angeordnet ist; selektives Abscheiden einer ersten dielektrischen Schicht aus einem ersten dielektrischen Material auf einem ersten Kontakt, wobei das selektive Abscheiden der ersten dielektrischen Schicht das seitliche Ausdehnen der ersten dielektrischen Schicht bis zu dem dielektrischen Abstandshalter umfasst; und selektives Abscheiden einer zweiten dielektrischen Schicht aus einem zweiten dielektrischen Material auf einem zweiten Kontakt, wobei das selektive Abscheiden der zweiten dielektrischen Schicht das seitliche Ausdehnen der zweiten dielektrischen Schicht bis zu einem verlängerten seitlichen Teil der ersten dielektrischen Schicht über dem dielektrischen Abstandshalter umfasst, wobei das zweite dielektrische Material eine andere Zusammensetzung als das erste dielektrische Material hat.
  9. Verfahren nach Anspruch 8, wobei der dielektrische Abstandshalter einen ersten Rand, der das erste leitfähige Strukturelement seitlich kontaktiert, und einen zweiten Rand aufweist, der das zweite leitfähige Strukturelement seitlich kontaktiert, das selektive Abscheiden der ersten dielektrischen Schicht das seitliche Ausdehnen der ersten dielektrischen Schicht bis zu dem zweiten Rand umfasst, und das selektive Abscheiden der zweiten dielektrischen Schicht das seitliche Ausdehnen der zweiten dielektrischen Schicht über der ersten dielektrischen Schicht bis zu dem ersten Rand umfasst.
  10. Verfahren nach Anspruch 9, das weiterhin Folgendes umfasst: selektives Abscheiden einer dritten dielektrischen Schicht aus dem ersten dielektrischen Material auf der ersten dielektrischen Schicht, wobei das selektive Abscheiden der dritten dielektrischen Schicht das seitliche Ausdehnen der dritten dielektrischen Schicht über einem verlängerten seitlichen Teil der zweiten dielektrischen Schicht bis zu dem zweiten Rand umfasst; und selektives Abscheiden einer vierten dielektrischen Schicht aus dem zweiten dielektrischen Material auf der zweiten dielektrischen Schicht, wobei das selektive Abscheiden der vierten dielektrischen Schicht das seitliche Ausdehnen der vierten dielektrischen Schicht bis zu einem verlängerten seitlichen Teil der dritten dielektrischen Schicht bis zu dem ersten Rand umfasst.
  11. Verfahren nach Anspruch 10, wobei das selektive Abscheiden der ersten dielektrischen Schicht das Durchführen eines ersten ALD-Prozesses (ALD: Atomlagenabscheidung) unter Verwendung eines ersten Vorläufers umfasst, und das selektive Abscheiden der zweiten dielektrischen Schicht das Durchführen eines zweiten ALD-Prozesses unter Verwendung eines zweiten Vorläufers umfasst, der von dem ersten Vorläufer verschieden ist.
  12. Verfahren nach einem der Ansprüche 9 bis 11, das weiterhin Folgendes umfasst: wiederholtes Abscheiden des ersten dielektrischen Materials und des zweiten dielektrischen Materials, um eine vereinte dielektrische Schicht aus dem ersten und dem zweiten dielektrischen Material herzustellen, bis eine Dicke der vereinten dielektrischen Schicht eine Solldicke erreicht.
  13. Verfahren nach Anspruch 12, das weiterhin Folgendes umfasst: Durchführen eines ersten Ätzprozesses, um das erste dielektrische Material selektiv zu ätzen, sodass ein erster Graben entsteht; und Herstellen einer ersten Durchkontaktierung in dem ersten Graben, wobei die erste Durchkontaktierung mit dem ersten leitfähigen Strukturelement elektrisch verbunden wird.
  14. Verfahren nach Anspruch 13, wobei das Durchführen des ersten Ätzprozesses zum selektiven Ätzen des ersten dielektrischen Materials weiterhin das Erzeugen einer strukturierten Maske mit einer Öffnung zum Freilegen des ersten dielektrischen Materials mit einem lithografischen Prozess umfasst, und das Herstellen der ersten Durchkontaktierung in dem ersten Graben das Abscheiden eines leitfähigen Materials in dem ersten Graben und das Durchführen eines CMP-Prozesses (CMP: chemisch-mechanische Polierung) umfasst.
  15. Verfahren nach Anspruch 14, das weiterhin Folgendes umfasst: Durchführen eines zweiten Ätzprozesses, um das zweite dielektrische Material selektiv zu ätzen, sodass ein zweiter Graben entsteht; und Herstellen einer zweiten Durchkontaktierung in dem zweiten Graben, wobei die zweite Durchkontaktierung mit dem zweiten leitfähigen Strukturelement elektrisch verbunden wird.
  16. Verfahren nach Anspruch 15, wobei die erste Durchkontaktierung und die zweite Durchkontaktierung durch einen versetzten Teil der vereinten dielektrischen Schicht gegeneinander isoliert sind, der versetzte Teil das erste dielektrische Material und das zweite dielektrische Material, die fingerartig ineinandergreifen, aufweist, das erste leitfähige Strukturelement eine Gate-Elektrode ist, und das zweite leitfähige Strukturelement ein Kontakt ist, der auf einem Source-/Drain-Strukturelement aufsetzt.
  17. Verfahren nach einem der Ansprüche 8 bis 16, das vor dem selektiven Abscheiden der ersten dielektrischen Schicht aus dem ersten dielektrischen Material auf dem ersten leitfähigen Strukturelement weiterhin das Abscheiden eines Inhibitors auf dem zweiten leitfähigen Strukturelement in dem zweiten Bereich umfasst.
  18. Verfahren (100) mit den folgenden Schritten: Bereitstellen einer Halbleiterstruktur, die ein erstes leitfähiges Strukturelement in einem ersten Bereich, ein zweites leitfähiges Strukturelement in einem zweiten Bereich und einen dielektrischen Abstandshalter in einem dritten Bereich aufweist, der zwischen dem ersten und dem zweiten Bereich angeordnet ist; selektives Abscheiden eines ersten dielektrischen Materials in dem ersten Bereich, wobei das selektive Abscheiden des ersten dielektrischen Materials das seitliche Ausdehnen des ersten dielektrischen Materials bis zu dem dritten Bereich umfasst; selektives Abscheiden eines zweiten dielektrischen Materials in dem zweiten Bereich, wobei das selektive Abscheiden des zweiten dielektrischen Materials das seitliche Ausdehnen des zweiten dielektrischen Materials bis zu dem dritten Bereich umfasst, wobei das zweite dielektrische Material eine andere Zusammensetzung als das erste dielektrische Material hat; und wiederholtes Abscheiden des ersten und des zweiten dielektrischen Materials, um eine vereinte dielektrische Schicht herzustellen, die das erste dielektrische Material in dem ersten Bereich, das zweite dielektrische Material in dem zweiten Bereich und eine dielektrische Struktur aus dem ersten und dem zweiten dielektrischen Material aufweist, die in dem dritten Bereich fingerartig ineinandergreifen.
  19. Verfahren nach Anspruch 18, wobei der dielektrische Abstandshalter einen ersten Rand, der das erste leitfähige Strukturelement seitlich kontaktiert, und einen zweiten Rand aufweist, der das zweite leitfähige Strukturelement seitlich kontaktiert, das selektive Abscheiden des ersten dielektrischen Materials das seitliche Ausdehnen des ersten dielektrischen Materials bis zu dem zweiten Rand umfasst, und das selektive Abscheiden des zweiten dielektrischen Materials das seitliche Ausdehnen des zweiten dielektrischen Materials über dem ersten dielektrischen Material bis zu dem ersten Rand umfasst.
  20. Verfahren nach Anspruch 18 oder 19, das weiterhin Folgendes umfasst: Durchführen eines ersten Ätzprozesses, um das erste dielektrische Material selektiv zu ätzen, sodass ein erster Graben in dem ersten Bereich entsteht; und Herstellen einer ersten Durchkontaktierung in dem ersten Graben, wobei die erste Durchkontaktierung mit dem ersten leitfähigen Strukturelement elektrisch verbunden wird.
DE102019109846.7A 2018-09-27 2019-04-15 Halbleiterstruktur mit gestaffeltem selektivem wachstum Pending DE102019109846A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862737279P 2018-09-27 2018-09-27
US62/737,279 2018-09-27
US16/366,984 US10840133B2 (en) 2018-09-27 2019-03-27 Semiconductor structure with staggered selective growth
US16/366,984 2019-03-27

Publications (1)

Publication Number Publication Date
DE102019109846A1 true DE102019109846A1 (de) 2020-04-02

Family

ID=69781130

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019109846.7A Pending DE102019109846A1 (de) 2018-09-27 2019-04-15 Halbleiterstruktur mit gestaffeltem selektivem wachstum

Country Status (2)

Country Link
CN (1) CN110957299B (de)
DE (1) DE102019109846A1 (de)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8058683B2 (en) * 2007-01-18 2011-11-15 Samsung Electronics Co., Ltd. Access device having vertical channel and related semiconductor device and a method of fabricating the access device
US7943467B2 (en) * 2008-01-18 2011-05-17 International Business Machines Corporation Structure and method to fabricate MOSFET with short gate
JP2012151160A (ja) * 2011-01-17 2012-08-09 Renesas Electronics Corp 半導体装置の製造方法及び半導体装置
US20160104673A1 (en) * 2014-10-09 2016-04-14 United Microelectronics Corp. Fin-shaped field-effect transistor with a germanium epitaxial cap and a method for fabricating the same
US10062784B1 (en) * 2017-04-20 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned gate hard mask and method forming same

Also Published As

Publication number Publication date
CN110957299B (zh) 2021-12-31
CN110957299A (zh) 2020-04-03

Similar Documents

Publication Publication Date Title
DE102018115909B4 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE102016100022B4 (de) CMOS-Vorrichtung mit vorgespanntem Nanodraht und Herstellungsverfahren
DE102014106152B4 (de) FinFET-Vorrichtung mit High-k-Metallgate-Stapel
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102017117942A1 (de) Multi-Gate-Vorrichtung und Herstellungsverfahren dafür
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102015106573A1 (de) Struktur und verfahren für spam-finfet-bauelement
DE102017115111B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE102016100049A1 (de) Verfahren und Struktur für Halbleitermid-End-Of-Line- (MEOL) -Prozess
DE102019116606B4 (de) Multi-gate-vorrichtung und zugehörige verfahren
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102017127095A1 (de) Finfet-struktur mit gesteuerten luftspalten
DE102017128567A1 (de) Finfet-isolierungsstruktur und verfahren zu ihrer herstellung
DE102019113052A1 (de) Halbleiterbauelement und verfahren
DE102020134644A1 (de) Rückseitenkontakt
DE102012223653A1 (de) Mosfet mit V-Nut-Source/Drain-Zone und Verfahren zur Herstellung desselben
DE102019113425A1 (de) Finfet-aufbau und verfahren mit reduzierter finnenknickung
DE102018106266A1 (de) Gate-struktur und verfahren zu ihrer herstellung
DE102021109770A1 (de) Hybrid-halbleitervorrichtung
DE102021104817A1 (de) Halbleitervorrichtung und verfahren
DE102018110978A1 (de) Gatestruktur und Verfahren
DE102020104370B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102021110375A1 (de) Vergrösserung von abscheidungsfenster
DE102020121101A1 (de) Halbleitervorrichtung und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed