DE102019109844A1 - Bondstruktur von Dies mit hängenden Bonds - Google Patents

Bondstruktur von Dies mit hängenden Bonds Download PDF

Info

Publication number
DE102019109844A1
DE102019109844A1 DE102019109844.0A DE102019109844A DE102019109844A1 DE 102019109844 A1 DE102019109844 A1 DE 102019109844A1 DE 102019109844 A DE102019109844 A DE 102019109844A DE 102019109844 A1 DE102019109844 A1 DE 102019109844A1
Authority
DE
Germany
Prior art keywords
die
dummy
bond pads
pad
bond
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019109844.0A
Other languages
English (en)
Inventor
Hsien-Wei Chen
Ming-Fa Chen
Chih-Chia Hu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/371,863 external-priority patent/US10861808B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019109844A1 publication Critical patent/DE102019109844A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49503Lead-frames or other flat leads characterised by the die pad
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17748Structural details of configuration resources
    • H03K19/1776Structural details of configuration resources for memories
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0951Function
    • H01L2224/09515Bonding areas having different functions
    • H01L2224/09517Bonding areas having different functions including bonding areas providing primarily mechanical support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Ein Verfahren umfasst ein Polieren eines Halbleitersubstrats eines ersten Dies, um erste Silizium-Durchkontaktierungen freizulegen, die sich in das Halbleitersubstrat erstrecken, Ausbilden einer dielektrischen Schicht auf dem Halbleitersubstrat und Ausbilden einer Mehrzahl von Bondpads in der dielektrischen Schicht. Die Mehrzahl von Bondpads umfassen aktive Bondpads und Dummy-Bondpads. Die aktiven Bondpads sind elektrisch mit den ersten Silizium-Durchkontaktierungen verbunden. Der erste Die wird an einen zweiten Die gebondet, und sowohl die aktiven Bondpads als auch die Dummy-Bondpads werden mit jeweiligen Bondpads in dem zweiten Die gebondet.

Description

  • BEANSPRUCHUNG DER PRIORITÄT UND QUERVERWEISE
  • Diese Anmeldung beansprucht die Priorität der folgenden, vorläufig eingereichten US-Patentanmeldung: Anmeldung mit der Seriennummer 62/770 396 , eingereicht am 21. November 2018 und mit dem Titel „Bonding Structure of Memory Dies with Dangling Bonds“, wobei diese Anmeldung hiermit durch Bezugnahme hierin aufgenommen wird.
  • HINTERGRUND
  • Die IC-Packages werden zunehmend komplexer, wobei mehr Vorrichtungs-Dies in dem gleichen Package untergebracht werden, um mehr Funktionen zu erhalten. Beispielsweise wurde eine Package-Struktur so entwickelt, dass sie eine Mehrzahl von Vorrichtungs-Dies wie beispielsweise Prozessoren und Speicher-Dies in demselben Package aufweist. Die Package-Struktur kann Vorrichtungs-Dies umfassen, die unter Verwendung verschiedener Technologien ausgebildet sind und unterschiedliche Funktionen haben und die an den gleichen Vorrichtungs-Die gebondet sind, so dass sie ein System bilden. Dies kann Herstellungskosten sparen und die Vorrichtungsleistung optimieren. Zum Beispiel können Speicher-Dies an Logik-Dies gebondet sein. Ferner können die Speicher-Dies Speicher-Die-Stapel bilden, wobei obere Speicher-Dies an die jeweiligen unteren Speicher-Dies gebondet sind.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass gemäß dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • Die 1 bis 4 zeigen die Querschnittsansichten von Zwischenstufen beim Ausbilden von Dummy-Dies gemäß einigen Ausführungsformen.
    • Die 5 bis 10 zeigen die Querschnittsansichten von Zwischenstufen beim Ausbilden von Vorrichtungs-Dies gemäß einigen Ausführungsformen.
    • Die 11 bis 23 zeigen die Querschnittsansichten von Zwischenstufen beim Ausbilden von Packages mit gestapelten Dies gemäß einigen Ausführungsformen.
    • Die 24 bis 32 zeigen die Querschnittsansichten und Draufsichten einiger Packages mit gestapelten Dies gemäß einigen Ausführungsformen.
    • 33 zeigt einen Prozessablauf zum Ausbilden eines Package gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten Merkmal und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „darunter liegend“, „unten“, „darüber liegend“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder eines Merkmals mit einem anderen Element (Elementen) oder Merkmal (Merkmalen) zu beschreiben, wie in den Figuren gezeigt ist. Die räumlich relativen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Ausrichtung umfassen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Gemäß verschiedenen Ausführungsformen ist ein Package, das gestapelte Dies umfasst, und das Verfahren zum Ausbilden desselben vorgesehen. Die Zwischenstufen beim Ausbilden des Package gemäß einigen Ausführungsformen sind gezeigt. Es werden einige Varianten einiger Ausführungsformen beschrieben. In den verschiedenen Ansichten und beispielhaften Ausführungsformen werden gleiche Bezugszeichen zum Bezeichnen gleicher Elemente verwendet. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst das Package einen oberen Die, der an einen unteren Die gebondet ist, wobei der obere Die ein aktives Pad und ein hängendes Pad umfasst. Der untere Die weist eine Silizium-Durchkontaktierung auf, die das zugehörige Halbleitersubstrat durchdringt. Über der Silizium-Durchkontaktierung ist eine dielektrische Schicht ausgebildet und in der dielektrischen Schicht sind ein aktives Bondpad und ein Dummy-Pad ausgebildet. Das Dummy-Pad ist an den hängenden Pad gebondet, so dass die Bondstärke verbessert wird und Probleme der Bondstruktur verringert werden.
  • Die 1 bis 23 zeigen die Querschnittsansichten von Zwischenstufen bei dem Ausbilden eines Package gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Die entsprechenden Prozesse sind auch schematisch in dem in 33 gezeigten Prozessablauf widergegeben.
  • Die 1 bis 4 zeigen das Ausbilden von Dummy-Dies gemäß einigen Ausführungsformen, wobei diese Dummy-Dies in Die-Stapeln angeordnet werden. Der entsprechende Prozess ist als Prozess 201 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Mit Bezug auf 1 ist ein Wafer 20 bereitgestellt. Der Wafer 20 umfasst ein Substrat 22 und eine Pufferschicht 24 über dem Substrat 22. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist das Substrat 22 ein Siliziumsubstrat. Gemäß weiteren Ausführungsformen kann das Substrat 22 aus einem Material ausgebildet sein, das einen Wärmeausdehnungskoeffizienten (CTE) nahe dem von Silizium und eine Wärmeleitfähigkeit nahe der von Silizium oder höher aufweist. Die Pufferschicht 24 kann aus einem Material ausgebildet sein, das einen niedrigeren Elastizitätsmodul als die nachfolgend ausgebildete Bondschicht 26 (2) aufweist (und daher weicher ist), so dass sie als spannungsabsorbierende Schicht wirken kann, die die Spannung von der Bondschicht 26 absorbiert. Zum Beispiel kann die Pufferschicht 24 aus einem Tetraethylorthosilikat-Oxid (TEOS-Oxid), undotiertem Silikatglas (USG) oder dergleichen ausgebildet sein. Das Ausbilden der Pufferschicht 24 kann plasmaunterstützte chemische Gasphasenabscheidung (PECVD), chemische Niederdruck-Gasphasenabscheidung (LPCVD), chemische Gasphasenabscheidung (CVD) oder dergleichen umfassen.
  • Mit Bezug auf 2 wird eine Bondschicht 26 ausgebildet. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Bondschicht 26 aus einem Oxid ausgebildet, das ein und sauerstoffhaltiges Oxid wie beispielsweise Siliziumoxid, Siliziumoxynitrid oder dergleichen sein kann. Die Bondschicht 26 kann unter Verwendung von PECVD, LPCVD, CVD oder dergleichen ausgebildet werden.
  • Gemäß einigen Ausführungsformen werden Dummy-Metallpads 27 in der Bondschicht 26 ausgebildet. Gemäß einigen Ausführungsformen werden in der Bondschicht 26 keine Dummy-Metallpads ausgebildet. Die Dummy-Metallpads 27 können beispielsweise aus Kupfer bestehen und es können, müssen aber keine Diffusionssperrschichten ausgebildet sein, um das Kupfer in den Dummy-Metallpads 27 von der Bondschicht 26 und der Pufferschicht 24 zu trennen. Gemäß einigen Ausführungsformen können die Dummy-Metallpads 27 unter Verwendung eines Single-Damascene-Prozesses ausgebildet werden.
  • 3 zeigt das Ausbilden von Gräben 28 in dem Wafer 20. Von der Oberseite des Wafers 20 aus gesehen bilden die Gräben 28 ein Gitter. Die Gräben 28 erstrecken sich von der oberen Fläche der Bondschicht 26 bis zu einer mittleren Ebene des Substrats 22, wobei die mittlere Ebene zwischen der oberen Fläche und der unteren Fläche des Substrats 22 liegt. Die Gräben 28 können unter Verwendung einer Klinge, eines Laserstrahls oder dergleichen ausgebildet werden.
  • 4 zeigt das Vereinzeln des Wafers 20 durch einen Schleifprozess. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Wafer 20 auf den Kopf gestellt und an einem Band 30 befestigt. Ein Schleifprozess wird dann von der Rückseite des Wafers 20 durchgeführt, um das Substrat 22 zu verdünnen, bis die Abschnitte des Wafers 20 über den Gräben 28 entfernt sind. Somit ist der Wafer 20 in separate Dummy-Dies 32 getrennt. Die resultierenden Dummy-Dies 32 weisen keine aktiven Vorrichtungen und passiven Vorrichtungen auf und können frei von Metallen darin sein. Gemäß einigen Ausführungsformen umfassen die Dummy-Dies 32 das Substrat 22 und planare dielektrische Schichten und sind frei von anderen Metallmerkmalen, mit der Ausnahme, dass die Dummy-Bondpads 27 ausgebildet sein können.
  • Die 5 bis 10 zeigen das Ausbilden von oberen Dies, die so bezeichnet werden, da sie als Dies einer oberen Ebene beim Ausbilden von Packages verwendet werden. Der entsprechende Prozess ist als Prozess 202 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind die oberen Dies Speicher-Dies, während die oberen Dies auch Logik-Dies, Eingabe-Ausgabe-Dies (IO-Dies) oder dergleichen sein können. Die Speicher-Dies können SRAM-Dies (statische Direktzugriffsspeicher-Dies), DRAM-Dies (dynamische Direktzugriffsspeicher-Dies), NAND-Speicher-Dies, RRAM-Dies (resistive Direktzugriffsspeicher-Dies), MRAM-Dies (magnetoresistive Direktzugriffsspeicher-Dies) oder dergleichen sein. Wie in 5 gezeigt, ist ein Wafer 31 gezeigt. Der Wafer 31 umfasst ein Halbleitersubstrat 34, das gemäß einigen Ausführungsformen ein Siliziumsubstrat, ein Germaniumsubstrat oder dergleichen sein kann. Integrierte Schaltungsvorrichtungen 36 sind zum Beispiel an der Oberseite des Halbleitersubstrats 34 ausgebildet. Die integrierten Schaltungsvorrichtungen 36 können Transistoren, Widerstände, Kondensatoren, Induktoren und/oder dergleichen umfassen. Die integrierten Schaltungsvorrichtungen 36 können die Speicherfunktion, Logikfunktion, IO-Funktion oder dergleichen ausführen. Eine Verbindungsstruktur (Interconnect) 38 ist über dem Halbleitersubstrat 34 ausgebildet und umfasst dielektrische Schichten 37 und Metallleitungen und Durchkontaktierungen 40 in den dielektrischen Schichten 37. Die Metallleitungen und Durchkontaktierungen 40 sind elektrisch mit den integrierten Schaltungsvorrichtungen 36 verbunden.
  • Mit Bezug auf 6 werden Silizium-Durchkontaktierungen („through-vias“) 42 so ausgebildet, dass sie sich in das Halbleitersubstrat 34 erstrecken. Gemäß einigen Ausführungsformen erstrecken sich die Silizium-Durchkontaktierungen 42 von einer oberen Fläche der Verbindungsstruktur 38 in das Halbleitersubstrat 34. Gemäß alternativen Ausführungsformen werden die Silizium-Durchkontaktierungen 42 in dem Halbleitersubstrat 34 vor dem Ausbilden der Gesamtheit oder eines oberen Abschnitts der Verbindungsstruktur 38 vorgeformt. Die Silizium-Durchkontaktierungen 42 können aus einem leitfähigen Material wie Wolfram, Kupfer oder dergleichen ausgebildet sein. Eine Isolierschicht (nicht gezeigt) kann ausgebildet so werden, dass sie jede der Silizium-Durchkontaktierungen 42 einschließt, um die jeweilige Silizium-Durchkontaktierung 42 von dem Halbleitersubstrat 34 elektrisch zu isolieren. Das Ausbilden der Silizium-Durchkontaktierungen 42 kann ein Ätzen der dielektrischen Schichten 37 und des Halbleitersubstrats 34 zum Ausbilden von Öffnungen und ein Füllen der Öffnungen mit der Isolierschicht und dem leitfähigen Material umfassen. Ein Planarisierungsprozess, beispielsweise ein chemisch-mechanischer Polierprozess (CMP-Prozess) oder ein mechanischer Schleifprozess, kann durchgeführt werden, um die oberen Flächen der Silizium-Durchkontaktierungen 42 mit der oberen Fläche der oberen dielektrischen Schicht 37 zu nivellieren.
  • 7 zeigt das weitere Ausbilden des Wafers 31. Gemäß einigen Ausführungsformen umfasst das Ausbilden ein Ausbilden von dielektrischen Schichten 39 und Metallleitungen und Durchkontaktierungen 40, um die Verbindungsstruktur 38 zu erweitern, Ausbilden oberer Metallpads 44 in einer oberen Schicht der dielektrischen Schichten 39, Ausbilden einer Passivierungsschicht 46 und Ausbilden von Metallpads 48. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung bestehen einige der dielektrischen Schichten 37 und 39 aus Low-k-Dielektrika. Die Passivierungsschicht 46 kann aus einem Nicht-Low-k-Dielektrikum mit einer Dielektrizitätskonstante größer oder gleich der Dielektrizitätskonstante von Siliziumoxid (3.9) bestehen. Die Metallpads 48 können aus Aluminium oder Aluminium-Kupfer ausgebildet sein.
  • 8 zeigt das Ausbilden einer dielektrischen Schicht 50, von Durchkontaktierungen 51, einer dielektrischen Schicht 54 und von Bondpads 52A und 52B in der dielektrischen Schicht 54. Gemäß einigen Ausführungsformen sind, wie in 8 gezeigt, die dielektrischen Schichten 50 und 54 getrennte dielektrische Schichten, die in unterschiedlichen Ausbildungsprozessen ausgebildet werden. Gemäß alternativen Ausführungsformen sind die dielektrischen Schichten 50 und 54 Teile derselben dielektrischen Schicht, die in demselben Ausbildungsprozess ausgebildet wird. Die dielektrische Schicht 54 kann aus einem und sauerstoffhaltigen Dielektrikum wie etwa Siliziumoxid, Siliziumoxynitrid oder dergleichen ausgebildet sein. Die dielektrische Schicht 50 kann aus einem gleichen Material wie die dielektrische Schicht 54 ausgebildet sein oder kann aus einem anderen Material als die dielektrische Schicht 54 ausgebildet sein. Beispielsweise kann die dielektrische Schicht 50 aus Siliziumoxid, Siliziumoxynitrid, Siliziumnitrid, Siliziumkarbonitrid oder dergleichen ausgebildet sein. Die Bondpads 52A und 52B und Durchkontaktierungen 51 können aus Kupfer bestehen und können unter Verwendung von Single- oder Dual-Damascene-Prozessen ausgebildet werden. Die oberen Flächen der dielektrischen Schicht 54 und der Bondpads 52A und 52B sind koplanar.
  • 9 zeigt das Ausbilden von Gräben 56 in dem Wafer 31. Von der Oberseite des Wafers 31 aus gesehen bilden die Gräben 56 ein Gitter, wobei einer der Gräben 56 als Beispiel gezeigt ist. Die Gräben 56 erstrecken sich von der oberen Fläche der dielektrischen Schicht 54 zu einer mittleren Ebene des Halbleitersubstrats 34, wobei die mittlere Ebene zwischen der oberen Fläche und der unteren Fläche des Halbleitersubstrats 34 liegt. Die Gräben 56 können unter Verwendung einer Klinge, eines Laserstrahls oder dergleichen ausgebildet werden.
  • 10 zeigt das Vereinzeln des Wafers 31 durch einen Schleifprozess. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird der Wafer 31 auf den Kopf gestellt und an einem Band 58 befestigt. Ein Schleifvorgang wird dann von der Rückseite des Wafers 31 durchgeführt, um das Halbleitersubstrat 34 zu verdünnen, bis die Abschnitte des Halbleitersubstrats 34 über den Gräben 56 entfernt sind. Somit ist Wafer 31 in separate Dies 60 getrennt, die identisch zueinander sind.
  • Die 11 bis 23 zeigen die Querschnittsansichten beim Verpacken der Dummy-Dies 32 (4) und der Dies 60 (10), um ein Package auszubilden. Mit Bezug auf 11 ist ein Träger 62 bereitgestellt. Gemäß einigen Ausführungsformen besteht der Träger 62 aus Silizium, Glas oder dergleichen und ist in Form eines Wafers bereitgestellt, der groß genug ist, um eine Mehrzahl von Vorrichtungs-Dies unterzubringen, die darauf gebondet werden. Auf dem Träger 62 ist eine dielektrische Schicht 64 ausgebildet. Der entsprechende Prozess ist als Prozess 203 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung besteht die dielektrische Schicht 64 aus einem relativ weichen Material, das weicher als die nachfolgend ausgebildete Bondschicht 68 ist und daher als Pufferschicht zum Absorbieren von Spannung verwendet wird. Die dielektrische Schicht 64 kann aus einem TEOS-Oxid, USG oder dergleichen ausgebildet sein. Das Ausbilden der dielektrischen Schicht 64 kann PECVD, LPCVD, CVD oder dergleichen umfassen. Gemäß einigen Ausführungsformen sind Metallmerkmale 66 in der dielektrischen Schicht 64 ausgebildet. Die Metallmerkmale 66 können als Ausrichtungsmarkierungen zum Ausrichten eines nachfolgenden Anordnens und Bondens von Vorrichtungs-Dies verwendet werden. Die Metallmerkmale 66 können zum Beispiel durch Damascene-Prozesse ausgebildet werden.
  • Mit Bezug auf 12 wird eine Bondschicht 68 ausgebildet. Der entsprechende Prozess ist als Prozess 204 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Bondschicht 68 aus einem Oxid ausgebildet, das ein und sauerstoffhaltiges Oxid wie beispielsweise Siliziumoxid, Siliziumoxynitrid oder dergleichen sein kann. Die Bondschicht 68 kann unter Verwendung von PECVD, LPCVD, CVD oder dergleichen ausgebildet werden. Das Ausbilden der Bondschicht 68 kann einen Abscheidungsprozess, gefolgt von einem Planarisierungsprozess umfassen.
  • 13 zeigt das Anordnen und das Bonden eines Ebene-1-Dies (von Ebene-1-Dies) 70 auf der Bondschicht 68. Der entsprechende Prozess ist als Prozess 206 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Obwohl ein Ebene-1-Die 70 gezeigt ist, werden eine Mehrzahl von Ebene-1-Dies 70 auf die Bondschicht 68 angeordnet und gebondet. Die Mehrzahl von Ebene-1-Dies 70 kann als Array angeordnet sein. Die Ausrichtungsmarkierungen 66 werden verwendet, um den Ebene-1-Die (die Ebene-1-Dies) auf beabsichtigte Positionen auszurichten. Gemäß einigen Ausführungsformen sind die Ebene-1-Dies 70 Logik-Dies, bei denen es sich um Anwendungsprozessor-Dies (AP-Dies), Graphikprozessor-Dies (GPU-Dies), Field Programmable Gate Array-Dies (FPGA-Dies), anwendungsspezifische integrierte Schaltkreis-Dies (ASIC-Dies), Eingabe-Ausgabe-Dies (IO-Dies), Netzwerkprozessor-Dies (NPU-Dies), Tensorprozessor-Dies (TPU-Dies), Künstliche-Intelligenz-Dies (AI-Dies) oder dergleichen handeln kann. Die Merkmale in den Logik-Dies 70 sind entsprechend den Kennzeichnungen der Dies 60 (10) bezeichnet, mit der Ausnahme, dass die Bezugsnummern der Merkmale in den Ebene-1-Dies 70 gegenüber den Bezugsnummern der entsprechenden Merkmale in den Dies 60 um die Zahl 100 erhöht sind. Zum Beispiel können die Logik-Dies 70 ein Halbleitersubstrat 134, integrierte Schaltungsvorrichtungen 136, eine Verbindungsstruktur 138, Metallleitungen und Durchkontaktierungen 140, Silizium-Durchkontaktierungen 142, Metallpads 144 und 148 und eine Bondschicht 154 umfassen. Das Ausbilden der Dies 70 kann Prozessschritte, die den in den 5 bis 7 gezeigten ähneln, nachfolgend das Ausbilden der Bondschicht 154 (13), und dann die in den 9 und 10 gezeigten Prozesse umfassen. Einige Materialien der gekennzeichneten Merkmale der Ebene-1-Dies können auch den Materialien der entsprechenden Merkmale in den Dies 60 ähneln. Die Ebene-1-Dies 70 können Strukturen aufweisen, die sich von den Strukturen der Dies 60 (10) unterscheiden. Wie in 13 gezeigt, sind die Metallpads 148 in eine dielektrische Schicht wie beispielsweise die Bondschicht 154 eingebettet. Gemäß einigen Ausführungsformen haben die Ebene-1-Dies 70 eine Dicke T1 im Bereich zwischen etwa 50 µm und etwa 150 µm.
  • Das Bonden der Dies 70 an die Bondschicht 68 kann durch Schmelzbonden (Dielektrikum-Dielektrikum-Bonden) erfolgen, wobei Si-O-Si-Bindungen gebildet werden können, die die Bondschichten 154 und 68 aneinander zu bonden.
  • 14 zeigt einen Lückenfüllprozess zum Ausbilden dielektrischer Bereiche 72, die die Lücken zwischen den Ebene-1-Dies 70 füllen und diese einschließen. Der entsprechende Prozess ist als Prozess 208 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Gemäß einigen Ausführungsformen umfasst der Lückenfüllprozess ein Abgeben oder Beschichten eines fließfähigen Dielektrikums und dann ein Härten des fließfähigen Dielektrikums. Der jeweilige dielektrische Bereich 72 kann aus einer Formmasse, einer Formfüllung, einem Harz, einem Epoxidharz oder dergleichen bestehen. Gemäß alternativen Ausführungsformen kann das Ausbilden der dielektrischen Bereiche 72 ein Abscheiden einer oder mehrerer Dielektrikumsschichten umfassen. Beispielsweise können die dielektrischen Bereiche 72 eine aus Siliziumnitrid ausgebildete dielektrische Auskleidung und ein weiteres Dielektrikum (wie etwa Siliziumoxid) über der dielektrischen Auskleidung umfassen. Ein Planarisierungsprozess, wie beispielsweise ein CMP-Prozess oder ein mechanischer Schleifprozess, kann durchgeführt werden, um überschüssige Dielektrika zu entfernen und die obere Fläche des Halbleitersubstrats 134 mit den dielektrischen Bereichen 72 zu nivellieren. Gemäß einigen Ausführungsformen haben die Ebene-1-Dies nach dem Lückenfüllprozess eine Dicke T2 im Bereich zwischen etwa 10 µm und etwa 15 µm.
  • 15 zeigt einen rückseitigen Schleifprozess zum Verdünnen der Ebene-1-Dies 70 und zum Freilegen der Silizium-Durchkontaktierungen 142. Der entsprechende Prozess ist als Prozess 210 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Der rückseitige Schleifprozess kann ein fortgesetzter Teil des in 14 gezeigten Planarisierungsprozesses sein oder er kann ein getrennter Prozess sein, der getrennt von dem in 14 gezeigten Planarisierungsprozess durchgeführt wird. Nach dem rückseitigen Schleifprozess ist auch das Halbleitersubstrat 134 freigelegt, wobei dessen obere Fläche mit den oberen Flächen der Silizium-Durchkontaktierungen 142 und der dielektrischen Bereiche 72 koplanar ist. Als Nächstes wird das Halbleitersubstrat 134 unter Verwendung eines Ätzmittels geätzt, das das Halbleitersubstrat 134 angreift und die Silizium-Durchkontaktierungen 142 und den dielektrischen Bereich 72 nicht angreift. Als Ergebnis wird eine Vertiefung ausgebildet, wobei die oberen Abschnitte der Silizium-Durchkontaktierungen 142 in der Vertiefung angeordnet sind und aus der oberen Fläche des verbleibenden Abschnitts des Halbleitersubstrats 134 hervorstehen. In einem nachfolgenden Prozess wird eine Isolierschicht 74 ausgebildet. Der entsprechende Prozess ist auch als Prozess 210 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Die Isolierschicht 74 ist aus einem Dielektrikum ausgebildet, das Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumoxykarbid oder dergleichen umfassen kann. Ein Planarisierungsprozess wird dann durchgeführt, um die oberen Flächen der Silizium-Durchkontaktierungen 142, der Isolierschicht 74 und der dielektrischen Bereiche 72 zu nivellieren. Gemäß einigen Ausführungsformen haben die Ebene-1-Dies nach der Ausbildung der Isolierschicht 74 eine Dicke T3 im Bereich zwischen etwa 3 µm und etwa 10 µm.
  • 16 zeigt das Ausbilden einer Bondschicht 76 und von Bondpads 152A und 152B in der Bondschicht 76. Der entsprechende Prozess ist als Prozess 212 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Die Bondschicht 76 kann aus einem und sauerstoffhaltigen Dielektrikum wie Siliziumoxid, Siliziumoxynitrid oder dergleichen ausgebildet sein. Das Ausbildungsverfahren kann CVD, ALD, PECVD oder dergleichen umfassen. Die Bondpads 152A und 152B können Kupfer umfassen und können unter Verwendung eines Single-Damascene-Prozesses ausgebildet werden. Die oberen Flächen der Bondschicht 76 und der Bondpads 152A und 152B sind koplanar. Gemäß einigen Ausführungsformen sind die Bondpads 152A aktive Bondpads, die elektrisch und/oder signalmäßig mit den integrierten Schaltungsvorrichtungen 136 verbunden sind. Die Bondpads 152B sind dagegen Dummy-Bondpads, die zu diesem Zeitpunkt elektrisch schwebend sind. Die Bondpads 152B können untere Flächen aufweisen, die die obere Fläche der Isolierschicht 74 berühren.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden, wenn die Bondpads 152A und 152B ausgebildet werden, gleichzeitig auch Bondpads 152C und 152D ausgebildet. Die Funktion und die Positionen der Bondpads 152C und 152D werden in nachfolgenden Abschnitten unter Bezugnahme auf die 27 bis 32 ausführlich beschrieben. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung werden eines oder beide der Bondpads 152C und 152D nicht ausgebildet.
  • Mit Bezug auf 17 wird der Vorrichtungs-Die (die Vorrichtungs-Dies) 60 an den Vorrichtungs-Dies 70 gebondet. Die Vorrichtungs-Dies 60 werden auch als Ebene-2-Dies 60-1 bezeichnet. Der entsprechende Prozess ist als Prozess 214 in dem in 33 gezeigten Prozessablauf 200 gezeigt. In der gesamten Beschreibung kann dem Bezugszeichen eines Merkmals ein Bindestrich und eine ganze Zahl folgen, um seine Ebene anzuzeigen. Zum Beispiel können die Dies 60 entsprechend ihren Ebenen als 60-1, 60-2, ... , 60-n (20) gekennzeichnet sein. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung kann das Bonden ein Schmelzbonden umfassen, das das Metall-Direktbonden zwischen den Bondpads 152A/152B und den Bondpads 52A/52B und das Dielektrikum-Dielektrikum-Bonden zwischen der dielektrischen Schicht 54 und der dielektrischen Schicht 76 umfasst. Nach dem Bonden werden die Bondpads 152A und 52A, die miteinander gebondet sind, für die elektrische und/oder Signalverbindung zwischen dem Ebene-2-Die 60-1 (der ein Speicher-Die sein kann) und dem Ebene-1-Die 70 verwendet. Beispielsweise sind die integrierten Schaltungsvorrichtungen 36 (die Speicherzellen umfassen können) in den Ebene-2-Dies 60-1 elektrisch und/oder signalmäßig über die Bondpads 152A und 52A mit den integrierten Schaltungsvorrichtungen 136 in den Ebene-1-Dies 70 verbunden.
  • Das Bondpad 52B ist ein hängender Bond, der schematisch in 25 gezeigt ist, um einige Details zu zeigen. Das Bondpad 52B ist elektrisch mit den integrierten Schaltungsvorrichtungen 36 (17) verbunden (auch wenn der entsprechende Vorrichtungs-Die 60 immer noch ein getrennter Die ist). Wenn das resultierende Package 96 (23) eingeschaltet wird, kann das hängende Bondpad 52B eine Spannung aufweisen, die von den integrierten Schaltungsvorrichtungen 36 empfangen wird. Wenn der Ebene-2-Die 60-1 in anderen Schaltungen oder anderen Teilen des resultierenden Package verwendet wird, beispielsweise als ein Tier-3-Die, wie in den nachfolgenden Abschnitten beschrieben wird, kann das Bondpad 52B ein funktionales (aktives) Pad sein, das Spannungen und/oder Ströme leitet. Da der Ebene-2-Die 60-1 mit dem Ebene-1-Die 70 gemäß einigen Ausführungsformen der vorliegenden Offenbarung verbunden ist, hat das Bondpad 52B keine elektrische und Signalfunktion und ist daher hängend. Dementsprechend können Spannungen an die Bondpads 52B und 152B angelegt werden, es fließt jedoch kein Strom durch die Bondpads 52B und 152B, da das Bondpad 152B ein Endknoten des Strom-/Spannungswegs ist.
  • 18 zeigt das Bonden von Dummy-Dies 32-1 mit der dielektrischen Schicht 76. Der entsprechende Prozess ist als Prozess 216 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Das Bonden kann durch Schmelzbonden erfolgen, wobei die dielektrischen Schichten 26 in den Dummy-Dies 32-1 an die dielektrische Schicht 76 gebondet werden. Da es eine Mehrzahl von Ebene-2-Dies 60-1 gibt (wobei einer gezeigt ist), werden die Dummy-Dies 32-1 zwischen der Mehrzahl von Ebene-2-Dies 60-1 angeordnet, um die Räume zu besetzen, die ansonsten durch ein Lückenfüllmaterial 78-1 (19) belegt würden. Da die Dummy-Dies 32-1 EOTs nahe denen der Ebene-1-Dies 70 und den Ebene-2-Dies 60 aufweisen, verringert das Hinzufügen der Dummy-Dies 32-1 die Spannung und eine Verkrümmung in dem resultierenden Package.
  • 19 zeigt einen Lückenfüllprozess zum Ausbilden dielektrischer Bereiche 78-1, die die Ebene-2-Dies 60-1 umschließen. Der entsprechende Prozess ist als Prozess 218 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Gemäß einigen Ausführungsformen umfasst der Lückenfüllprozess ein Abgeben oder Beschichten eines fließfähigen Dielektrikums und dann ein Härten des fließfähigen Dielektrikums. Der jeweilige dielektrische Bereich 78-1 kann aus einer Formmasse, einer Formfüllung, einem Harz, einem Epoxidharz oder dergleichen ausgebildet sein. Gemäß alternativen Ausführungsformen kann das Ausbilden der dielektrischen Bereiche 78-1 ein Abscheiden einer oder mehrerer Dielektrikumsschichten umfassen. Beispielsweise können die dielektrischen Bereiche 78-1 eine aus Siliziumnitrid ausgebildete dielektrische Auskleidung und ein weiteres Dielektrikum (wie etwa Siliziumoxid) über der dielektrischen Auskleidung umfassen. Ein Planarisierungsprozess wie ein CMP-Prozess oder ein mechanischer Schleifprozess kann durchgeführt werden, um überschüssige Dielektrika zu entfernen und die obere Fläche des Halbleitersubstrats 34 mit den dielektrischen Bereichen 78-1 zu nivellieren.
  • In nachfolgenden Prozessen wird ein rückseitiger Schleifprozess durchgeführt, um die Ebene-2-Dies 60-1 und die Dummy-Dies 32-1 zu verdünnen und die Silizium-Durchkontaktierungen 42 freizulegen. Der entsprechende Prozess ist als Prozess 220 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Nach dem rückseitigen Schleifprozess ist auch das Halbleitersubstrat 34 freigelegt, wobei seine obere Fläche koplanar mit den oberen Flächen der Silizium-Durchkontaktierungen 42 und der dielektrischen Bereiche 78-1 ist. Als Nächstes wird das Halbleitersubstrat 34 geätzt, um eine Vertiefung auszubilden, wobei die oberen Abschnitte der Silizium-Durchkontaktierungen 42 in der Vertiefung angeordnet sind und aus der oberen Fläche des verbleibenden Abschnitts des Halbleitersubstrats 34 vorstehen. In einem nachfolgenden Prozess wird eine Isolierschicht 80-1 in der Vertiefung ausgebildet. Der entsprechende Prozess ist auch als Prozess 220 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Die Isolierschicht 80-1 ist aus einem Dielektrikum ausgebildet, das Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumoxykarbid oder dergleichen umfassen kann. Ein Planarisierungsprozess wird dann durchgeführt, um die oberen Flächen der Silizium-Durchkontaktierungen 42, der Isolierschicht 80-1 und der dielektrischen Bereiche 78-1 zu nivellieren.
  • 19 zeigt ferner das Ausbilden einer Bondschicht 82-1 und von Bondpads 252A und 252B in der Bondschicht 82-1. Der entsprechende Prozess ist als Prozess 222 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Die Bondschicht 82-1 kann aus einem und sauerstoffhaltigen Dielektrikum wie Siliziumoxid, Siliziumoxynitrid oder dergleichen ausgebildet sein. Das Ausbildungsverfahren kann CVD, ALD, PECVD oder dergleichen umfassen. Die Bondpads 252A und 252B können aus Kupfer bestehen und können unter Verwendung eines Single-Damascene-Prozesses ausgebildet werden. Die oberen Flächen der Bondschicht 82-1 und der Bondpads 252A und 252B sind koplanar. Gemäß einigen Ausführungsformen sind die Bondpads 252A aktive Bondpads, die elektrisch mit den integrierten Schaltungen wie zum Beispiel 36 verbunden sind. Wenn beispielsweise die Dies 60-1 und der anschließend gebondete Die 60-2 identisch sind, können die Bondpads 252B genau wie die Bondpads 252A aktive Bondpads sein. 19 zeigt schematisch eine gestrichelte Linie 83, die die leitfähigen Merkmale zeigt, die die Bondpads 252B elektrisch mit den integrierten Schaltungsvorrichtungen 36 verbinden, wobei die leitfähigen Merkmale eine Silizium-Durchkontaktierung und Metallpads, Metallleitungen, Durchkontaktierungen oder dergleichen umfassen können. Die Bondpads 252B können gemäß alternativen Ausführungsformen auch Dummy-Bondpads sein. Gemäß einigen Ausführungsformen gibt es eine Eins-zu-Eins-Entsprechung zwischen den Bondpads 252A/252B und den darunter liegenden Bondpads 52A/52B (und 152A/152B), wobei jedes der Bondpads 252A/252B vertikal mit einem entsprechenden Bondpad 52A/52B und einem entsprechenden Bondpad 152A/152B ausgerichtet ist und diese überlappt.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden, wenn die Bondpads 252A und 252B ausgebildet werden, gleichzeitig auch Bondpads 252C und 252D ausgebildet. Die Funktion und die Positionen der Bondpads 252C und 252D werden in nachfolgenden Abschnitten unter Bezugnahme auf die 27 bis 32 ausführlich beschrieben.
  • 20 zeigt die Wiederholung der in den 17 bis 19 gezeigten Prozesse, um mehr Ebenen von Dies 60 zu stapeln. Es wird zum Beispiel angenommen, dass es n Ebenen von Dies 60 gibt, wobei die Dies der obersten Ebene als Ebene-n-Dies 60-n bezeichnet werden. Die ganze Zahl n kann eine beliebige Zahl sein, die größer oder gleich 2 ist. Die Dies der oberen Ebenen 60-2 bis 60-n können identisch zu dem Ebene-2-Die 60-1 sein oder sich von diesem unterscheiden. Nachdem die obersten Dies 60-n und die Dummy-Dies 32-n gebondet sind, werden Lückenfüllbereiche 78-n ausgebildet und planarisiert, gefolgt von dem Ausbilden einer dielektrischen Schicht 82-n, die auch eine Bondschicht sein kann.
  • 21 zeigt einen Träger-Austauschprozess. Der entsprechende Prozess ist als Prozess 224 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Ein Träger 85 wird durch eine Bondschicht 84 an die Bondschicht 82-n gebondet, wobei das Bondverfahren ein Schmelzbonden ist. Gemäß einigen Ausführungsformen besteht der Träger 85 aus Silizium und ist ein Leerträger, der keine aktiven Vorrichtungen, passiven Vorrichtungen, Leiterbahnen oder dergleichen aufweist. Die Bondschicht 84 kann aus einem und sauerstoffhaltigen Material wie Siliziumoxid, Siliziumoxynitrid oder dergleichen ausgebildet sein.
  • Als nächstes werden der Träger 62, die Pufferschicht 64 und die Bondschicht 68 zum Beispiel in einem CMP-Prozess oder einem mechanischen Schleifprozess entfernt. In nachfolgenden Prozessen wird eine Passivierungsschicht 86 ausgebildet, die Siliziumoxid, Siliziumnitrid oder Verbundschichten davon umfassen kann. Eine Öffnung 88 wird in der Passivierungsschicht 86 ausgebildet. Der entsprechende Prozess ist als Prozess 226 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Die resultierende Struktur ist in 22 gezeigt. Ein Prüfprozess kann dann durchgeführt werden, beispielsweise indem die Prüfstifte einer Prüfkarte (nicht gezeigt) mit den Metallpads 148 in Kontakt gebracht werden, um zu bestimmen, ob das resultierende Package fehlerhaft ist oder nicht. Bekannte gute Packages werden verzeichnet. Der entsprechende Prozess ist als Prozess 228 in dem in 33 gezeigten Prozessablauf 200 gezeigt.
  • 23 zeigt das Ausbilden einer Polymerschicht 90, die aus Polyimid, Polybenzoxazol (PBO), Benzocyclobuten (BCB) oder dergleichen bestehen kann. Elektrische Verbinder 92, die Metallsäulen 93 und Lotbereiche 94 umfassen können, werden dann auf den Metallpads 148 ausgebildet. Der entsprechende Prozess ist als Prozess 230 in dem in 33 gezeigten Prozessablauf 200 gezeigt. Die sich ergebende Struktur wird als wiederhergestellter Wafer („reconstructed wafer“, Package) 97 bezeichnet. In einem nachfolgenden Prozess wird ein Vereinzelungsprozess an dem wiederhergestellten Wafer 97 durchgeführt und es werden mehrere identische Packages 96 ausgebildet. Der entsprechende Prozess ist als Prozess 232 in dem in 33 gezeigten Prozessablauf 200 gezeigt.
  • Die 24 bis 32 zeigen schematisch die Querschnittsansichten und Draufsichten der Packages 96 gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Einige Details sind nicht gezeigt, und diese Details können unter Bezugnahme auf die 11 bis 23 gefunden werden. In diesen Figuren sind zwei Ebenen von Vorrichtungs-Dies 60 gezeigt, um die wahrscheinlichen mehr Ebenen der in 23 gezeigten Vorrichtungs-Dies 60 wiederzugeben. Es sind die funktionellen (aktiven) Bondpads 52A und 152A, hängenden Bondpads 52B und Dummy-Bondpads 152B gezeigt. Einige der Bondpads sind als 52A/52B gezeigt, um anzuzeigen, dass diese Bondpads funktionelle Bondpads 52A oder hängende Bondpads 52B sein können. In ähnlicher Weise sind einige der Bondpads als 152A/152B gezeigt, um anzuzeigen, dass diese Bondpads funktionelle Bondpads 152A oder Dummy-Bondpads 152B sein können. Es versteht sich, dass, obwohl die dielektrischen Füllbereiche 72 (23) in den 24 bis 32 nicht gezeigt sind, die dielektrischen Bereiche 72 in den in den 24 bis 32 gezeigten Packages 96 vorhanden sein können (aber nicht müssen).
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden, wie in 24 gezeigt, die auf dem Ebene-1-Die 70 ausgebildeten Bondpads (beispielsweise 152A und 152B) alle von dem Ebene-2-Die 60-1 überlappt und es sind keine Bondpads direkt unter und in Kontakt mit den dielektrischen Bereichen 78-1 und den Dummy-Dies 32-1 ausgebildet. 25 zeigt eine vergrößerte Ansicht der Bondpads 52A, 52B, 152A und 152B.
  • 26 zeigt eine Draufsicht der Bondpads 52A, 52B, 152A und 152B, des Ebene-1-Dies 70, des Ebene-2-Dies 60-1 und der Dummy-Dies 32-1. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung bilden die Bondpads 52A, 52B, 152A und 152B ein Array und sind in dem durch den Ebene-2-Die 60 belegten Bereich eingegrenzt, wobei sich außerhalb des durch den Ebene-2-Die 60-1 belegten Bereiches keine Bondpads befinden.
  • Die 27 und 28 zeigen eine Draufsicht bzw. eine Querschnittsansicht des Package 96 gemäß einigen Ausführungsformen. Diese Ausführungsformen ähneln den Ausführungsformen in den 24 und 26, mit der Ausnahme, dass weiter Dummy-Bondpads 152C in einem Bereich ausgebildet sind, der von den dielektrischen Bereichen 78-1 überlappt wird. Dementsprechend sind in dem Package 96 die Dummy-Pads 152C elektrisch schwebend, wobei die obere Fläche der Bondpads 152C in Kontakt mit der unteren Fläche der dielektrischen Bereiche 78-1 steht. Darüber hinaus sind die Bondpads 152C vollständig in den dielektrischen Bereichen eingeschlossen. Bei dem Ausbilden der Bondpads können die Randabschnitte eines dichten Pad-Bereichs, wie beispielsweise des Pad-Arrays, ungleichmäßig sein, beispielsweise wenn die Randabschnitte des Pad-Arrays stärker oder schwächer als die Mittelabschnitte des Pad-Arrays poliert sind. Durch das Ausbilden der Dummy-Pads 152C ist die Ungleichmäßigkeit in den Dummy-Pads 152C gebündelt, die Randabschnitte des Pad-Arrays sind, während die Bondpads 152A und 152B gleichmäßiger sind.
  • Die 29 und 30 zeigen eine Draufsicht bzw. eine Querschnittsansicht des Package 96 gemäß einigen Ausführungsformen. Diese Ausführungsformen ähneln den Ausführungsformen in den 24 und 26, mit der Ausnahme, dass ferner Dummy-Bondpads 152C und 152D in einem Bereich ausgebildet sind, der von den dielektrischen Bereichen 78-1 und den Dummy-Dies 32-1 überlappt wird. In dem Package 96 sind die Dummy-Pads 152C und 152D elektrisch schwebend, wobei die oberen Flächen der Bondpads 152C in Kontakt mit der unteren Fläche der dielektrischen Bereiche 72 steht und die oberen Flächen der Bondpads 152D in Kontakt mit der unteren Fläche der Dummys-Dies 32 stehen. Gemäß einigen Ausführungsformen sind die Dummy-Pads 152C und 152D vollständig in den dielektrischen Bereichen eingeschlossen. Gemäß alternativen Ausführungsformen sind die Bondpads 152D an die Bondpads 27 in den Dummy-Dies 32-1 gebondet. Das Ausbilden der Dummy-Pads 152C und 152D verbessert die Gleichmäßigkeit des Planarisierungsprozesses zum Ausbilden der Pads 152A und 152B.
  • Die 31 und 32 zeigen eine Draufsicht bzw. eine Querschnittsansicht des Package 96 gemäß einigen Ausführungsformen. Diese Ausführungsformen ähneln den Ausführungsformen in den 24 und 26, mit der Ausnahme, dass keine Dummy-Dies 32 verwendet werden. Die Dummy-Bondpads 152C sind ferner in einem Bereich ausgebildet, der von den dielektrischen Bereichen 78-1 überlappt wird. Dementsprechend sind in dem Package 96 die Dummy-Pads 152C elektrisch schwebend, wobei die oberen Flächen der Bondpads 152C mit der unteren Fläche der dielektrischen Bereiche 72 in Kontakt stehen. Weiterhin sind die Dummy-Pads 152C vollständig in den dielektrischen Bereichen eingeschlossen. Das Ausbilden der Dummy-Pads 152C verbessert die Gleichmäßigkeit in dem Planarisierungsprozess zum Ausbilden der Bondpads 152A und 152B.
  • In den oben gezeigten Ausführungsformen sind einige Prozesse und Merkmale gemäß einigen Ausführungsformen der vorliegenden Offenbarung beschrieben. Andere Merkmale und Prozesse können ebenfalls vorgesehen sein. Zum Beispiel können Teststrukturen vorgesehen sein, um beim Verifizierungstest der 3D-Packages oder 3DIC-Vorrichtungen zu helfen. Die Teststrukturen können zum Beispiel in einer Umverteilungsschicht oder auf einem Substrat ausgebildete Testpads, die das Testen der 3D-Packages oder der 3DIC ermöglichen, die Verwendung von Sonden und/oder Sondenplatten und dergleichen umfassen. Der Verifizierungstest kann sowohl an Zwischenstrukturen als auch an der endgültigen Struktur durchgeführt werden. Zusätzlich können die hier offenbarten Strukturen und Verfahren in Verbindung mit Testverfahren verwendet werden, die eine Zwischenverifizierung bekannt guter Dies beinhalten, um die Ausbeute zu erhöhen und die Kosten zu senken.
  • Die Ausführungsformen der vorliegenden Offenbarung haben einige vorteilhafte Eigenschaften. Durch Ausbilden einer zusätzlichen dielektrischen Schicht und von Dummy-Pads in der zusätzlichen dielektrischen Schicht in einem Die einer unteren Ebene werden die hängenden Pads in einem Die einer oberen Ebene an die Dummy-Pads gebondet, anstatt mit einem Dielektrikum in Kontakt zu stehen. Die Bondfestigkeit wird somit verbessert.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren ein Polieren eines Halbleitersubstrats eines ersten Dies, um erste Silizium-Durchkontaktierungen freizulegen, die sich in das Halbleitersubstrat erstrecken; Ausbilden einer dielektrischen Schicht auf dem Halbleitersubstrat; Ausbilden einer Mehrzahl von Bondpads in der dielektrischen Schicht, wobei die Mehrzahl von Bondpads erste aktive Bondpads und erste Dummy-Bondpads umfassen, wobei die ersten aktiven Bondpads mit den ersten Silizium-Durchkontaktierungen elektrisch verbunden sind; und Bonden des ersten Dies an einen zweiten Die, wobei sowohl die ersten aktiven Bondpads als auch die ersten Dummy-Bondpads mit entsprechenden Bondpads in dem zweiten Die gebondet werden. In einer Ausführungsform werden die ersten aktiven Bondpads mit einer zweiten Mehrzahl von aktiven Bondpads in dem zweiten Die gebondet und werden die ersten Dummy-Bondpads mit hängenden Bondpads in dem zweiten Die gebondet, wobei sowohl die zweite Mehrzahl von aktiven Bondpads als auch die hängenden Bondpads elektrisch mit integrierten Schaltungsvorrichtungen in dem zweiten Die verbunden sind. In einer Ausführungsform werden der erste Die und der zweite Die durch Hybridbonden verbunden. In einer Ausführungsform umfasst das Verfahren ferner ein Bonden eines Dummy-Dies an den ersten Die. In einer Ausführungsform umfassen die Mehrzahl von Bondpads ferner zweite Dummy-Bondpads, und der Dummy-Die umfasst ferner dritte Dummy-Bondpads, die mit den zweiten Dummy-Bondpads gebondet werden. In einer Ausführungsform umfasst das Verfahren ferner ein Anordnen eines Fülldielektrikums so, dass es den zweite Die umschließt; Planarisieren des zweiten Dies und des Fülldielektrikums, bis zweite Silizium-Durchkontaktierungen in dem zweiten Die freigelegt sind; und Ausbilden von dritten aktiven Bondpads, die mit den zweiten Silizium-Durchkontaktierungen elektrisch verbunden sind. In einer Ausführungsform umfassen die Mehrzahl von Bondpads ferner vierte Dummy-Bondpads, und obere Flächen der vierten Dummy-Bondpads stehen mit dem Fülldielektrikum in Kontakt. In einer Ausführungsform ist der erste Die ein Logik-Die und ist der zweite Die ein Speicher-Die. In einer Ausführungsform umfasst das Verfahren ferner ein Stapeln eines dritten Dies, der mit dem zweiten Die identisch ist, über dem zweiten Die, wobei keine Bondpads in dem zweiten Die, die auch an den dritten Die gebondet sind, als hängende Bondpads verwendet werden.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren ein Ausbilden eines ersten Dies, der ein erstes Halbleitersubstrat umfasst; und einer ersten Silizium-Durchkontaktierung, die das erste Halbleitersubstrat durchdringt. Das Verfahren umfasst ferner ein Ausbilden eines zweiten Dies, der ein zweites Halbleitersubstrat umfasst; einer zweiten Silizium-Durchkontaktierung, die das zweite Halbleitersubstrat durchdringt; eines ersten aktiven Bondpads; und eines ersten hängenden Bondpads. Der zweite Die wird über den ersten Die gebondet, wobei das erste aktive Bondpad durch ein zweites aktives Bondpad zwischen dem ersten Die und dem zweiten Die mit dem ersten Die elektrisch verbunden ist, und wobei das erste hängende Bondpad an ein erstes Dummy-Pad zwischen dem ersten Die und dem zweiten Die gebondet wird. In einer Ausführungsform umfasst das Verfahren ferner ein Anordnen des ersten Dies über einem Träger; Einkapseln des ersten Dies in ein Fülldielektrikum; Ausbilden einer dielektrischen Schicht, die den ersten Die und das Fülldielektrikum überlappt; und Ausbilden des zweiten aktiven Bondpads und des ersten Dummy-Pads in der dielektrischen Schicht. In einer Ausführungsform umfasst das Verfahren ferner ein Durchführen eines Lückenfüllprozesses zum Einbetten des zweiten Dies in ein Lückenfüllmaterial, wobei das Lückenfüllmaterial über der oberen Fläche eines zweiten Dummy-Pads angeordnet ist und diese berührt, wobei das zweite Dummy-Pad zwischen dem ersten Die und dem zweiten Die liegt. In einer Ausführungsform umfasst das Verfahren ferner ein Bonden eines Dummy-Dies an den ersten Die, wobei der Dummy-Die ein drittes Dummy-Pad zwischen dem ersten Die und dem zweiten Die berührt und wobei das dritte Dummy-Pad auf einem gleichen Niveau wie das zweite aktive Bondpad und das erste Dummy-Pad liegt. In einer Ausführungsform umfasst der Dummy-Die ferner ein viertes Dummy-Pad, das mit dem dritten Dummy-Pad in dem ersten Die gebondet wird. In einer Ausführungsform ist das erste Dummy-Pad elektrisch schwebend, bevor der zweite Die an den ersten Die gebondet wird. In einer Ausführungsform umfasst das Verfahren ferner ein Polieren des ersten Halbleitersubstrats, um die erste Silizium-Durchkontaktierung freizulegen; Ausbilden einer dielektrischen Schicht über dem ersten Halbleitersubstrat und dieses berührend; und Ausbilden des zweiten aktiven Bondpads und des ersten Dummy-Pads in der dielektrischen Schicht, wobei eine gesamte untere Fläche des ersten Dummy-Pads mit einer oberen Fläche einer zusätzlichen dielektrischen Schicht in dem ersten Die in Kontakt steht.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein IC-Package einen ersten Die umfassend ein erstes Halbleitersubstrat; eine erste Silizium-Durchkontaktierung, die das erste Halbleitersubstrat durchdringt; und eine erste dielektrische Schicht über dem ersten Halbleitersubstrat und dieses berührend; eine zweite dielektrische Schicht über dem ersten Die; ein erstes aktives Bondpad in der zweiten dielektrischen Schicht, wobei das erste aktive Bondpad über der ersten Silizium-Durchkontaktierung liegt und diese berührt; ein erstes Dummy-Bondpad in der zweiten dielektrischen Schicht, wobei sich eine gesamte untere Fläche des ersten Dummy-Bondpads über der ersten dielektrischen Schicht befindet und diese berührt; und einen zweiten Die umfassend ein zweites aktives Bondpad über dem ersten aktiven Bondpad und daran gebondet; und ein hängendes Bondpad über dem ersten Dummy-Bondpad und daran gebondet. In einer Ausführungsform ist der erste Die ein Logik-Die und ist der zweite Die ein Speicher-Die. In einer Ausführungsform umfasst das Package ferner ein zweites Dummy-Bondpad in der zweiten dielektrischen Schicht; und ein Fülldielektrikum, das den zweiten Die umschließt, wobei das Fülldielektrikum eine obere Fläche des zweiten Dummy-Bondpads berührt. In einer Ausführungsform umfasst das Package ferner ein zweites Dummy-Bondpad in der zweiten dielektrischen Schicht; und einen Dummy-Die über dem zweiten Dummy-Bondpad und dieses berührend.
  • Das Vorangehende beschreibt Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um weitere Prozesse und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/770396 [0001]

Claims (20)

  1. Verfahren, umfassend: Polieren eines Halbleitersubstrats (134) eines ersten Dies (70), um erste Silizium-Durchkontaktierungen (142) freizulegen, die sich in das Halbleitersubstrat erstrecken; Ausbilden einer dielektrischen Schicht (76) auf dem Halbleitersubstrat (134); Ausbilden einer Mehrzahl von Bondpads (152A/152B) in der dielektrischen Schicht (76), wobei die Mehrzahl von Bondpads (152A/152B) erste aktive Bondpads (152A) und erste Dummy-Bondpads (152B) umfassen, wobei die ersten aktiven Bondpads (152A) elektrisch mit den ersten Silizium-Durchkontaktierungen (142) verbunden sind; und Bonden des ersten Dies (70) an einen zweiten Die (60-1), wobei sowohl die ersten aktiven Bondpads (152A) als auch die ersten Dummy-Bondpads (152B) an jeweilige Bondpads in dem zweiten Die gebondet werden.
  2. Verfahren nach Anspruch 1, wobei die ersten aktiven Bondpads an eine zweite Mehrzahl von aktiven Bondpads in dem zweiten Die gebondet werden, und wobei die ersten Dummy-Bondpads an hängende Bondpads in dem zweiten Die gebondet werden, und wobei sowohl die zweite Mehrzahl von aktiven Bondpads als auch die hängenden Bondpads elektrisch mit integrierten Schaltungsvorrichtungen in dem zweiten Die verbunden sind.
  3. Verfahren nach Anspruch 1 oder 2, wobei der erste Die und der zweite Die durch Hybridbonden gebondet werden.
  4. Verfahren nach einem der vorhergehenden Ansprüche, das ferner ein Bonden eines Dummy-Dies an den ersten Die umfasst.
  5. Verfahren nach Anspruch 4, wobei die Mehrzahl von Bondpads ferner zweite Dummy-Bondpads umfasst und wobei der Dummy-Die ferner dritte Dummy-Bondpads umfasst, die an die zweiten Dummy-Bondpads gebondet werden.
  6. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: Anordnen eines Fülldielektrikums so, dass es den zweiten Die umschließt; Planarisieren des zweiten Dies und des Fülldielektrikums, bis zweite Silizium-Durchkontaktierungen in dem zweiten Die freigelegt sind; und Ausbilden von dritten aktiven Bondpads, die mit den zweiten Silizium-Durchkontaktierungen elektrisch verbunden sind.
  7. Verfahren nach Anspruch 6, wobei die Mehrzahl von Bondpads ferner vierte Dummy-Bondpads umfasst und wobei obere Flächen der vierten Dummy-Bondpads mit dem Fülldielektrikum in Kontakt stehen.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei der erste Die ein Logik-Die ist und der zweite Die ein Speicher-Die ist.
  9. Verfahren nach einem der vorhergehenden Ansprüche, das ferner ein Stapeln eines dritten Dies, der mit dem zweiten Die identisch ist, über dem zweiten Die umfasst, wobei keine Bondpads in dem zweiten Die, die auch an den dritten Die gebondet sind, als hängende Bondpads verwendet werden.
  10. Verfahren, umfassend: Ausbilden eines ersten Dies (70), umfassend: ein erstes Halbleitersubstrat (134); und eine erste Silizium-Durchkontaktierung (142), die das erste Halbleitersubstrat durchdringt; Ausbilden eines zweiten Dies (60-1), umfassend: ein zweites Halbleitersubstrat (34); eine zweite Silizium-Durchkontaktierung (42), die das zweite Halbleitersubstrat durchdringt; ein erstes aktives Bondpad (52A); und ein erstes hängendes Bondpad (52B); und Bonden des zweiten Dies (60) über den ersten Die (70), wobei das erste aktive Bondpad (52A) über ein zweites aktives Bondpad (152A) zwischen dem ersten Die (70) und dem zweiten Die (60) mit dem ersten Die (70) elektrisch verbunden ist, und wobei das erste hängende Bondpad (52B) an ein erstes Dummy-Pad (152B) zwischen dem ersten Die (70) und dem zweiten Die (60) gebondet wird.
  11. Verfahren nach Anspruch 10, ferner umfassend: Anordnen des ersten Dies über einem Träger; Einkapseln des ersten Dies in ein Fülldielektrikum; Ausbilden einer dielektrischen Schicht, die den ersten Die und das Fülldielektrikum überlappt; und Ausbilden des zweiten aktiven Bondpads und des ersten Dummy-Pads in der dielektrischen Schicht.
  12. Verfahren nach Anspruch 10 oder 11, ferner umfassend: Durchführen eines Lückenfüllprozesses zum Einbetten des zweiten Dies in ein Lückenfüllmaterial, wobei das Lückenfüllmaterial über einer oberen Fläche eines zweiten Dummy-Pads liegt und diese berührt, wobei sich das zweite Dummy-Pad zwischen dem ersten Die und dem zweiten Die befindet.
  13. Verfahren nach einem der Ansprüche 10 bis 12, ferner umfassend: Bonden eines Dummy-Dies an den ersten Die, wobei der Dummy-Die ein drittes Dummy-Pad zwischen dem ersten Die und dem zweiten Die berührt, und wobei das dritte Dummy-Pad auf einem gleichen Niveau wie das zweite aktive Bondpad und das erste Dummy-Pad liegt.
  14. Verfahren nach Anspruch 13, wobei der Dummy-Die ferner ein viertes Dummy-Pad umfasst, das mit dem dritten Dummy-Pad in dem ersten Die gebondet wird.
  15. Verfahren nach einem der Ansprüche 10 bis 14, wobei das erste Dummy-Pad elektrisch schwebend ist, bevor der zweite Die an den ersten Die gebondet wird.
  16. Verfahren nach einem der Ansprüche 10 bis 14, ferner umfassend: Polieren des ersten Halbleitersubstrats, um die erste Silizium-Durchkontaktierung freizulegen; Ausbilden einer dielektrischen Schicht über dem ersten Halbleitersubstrat und dieses berührend; und Ausbilden des zweiten aktiven Bondpads und des ersten Dummy-Pads in der dielektrischen Schicht, wobei eine gesamte untere Fläche des ersten Dummy-Pads mit einer oberen Fläche einer zusätzlichen dielektrischen Schicht in dem ersten Die in Kontakt steht.
  17. IC-Package umfassend: einen ersten Die (70), umfassend: ein erstes Halbleitersubstrat (134); eine erste Silizium-Durchkontaktierung (142), die das erste Halbleitersubstrat durchdringt; und eine erste dielektrische Schicht (74) über dem ersten Halbleitersubstrat und dieses berührend; eine zweite dielektrische Schicht (76) über dem ersten Die; eine erstes aktives Bondpad (152A) in der zweiten dielektrischen Schicht (76), wobei das erste aktive Bondpad über der ersten Silizium-Durchkontaktierung liegt und diese berührt; ein erstes Dummy-Bondpad (152B) in der zweiten dielektrischen Schicht, wobei sich eine gesamte untere Fläche des ersten Dummy-Bondpads über der ersten dielektrischen Schicht befindet und diese berührt; und einen zweiten Die (60-1), umfassend: ein zweites aktives Bondpad (52A) über dem ersten aktiven Bondpad und daran gebondet; und ein hängendes Bondpad (52B) über dem ersten Dummy-Bondpad und daran gebondet.
  18. Package nach Anspruch 17, wobei der erste Die ein Logik-Die ist und der zweite Die ein Speicher-Die ist.
  19. Package nach Anspruch 17 oder 18, ferner umfassend: ein zweites Dummy-Bondpad in der zweiten dielektrischen Schicht; und ein Fülldielektrikum, das den zweiten Die umschließt, wobei das Fülldielektrikum eine obere Fläche des zweiten Dummy-Bondpads berührt.
  20. Package nach einem der Ansprüche 17 bis 19, ferner umfassend: ein zweites Dummy-Bondpad in der zweiten dielektrischen Schicht; und einen Dummy-Die über dem zweiten Dummy-Bondpad und dieses berührend.
DE102019109844.0A 2018-11-21 2019-04-15 Bondstruktur von Dies mit hängenden Bonds Pending DE102019109844A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862770396P 2018-11-21 2018-11-21
US62/770,396 2018-11-21
US16/371,863 2019-04-01
US16/371,863 US10861808B2 (en) 2018-11-21 2019-04-01 Bonding structure of dies with dangling bonds

Publications (1)

Publication Number Publication Date
DE102019109844A1 true DE102019109844A1 (de) 2020-05-28

Family

ID=70545813

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019109844.0A Pending DE102019109844A1 (de) 2018-11-21 2019-04-15 Bondstruktur von Dies mit hängenden Bonds

Country Status (2)

Country Link
US (1) US20240153899A1 (de)
DE (1) DE102019109844A1 (de)

Also Published As

Publication number Publication date
US20240153899A1 (en) 2024-05-09

Similar Documents

Publication Publication Date Title
DE102016100270B4 (de) Bondstrukturen und verfahren zu ihrer herstellung
DE102019130567B4 (de) Package mit brücken-die zum verbinden und verfahren zu dessen herstellung
DE102018116729B3 (de) Halbleiter-Bauelement-Package und Verfahren
DE102012109484B4 (de) Gepackte Halbleitervorrichtung und Verfahren zum Packen der Halbleitervorrichtung
DE112010004204B4 (de) Koaxiale Silizium-Durchkontaktierung und Herstellungsverfahren
DE102004004532B4 (de) Halbleitervorrichtung
DE102019116993A1 (de) Opufferspeicherdesign für package-integration
DE102019117763B4 (de) Seitenwandschutz für metallkontakthügel
DE102018124695A1 (de) Integrieren von Passivvorrichtungen in Package-Strukturen
DE102019123272B4 (de) Verbindungsstruktur und Verfahren zum Bilden derselben
DE102019109592B4 (de) Die-stapel und deren ausbildungsverfahren
DE102018117689A1 (de) Unterstützen von Info-Packages zum Reduzieren von Durchbiegung
DE102021110267A1 (de) Deep-Partition-Leistungsabgabe mit Tiefgrabenkondensator
DE102021112653A1 (de) Halbleiter-Package und Verfahren zur Herstellung eines Halbleiter-Packages
DE102020117547A1 (de) Packages mit abwechselnd gestapelten dicken rdls und dünnen rdls
DE102020128415A1 (de) Multi-level-stapelung von wafern und chips
DE102021103804A1 (de) Passive Struktur auf SoIC
DE102021117353A1 (de) Halbleiterpackagestruktur
DE102022100083A1 (de) Heterogenes dielektrikumbondschema
DE102021109879A1 (de) Dielektrikum-durchkontaktierungen für direktverbindung und verfahren zu deren herstellung
DE102021113432A1 (de) Passivierungsstruktur mit planaren oberen Flächen
DE102020119947B4 (de) Struktur und verfahren zum bilden eines integrierten mim-kondensators mit hoher dichte
DE102021100457A1 (de) Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall
DE102023105422A1 (de) Halbleiterpackage mit abgestuftem dichtungsring und verfahren zu dessen herstellung
DE102019109844A1 (de) Bondstruktur von Dies mit hängenden Bonds

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication