DE102015211777A1 - Mikromechanisches System und Verfahren zum Herstellen eines mikromechanischen Systems - Google Patents

Mikromechanisches System und Verfahren zum Herstellen eines mikromechanischen Systems Download PDF

Info

Publication number
DE102015211777A1
DE102015211777A1 DE102015211777.4A DE102015211777A DE102015211777A1 DE 102015211777 A1 DE102015211777 A1 DE 102015211777A1 DE 102015211777 A DE102015211777 A DE 102015211777A DE 102015211777 A1 DE102015211777 A1 DE 102015211777A1
Authority
DE
Germany
Prior art keywords
layer
functional layer
functional
sacrificial layer
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102015211777.4A
Other languages
English (en)
Other versions
DE102015211777B4 (de
Inventor
Thoralf Kautzsch
Heiko Froehlich
Mirko Vogt
Maik Stegemann
Boris Binder
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies Dresden GmbH and Co KG
Original Assignee
Infineon Technologies Dresden GmbH and Co KG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Dresden GmbH and Co KG filed Critical Infineon Technologies Dresden GmbH and Co KG
Publication of DE102015211777A1 publication Critical patent/DE102015211777A1/de
Application granted granted Critical
Publication of DE102015211777B4 publication Critical patent/DE102015211777B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/008MEMS characterised by an electronic circuit specially adapted for controlling or driving the same
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01LMEASURING FORCE, STRESS, TORQUE, WORK, MECHANICAL POWER, MECHANICAL EFFICIENCY, OR FLUID PRESSURE
    • G01L9/00Measuring steady of quasi-steady pressure of fluid or fluent solid material by electric or magnetic pressure-sensitive elements; Transmitting or indicating the displacement of mechanical pressure-sensitive elements, used to measure the steady or quasi-steady pressure of a fluid or fluent solid material, by electric or magnetic means
    • G01L9/0041Transmitting or indicating the displacement of flexible diaphragms
    • G01L9/0072Transmitting or indicating the displacement of flexible diaphragms using variations in capacitance
    • G01L9/0073Transmitting or indicating the displacement of flexible diaphragms using variations in capacitance using a semiconductive diaphragm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0264Pressure sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0315Cavities
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0721Forming the micromechanical structure with a low-temperature process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0735Post-CMOS, i.e. forming the micromechanical structure after the CMOS circuit
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01DMEASURING NOT SPECIALLY ADAPTED FOR A SPECIFIC VARIABLE; ARRANGEMENTS FOR MEASURING TWO OR MORE VARIABLES NOT COVERED IN A SINGLE OTHER SUBCLASS; TARIFF METERING APPARATUS; MEASURING OR TESTING NOT OTHERWISE PROVIDED FOR
    • G01D5/00Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable
    • G01D5/12Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means
    • G01D5/14Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage
    • G01D5/142Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage using Hall-effect devices
    • G01D5/145Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage using Hall-effect devices influenced by the relative movement between the Hall device and magnetic fields

Abstract

Ein Verfahren zum Herstellen eines mikromechanischen Systems, das Ausbilden eines Transistors in einer Transistorregion in einem Front-end-of-line-Verfahren (FEOL-Verfahren); Ausbilden einer Opferschicht nach dem FEOL-Verfahren; Strukturieren der Opferschicht unter Ausbildung einer strukturierten Opferschicht; Ausbilden einer funktionellen Schicht, die die strukturierte Opferschicht zumindest teilweise bedeckt; und Entfernen der Opferschicht, um einen Hohlraum zu schaffen, umfasst.

Description

  • Technisches Gebiet
  • Ausführungsformen der vorliegenden Erfindung betreffen ein Verfahren zum Herstellen eines mikromechanischen Systems. Weitere Ausführungsformen der vorliegenden Erfindung betreffen ein Verfahren zur Herstellung eines Drucksensors. Weitere Ausführungsformen der vorliegenden Erfindung betreffen ein mikromechanisches System. Weitere Ausführungsformen der vorliegenden Erfindung betreffen ein Verfahren zur Herstellung eines mikromechanischen Systems und insbesondere die Integration von horizontalen Drucksensorstrukturen in einer CMOS-Technologie.
  • Technischer Hintergrund
  • Der Begriff mikroelektromechanisches System (MEMS) oder mikromechanisches System wird oftmals verwendet, um sich auf kleine integrierte Vorrichtungen oder Systeme zu beziehen, die elektrische und mechanische Komponenten kombinieren. Liegt der Fokus auf den mikromechanischen Teilen, kann der Begriff „mikromechanisches System” verwendet werden, um kleine integrierte Vorrichtungen oder Systeme zu beschreiben, die eines oder mehrere mikromechanische Elemente und möglicherweise, aber nicht notwendigerweise, elektrische Komponenten und/oder elektronische Komponenten umfassen.
  • Mikromechanische Systeme können zum Beispiel als Aktuatoren, Wandler oder Sensoren, z. B. Drucksensoren, verwendet werden. Drucksensoren sind heutzutage Massenprodukte in Automobilelektronik und Verbrauchsgüterelektronik. Für viele dieser Anwendungen werden Systeme verwendet, in denen der Sensor in eine anwendungsspezifische integrierte Schaltung (ASIC) integriert ist. Infineon Technologies AG bietet beispielsweise ein solches System als einen Seitenairbag-Sensor an.
  • Insbesondere können die mechanisch aktiven Elemente eines mikromechanischen Systems typischerweise relativ komplexe Strukturen erfordern, wie etwa Vertiefungen, Balken, Ausleger, Unterschnitte, Hohlräume etc. Möglicherweise ist eine relativ hohe Anzahl an Herstellungsschritten erforderlich. Außerdem kann das Verfahren, das zum Durchführen des mikromechanischen Systems verwendet wird, mit möglichen nachfolgenden Herstellungsschritten kompatibel sein müssen, die zum Beispiel zum Schaffen von elektrischen und/oder elektronischen Komponenten verwendet werden.
  • Die vorliegende Erfindung betrifft eine Integration von z. B. einer Lamelle oder einer Membran in einen 130-nm-Knoten, was nur in geringem Ausmaß für z. B. eine Lamelle oder eine Membran, die innerhalb des Substrats integriert ist, untersucht wurde.
  • Zusammenfassung der Erfindung
  • Ausführungsformen der vorliegenden Erfindung betreffen ein Verfahren zum Herstellen eines mikromechanischen Systems. Das Verfahren umfasst die Schritte des Ausbildens eines Transistors in einer Transistorregion in einem Front-end-of-line-Verfahren (FEOL-Verfahren) nach dem FEOL-Verfahren. Eine strukturierte Opferschicht wird zumindest in einer Region ausgebildet, die nicht die Transistorregion ist, wobei eine funktionelle Schicht ausgebildet wird, die die strukturierte Opferschicht zumindest teilweise bedeckt, und, nachdem die funktionelle Schicht ausgebildet ist, die Opferschicht entfernt wird, um einen Hohlraum zwischen der funktionellen Schicht und einer Oberfläche zu schaffen, auf der die Opferschicht abgeschieden wurde.
  • Gemäß einer Ausführungsform kann das Verfahren einen weiteren Schritt umfassen, bei dem eine Wärmebehandlung durchgeführt wird, worin die Wärmebehandlung Dotierungsatome der funktionellen Schicht aktivieren kann und eine Umstrukturierung der Atomstruktur der funktionellen Schicht bereitstellt. Die Umstrukturierung der Atomstruktur schafft ferner eine Spannung in der funktionellen Schicht.
  • Weitere Ausführungsformen der vorliegenden Erfindung betreffen ein Verfahren zum Herstellen eines Drucksensors. Das Verfahren umfasst die Ausbildung einer Opferschicht an einer Oberfläche des Halbleitersubstrats, worin eine funktionelle Schicht ausgebildet wird, die die Opferschicht bedeckt. Außerdem wird zumindest ein Loch ausgebildet, und die Opferschicht wird durch Anwenden eines Entfernungsverfahrens durch das zumindest eine Loch entfernt, um einen Hohlraum zu schaffen. Zusätzlich dazu wird eine Wärmebehandlung bei einer Temperatur zwischen 550°C und 750°C bereitgestellt, und das zumindest eine Loch wird verschlossen.
  • Weitere Ausführungsformen der vorliegenden Erfindung betreffen ein mikromechanisches System, das ein Halbleitersubstrat mit zumindest einem Transistor in einer Transistorregion und zumindest einer Metallschicht über dem zumindest einen Transistor umfasst, wobei eine untere Oberfläche der zumindest einen Metallschicht ein erstes vertikales Niveau aufweist. Außerdem umfasst das mikromechanische System eine funktionelle MEMS-Schicht in einer MEMS-Region, wobei die funktionelle Schicht eine obere Oberfläche auf einem zweiten vertikalen Niveau aufweist, das niedriger als das erste vertikale Niveau ist.
  • Weitere Ausführungsformen der vorliegenden Erfindung betreffen ein Verfahren zum Herstellen eines mikromechanischen Systems. Das Verfahren umfasst den Schritt des Ausbildens von zumindest einem Transistor in einer Transistorregion eines Substrats. Eine strukturierte Opferschicht wird in einer MEMS-Region des Substrats bereitgestellt, wobei eine funktionelle MEMS-Schicht ausgebildet wird, die zumindest teilweise die strukturierte Opferschicht bedeckt und Ätzlöcher in der funktionellen MEMS-Schicht bereitstellt. Außerdem wird die Opferschicht entfernt, um einen Hohlraum zu schaffen, und eine weitere Schicht, die die Ätzlöcher schließt, wird ausgebildet, worin die weitere Schicht sich über zumindest einen Teil der Transistorregion erstreckt und zumindest eine Metallschicht ausbildet.
  • Kurze Beschreibung der Figuren
  • Ausführungsformen der vorliegenden Erfindung sind nachfolgend unter Verwendung der beiliegenden Figuren im Detail beschrieben, in denen
  • die 1a–e schematische Querschnittansichten durch ein mikromechanisches System in mehreren Phasen eines Herstellungsverfahrens gemäß einer Ausführungsform zeigen;
  • die 2a–e schematische Querschnittansichten durch ein mikromechanisches System in mehreren Phasen eines Herstellungsverfahrens gemäß einer Ausführungsform zeigen;
  • die 3a–g schematische Querschnittansichten durch ein mikromechanisches System in mehreren Phasen eines Herstellungsverfahrens gemäß einer Ausführungsform zeigen;
  • 4 eine schematische Querschnittansicht durch ein mikromechanisches System gemäß einer Ausführungsform zeigt;
  • die 5a–d schematische Querschnittansichten durch ein mikromechanisches System in mehreren Phasen eines Herstellungsverfahrens gemäß einer Ausführungsform zeigen;
  • 6 eine schematische Querschnittansicht eines mikromechanischen Systems gemäß einer Ausführungsform zeigt;
  • 7 eine schematische, durch FIB (fokussierter Ionenstrahl) erhaltene Querschnittansicht eines Abschnitts eines mikromechanischen Systems gemäß einer Ausführungsform zeigt;
  • 8 die Ergebnisse einer numerischen Simulation der Verschiebung einer Membran mit einem darauf ausgeübten Druck von 1 bar schematisch veranschaulicht.
  • Detaillierte Beschreibung der Ausführungsformen der vorliegenden Erfindung
  • Bevor Ausführungsformen der vorliegenden Erfindung detailliert unter Verwendung der beiliegenden Figuren beschrieben werden, soll darauf hingewiesen werden, dass die gleichen oder funktionell äquivalenten Elemente die gleichen Referenzzahlen oder ähnliche Referenzzahlen in den Figuren bekommen und dass eine wiederholte Beschreibung für Elemente, die mit den gleichen oder ähnlichen Referenzzahlen bereitgestellt sind, typischerweise weggelassen wird. Daher sind Beschreibungen, die für Elemente bereitgestellt sind, die die gleichen oder ähnliche Referenzzahlen aufweisen, gegenseitig austauschbar.
  • Mikromechanische Systeme, z. B. Drucksensoren und andere Sensoren, sind Massenprodukte. Manchmal sind der Sensor oder mehrere Sensoren als ein System in der Form von z. B. einer anwendungsspezifischen integrierten Schaltung (ASIC) integriert. Bei der Migration hin zu neuen Technologieknoten ist eine der Herausforderungen, diese Systeme so zu integrieren, dass die Komplexität von beispielsweise einem CMOS-Verfahren (komplementärer Metalloxidhalbleiter) nicht notwendigerweise gesteigert wird, und dass das bestehende Integrationsschema des CMOS-Schaltkreises in hohem Maß vorzugsweise mit geringem oder minimalem Entwicklungsaufwand erhalten werden kann.
  • Die 1a–e zeigen schematische Querschnitte eines Substrats 10, um ein Herstellungsverfahren zum Erhalten eines mikromechanischen Systems zu veranschaulichen. 1a zeigt einen Transistor 25 oder eine Vielzahl an Transistoren, die in einem Front-end-of-Iine-Verfahren (FEOL-Verfahren) in einer Transistorregion 27 z. B. auf einem Substrat 10 ausgebildet sind. Nach der Vollendung des FEOL-Verfahrens zeigt 1b das Substrat in einem weiteren Verarbeitungsschritt, der die Ausbildung einer Opferschicht 20 umfasst, die Kohlenstoff umfassen kann. In 1c wurde die Opferschicht strukturiert, um eine strukturierte Opferschicht 20 auszubilden. 1d zeigt das Substrat 10 in einem weiteren Verarbeitungsschritt. Eine funktionelle Schicht 30 wird ausgebildet, die zumindest teilweise die strukturierte Opferschicht bedeckt, worin die Opferschicht 20 wie in 1e gezeigt entfernt wurde. Außerdem werden optionale Schritte auf das Substrat 10 angewandt.
  • Das Herstellungsverfahren kann ferner eine Wärmebehandlung umfassen, worin die Wärmebehandlung Dotierungsatome der funktionellen Schicht 30 aktiviert und eine Umstrukturierung der Atomstruktur der funktionellen Schicht 30 bereitstellt. Gegebenenfalls kann die funktionelle Schicht die Opferschicht 20 vollständig bedecken. Daher kann in der funktionellen Schicht 30 ein Loch geschaffen werden. Das Loch kann verwendet werden, um ein Entfernungsverfahren anzuwenden, um die Opferschicht 20 zu entfernen, um einen Hohlraum zwischen der funktionellen Schicht 30 und der Oberfläche der Halbleitersubstrats zu schaffen, und worin eine Schicht an einer Oberfläche der funktionellen Schicht angeordnet ist, um das Loch zu verschließen, worin eine Höhe der Schicht unter 600 nm liegt. Die Schicht kann ein Nitrid oder ein Oxid umfassen.
  • Das Herstellungsverfahren kann ferner ein Back-end-of-line-Verfahren (BEOL-Verfahren) umfassen, worin zumindest eine Metallschicht in dem BEOL ausgebildet wird, die zumindest in einem Teil einer MEMS-Region entfernt werden kann, um z. B. zumindest eine Metallleitung in der Transistorregion 27 zu strukturieren. Nachdem die zumindest eine Metallleitung strukturiert wurde, kann zumindest eine dielektrische Schicht in der Transistorregion und der MEMS-Region ausgebildet werden. Außerdem kann eine Wärmebehandlung für die funktionelle Schicht 30 bereitgestellt werden, um eine Spannung für die funktionelle Schicht bereitzustellen, worin die Temperatur der Wärmebehandlung zwischen 550°C und 750°C liegen kann.
  • Die 2a–e zeigen schematische Querschnitte eines Halbleitersubstrats 10, um ein Herstellungsverfahren zum Erhalten eines Drucksensors 201 zu veranschaulichen. 2a zeigt das Halbleitersubstrat 10, worin eine Opferschicht 20 auf einer Oberfläche 15 des Halbleitersubstrats 10 ausgebildet ist. 2b zeigt das Halbleitersubstrat 10 in einem weiteren Verarbeitungsschritt, worin eine funktionelle Schicht 30 ausgebildet ist, die die Opferschicht 20 bedeckt. In 2c ist zumindest ein Loch 75 in der funktionellen Schicht 30 ausgebildet, worin die Opferschicht 20 durch Anwenden eines Entfernungsverfahrens durch das zumindest eine Loch 75 entfernt wird, um einen Hohlraum 35 auszubilden, der in 2d gezeigt ist. Außerdem ist eine Wärmebehandlung bei einer Temperatur zwischen 550°C und 750°C für das Halbleitersubstrat 10 bereitgestellt. 2e zeigt den Drucksensor, worin das Loch 75 z. B. mit einem Füllmaterial 77 verschlossen wird. Gegebenenfalls kann das Herstellungsverfahren zwischen dem FEOL und dem BEOL durchgeführt werden. Außerdem kann die Wärmebehandlung gegebenenfalls eine Umstrukturierung der Atomstruktur und eine Aktivierung der Dotierungsatome der funktionellen Schicht bereitstellen, worin die Wärmebehandlung in der funktionellen Schicht amorphes Silizium in polykristallines Silizium umwandeln kann.
  • Die 3a–e zeigen schematische Querschnitte eines Substrats 10, um ein Herstellungsverfahren zum Erhalten eines mikromechanischen Systems zu veranschaulichen. 3a zeigt einen Transistor 25, der in einer Transistorregion 27 des Substrats 10 ausgebildet ist. In 3b ist eine strukturierte Opferschicht 20 in einer MEMS-Region 60 des Substrats bereitgestellt, worin wie in 3c gezeigt eine funktionelle Schicht 30, z. B. eine funktionelle MEMS-Schicht, ausgebildet ist, die zumindest teilweise die strukturierte Opferschicht bedeckt. 3d zeigt das Substrat 10 in einem weiteren Verarbeitungsschritt, worin ein Loch 75 oder eine Vielzahl an z. B. Ätzlöchern 75 in der funktionellen Schicht 30 bereitgestellt sind. In 3e wird die Opferschicht entfernt, um einen Hohlraum 35 zu schaffen. In 3f ist eine weitere Schicht 45 ausgebildet, um die Ätzlöcher zu verschließen. Die Schicht 45 erstreckt sich über zumindest einen Teil der Transistorregion 27. 3g zeigt das mikromechanische System, worin zumindest eine Metallschicht 65 ausgebildet ist. Gegebenenfalls ist die Schicht 45 eine dielektrische Schicht, die sich über zumindest einen Teil der Transistorregion erstreckt, worin die zumindest eine Metallschicht über der dielektrischen Schicht ausgebildet ist. Die Schicht 45 kann zumindest teilweise in der Transistorregion entfernt werden.
  • 4 zeigt ein mikromechanisches System, das ein Halbleitersubstrat 10, zumindest einen Transistor 25 in einer Transistorregion 27 und zumindest eine Metallschicht 65 über dem zumindest einen Transistor 25 umfasst, wobei eine untere Oberfläche der zumindest einen Metallschicht 65 ein erstes vertikales Niveau 235 aufweist. Das mikromechanische System umfasst ferner eine funktionelle Schicht 30, z. B. eine funktionelle MEMS-Schicht, in einer MEMS-Region 60, wobei die funktionelle Schicht 30 eine obere Oberfläche auf einem zweiten vertikalen Niveau 240 aufweist, das niedriger als das erste vertikale Niveau 235 ist. Die funktionelle Schicht kann polykristallines Silizium umfassen. Außerdem kann die funktionelle Schicht 30 gegebenenfalls ein Loch umfassen, das durch eine weitere Schicht verschlossen ist. Die Höhe der Schicht, die das Loch verschließt, kann unter 600 nm liegen. Zusätzlich dazu kann das mikromechanische System zumindest eine dielektrische Schicht umfassen, die sich in der MEMS-Region und der Transistorregion erstreckt. Über dem Dielektrikum kann das mikromechanische System zumindest eine Metallschicht in der Transistorregion umfassen. Außerdem kann ein Hohlraum zwischen der funktionellen MEMS-Schicht und dem Substrat 10 ausgebildet werden.
  • Die 5a–d zeigen schematische Querschnitte eines verarbeiteten Substrats 10 und verwandter Strukturen, um ein beispielhaftes Herstellungsverfahren zum Erhalten eines mikromechanischen Systems zu veranschaulichen. Das Herstellungsverfahren umfasst die Ausbildung eines Transistors 25 in einer Transistorregion 27 in einem Front-end-of-line-Verfahren (FEOL-Verfahren), worin nach dem FEOL-Verfahren eine strukturierte Opferschicht 20 zumindest in einer Region, die nicht die Transistorregion 27 ist, ausgebildet wird. Es wird eine funktionelle Schicht 30 ausgebildet, die die strukturierte Opferschicht 20 zumindest teilweise bedeckt, und nachdem die funktionelle Schicht 30 ausgebildet wurde, wird die Opferschicht 20 entfernt, um einen Hohlraum 35 zwischen der funktionellen Schicht 30 und einer Oberfläche 15 zu schaffen, worauf die Opferschicht abgeschieden wird.
  • Im Allgemeinen umfasst das FEOL-Verfahren typischerweise die Ausbildung des Halbleitersubstrats, was das Bereitstellen, Planarisieren und Reinigen des Wafers, das Abscheiden einer flachen Grabenisolation (STI) und das Ausbilden eines Topfs umfassen kann. Zusätzlich dazu werden Source-, Drain- und Gate-Anschlüsse ausgebildet, die elektrische oder elektronische Komponenten wie etwa Transistoren bilden können. In anderen Worten umfasst das FEOL-Verfahren die Herstellung des Halbleitersubstrats, wobei Metallisierungsstrukturen, die durch ein Isolationsmaterial getrennt sind, in einem weiteren Back-end-of-line-Verfahren (BEOL-Verfahren) angewandt werden können. Das in der vorliegenden Erfindung beschriebene Verfahren kann zwischen dem FEOL- und dem BEOL-Verfahren angewandt werden.
  • Um spezifischer zu sein zeigt 5a das mikromechanische System, das ein verarbeitetes Halbleitersubstrat 10 umfasst, worin zumindest ein Transistor 25 oder eine Vielzahl an Transistoren in einer Transistorregion 27 auf einer Oberfläche 15 auf dem verarbeiteten Substrat ausgebildet ist. Das verarbeitete Halbleitersubstrat 10 wird zum Beispiel durch das Front-end-of-line-Verfahren (FEOL-Verfahren) erhalten. Nach der Vollendung des FEOL-Verfahrens kann das verarbeitete Halbleitersubstrat 10 horizontal gestapelte Schichten, z. B. drei unterschiedliche Schichten, umfassen. Eine erste Schicht kann ein p-dotiertes Halbleitersubstrat 200 sein. Eine zweite Schicht kann eine hoch n-dotierte vergrabene Schicht 205 sein. Eine dritte Schicht kann eine n-dotierte Epitaxialschicht 210 sein. Die Dotierungsart der zweiten und dritten Schicht kann jedoch gleich sein, sie können unterschiedliche elektrische Eigenschaften aufgrund einer höheren Dotierungskonzentration der vergrabenen Schicht 205 im Vergleich zur Epitaxialschicht 210 umfassen. Die Schichten des horizontal gestapelten verarbeiteten Halbleitersubstrats gehen aufgrund der Diffusion der Dotierungsatome ineinander über. Ein tiefer Sinker 215 verbindet die Oberfläche 15 des verarbeiteten Halbleitersubstrats elektrisch durch die Epitaxialschicht 210 mit der vergrabenen Schicht 205. Der tiefe Sinker kann wieder n-dotiert mit einer ähnlichen Dotierungskonzentration wie die vergrabene Schicht 205 sein. Außerdem kann die Epitaxialschicht 210 einen p-dotierten Topf 50 in einer MEMS-Region 60 umfassen. Eine Oberfläche des Topfs 50 kann Teil der Oberfläche 15 des verarbeiteten Halbleitersubstrats sein. Der Topf 50 umfasst zumindest einen Graben eines STI(offene flache Grabenisolation)-Oxids 220 und zumindest einen Transistor 25 in einer Transistorregion 27. Zwischen der MEMS-Region und der Transistorregion umfasst das verarbeitete Halbleitersubstrat eine isolierte elektrisch leitfähige Durchkontaktierung 225. Gegebenenfalls kann die Oberfläche 15 des verarbeiteten Halbleitersubstrats 10 durch eine Passivierungsschicht 230 bedeckt sein. Die Passivierungsschicht kann an jenen Bereichen, in denen ein elektrischer Kontakt zum Substrat 10 erforderlich ist, und in zumindest einem Teil der MEMS-Region 60 entfernt werden. In Bezug auf die obenstehenden Spezifikationen soll angemerkt werden, dass komplementäre Dotierungsarten verwendet werden können. Die vorliegende Erfindung ist ferner für andere CMOS-Technologien anwendbar.
  • Nach dem FEOL-Verfahren wird eine Opferschicht 20 durch zum Beispiel ein chemisches Gasphasenabscheidungsverfahren (CVD), ein Ionenabscheidungsverfahren, ein ionenunterstütztes Sputtern, ein Sputterverfahren, ein kathodisches Vakuumlichtbogenverfahren, ein Plasmaabscheidungsverfahren und/oder eine Impulslaserabscheidung an der Oberfläche 15 des verarbeiteten Halbleitersubstrats 10 abgeschieden. Die Opferschicht 20 kann Kohlenstoffmaterialien umfassen. Außerdem kann die Opferschicht 20 strukturiert sein, z. B. durch ein Lithografie- und Ätzverfahren, oder sie kann als eine strukturierte Opferschicht abgeschieden werden. Eine laterale Grenze kann definiert sein, um die Opferschicht lateral abzugrenzen, sodass sie einen gewissen Teil der Oberfläche des Substrats bedeckt, worin ein verbleibender Teil der Oberfläche des Substrats freigelegt ist oder zumindest nicht durch die Opferschicht 20 bedeckt ist. Die Opferschicht kann ebenfalls strukturiert sein, um eine laterale Form mit zumindest einem Eckpunkt oder einer Ecke aufzuweisen. Die Struktur der Opferschicht definiert die Dimension mit einer Genauigkeit von z. B. 20 nm und eine Höhe mit einer Genauigkeit von z. B. weniger als 6%, z. B. ±2..3%, eines Hohlraums, der erhalten bleibt, nachdem die Opferschicht in einem weiteren Verarbeitungsschritt entfernt wurde.
  • 5b zeigt das verarbeitete Halbleitersubstrat 10, worin eine funktionelle Schicht 30 auf der Oberfläche 15 des verarbeiteten Halbleitersubstrats 10 abgeschieden wird, sodass die Opferschicht 20 bedeckt ist. Die funktionelle Schicht 30 kann so abgeschieden werden, dass die Opferschicht 20 zumindest teilweise abgedeckt ist, z. B. durch Verwendung eines weiteren Lithografieschrittes, um die funktionelle Schicht 30 zu strukturieren, oder sodass die funktionelle Schicht 30 die Opferschicht 20 vollständig bedeckt, wie in 1b gezeigt ist. Die funktionelle Schicht wird durch z. B. ein chemisches Gasphasenabscheidungsverfahren (CVD), ein Ionenabscheidungsverfahren, ein ionenunterstütztes Sputtern, ein Sputterverfahren, ein kathodisches Vakuumlichtbogenverfahren, ein Plasmaabscheidungsverfahren und/oder eine Impulslaserabscheidung mit einer Genauigkeit der Höhe von z. B. weniger als 6%, z. B. ±2..3%, abgeschieden.
  • Die funktionelle Schicht 30 kann ein in situ p-dotiertes amorphes Silizium umfassen, wobei z. B. Bor in der Dotierungssubstanz enthalten ist, um erforderliche oder erwünschte elektrische Eigenschaften und/oder mechanische Eigenschaften wie etwa eine höhere mechanische Steifigkeit oder eine bessere elektrische oder thermische Leitfähigkeit zu erlangen. Die funktionelle Schicht kann unter Verwendung einer In-situ-Polyschicht-Abscheidung und -Strukturierung abgeschieden werden. Das Verfahren erfordert eine vergleichsweise niedrige Temperatur von z. B. zwischen 300°C und 600°C oder zwischen 400°C und 500°C oder etwa 440°C, die die elektrischen Eigenschaften des verarbeiteten Halbleitersubstrats erhalten kann.
  • 5c zeigt das verarbeitete Halbleitersubstrat 10, worin die Opferschicht 20 durch ein Entfernungsverfahren entfernt wird, sodass ein Hohlraum 35 zwischen der Oberfläche des verarbeiteten Halbleitersubstrats 15 und der funktionellen Schicht 30 erhalten wird. Das Entfernungsverfahren kann zumindest eines von einem Thermozersetzungsverfahren, einem Trockenätzverfahren und einem Veraschungsverfahren umfassen. Wenn die Opferschicht 20 vollständig mit der funktionellen Schicht 30 bedeckt ist (siehe 5b), ist es möglich, zumindest ein Loch 75 in der funktionellen Schicht zu erzeugen, um dem Entfernungsverfahren Zugriff auf die Opferschicht 20 zu ermöglichen. Das eine oder die mehreren Löcher 75 können nebeneinanderliegend oder nahe einer Kante der funktionellen Schicht 30 angeordnet werden. In anderen Worte: Das eine oder die mehreren Löcher erstrecken sich von einer freiliegenden Oberfläche 40 der funktionellen Schicht 30 zu einer Oberfläche der Opferschicht 20. Das zumindest eine Loch 75 führt von der freiliegenden Oberfläche 40 zu einer Randregion 80 der Opferschicht 20. Die Löcher können in den Ecken der funktionellen Schicht 30 positioniert sein. Abhängig von der Konfiguration des Herstellungsverfahrens kann es möglich sein, dass die Löcher keine bestimmte Maske erfordern, sondern gleichzeitig mit anderen Strukturen unter Verwendung der gleichen Maske strukturiert werden können. Alternativ oder zusätzlich dazu können Restprodukte wie etwa das Ätzmittel in einem Nassätzverfahren oder ein Gas in einem Trockenätzverfahren den Hohlraum 35 verlassen, der durch Entfernen der Opferschicht 20 durch das zumindest eine Loch erzeugt wird.
  • Wenn die funktionelle Schicht 30 die Opferschicht 20 nur teilweise bedeckt, kann das Entfernungsverfahren durch jene Bereiche angewandt werden, in denen die Opferschicht 20 nicht durch die funktionelle Schicht 30 bedeckt ist.
  • Die Entfernung der Opferschicht 20 kann durch die Verwendung eines Materials unterstützt werden, das eine extreme Selektivität in Bezug auf die Ätzrate zu den Ätzgeschwindigkeiten der umliegenden Materialien zeigt. Auf diese Weise kann die vollständige Opferschicht 20 auch von entfernten Punkten der funktionellen Schicht 30 entfernt werden. Außerdem kann die Entfernung durch ein Trockenätzverfahren erreicht werden, sodass Probleme wie etwa Anhaften während des Verfahrens nicht erwartet werden. Der resultierende Hohlraum kann einen lateralen Bereich von z. B. 20 μm bei einer Genauigkeit von z. B. 20 nm und eine Höhe von z. B. weniger als 500 nm, weniger als 300 nm oder weniger als 100 nm bei einer Genauigkeit der Höhe von z. B. weniger als 15%, weniger als 10% oder weniger als 5% aufweisen.
  • Ein weiterer Schritt kann die Anwendung einer Wärmebehandlung auf die funktionelle Schicht 30 sein. Die Wärmebehandlung kann eine Umstrukturierung der Atomstruktur der funktionellen Schicht veranlassen, d. h. die funktionelle Schicht kann angespannt und/oder ausgeheilt werden. Ferner kann die Dotierung der funktionellen Schicht aktiviert werden. Die Spannung, z. B. eine (intrinsische) Zugbeanspruchung, kann durch z. B. eine wärmeinduzierte Umwandlung von amorphem Silizium in polykristallines Silizium in der funktionellen Schicht erreicht werden. Diese Kombination aus der Aktivierung der Dotierung und der Umstrukturierung der Atomstruktur in einer einzelnen Wärmebehandlung ist ein weiterer Schritt in dieser Erfindung. Außerdem schränkt die vergleichsweise niedrige Temperatur in einem optimalen Bereich von z. B. 450°C bis 850°C oder 550°C bis 750°C und die kurze Dauer der Wärmebehandlungszeit von z. B. weniger als 90 Minuten, weniger als 60 Minuten oder weniger als 30 Minuten den Einfluss auf das verarbeitete Halbleitersubstrat 10 nach dem FEOL-Verfahren ein. Der eine oder die mehreren Transistoren 25 und gegebenenfalls andere hergestellte elektronische Komponenten erhalten so ihre Spezifikationen. Andernfalls müssten sie in einem umfangreichen Verfahren neu definiert werden.
  • 5d zeigt das mikromechanische System, in dem eine gegebenenfalls abgeschiedene Schicht 45 die Oberfläche 15 des verarbeiteten Halbleitersubstrats 10, die funktionelle Schicht 30 und den Transistor 25 bedecken kann. Wenn das Loch 75 zuvor erzeugt wurde, kann die Schicht 45 innerhalb des Lochs angeordnet werden, sodass der Hohlraum 35 versiegelt wird. Zur gleichen Zeit kann ein Teil des Hohlraums 35, der unter dem zumindest einen Loch 75 liegt, auch mit dem gleichen Füllmaterial 77 gefüllt werden. Dieser Teil des Hohlraums wird typischerweise aufgrund einer Nebenwirkung des Füllens des zumindest einen Lochs gefüllt. Wie oben erwähnt liegt das zumindest eine Loch 75 neben der Randregion der Opferschicht 20 oder einer Verlängerung davon und liegt daher auch neben einer Randregion des Hohlraums 35 (typischerweise in einer Richtung, die orthogonal zur Oberfläche 15 des Substrats 10 ist). Nahegelegene Seitenwände, z. B. ein Teil der funktionellen Schicht 30, und der Boden, der den Hohlraum 35 begrenzt, können zu einer lokal beschränkten Abscheidung des Füllmaterials 77 innerhalb des Hohlraums führen (z. B. aufgrund von Adhäsionswirkungen), sodass der Teil des Hohlraums 35, der gefüllt ist, nur einen kleinen Bruchteil des gesamten Hohlraums ausmacht. Außerdem liegt der gefüllte Teil des Hohlraums innerhalb eines Randteils des Hohlraums 35, d. h. nahe an einer lateralen Grenze des Hohlraums, sodass eine lichte Breite des Hohlraums durch den gefüllten Teil des Hohlraums nur auf ein geringes oder vernachlässigbares Ausmaß reduziert wird. Das Füllmaterial 77 kann ein Material sein, das die Schicht 45 ausbildet oder davon umfasst ist, das z. B. ein dielektrisches Zwischenschichtmaterial (ILD-Material) einer ILD-Schicht sein kann.
  • Die Randregion der Opferschicht 20 kann als eine Region gesehen werden, die verglichen mit einer lateralen Gesamtausdehnung der Opferschicht 20 nahe an einem lateralen Umfang der Opferschicht liegt. Die Breite der Randregion kann von den geometrischen Strukturen und/oder den mikromechanischen Eigenschaften der funktionellen Schicht 30 abhängen. Beispielsweise kann die Randregion in einem Bereich nahe den Ecken der Opferschicht 20 relativ breit und nahe den Mittelpunkten der Kanten schmäler sein. Alternativ oder zusätzlich dazu kann der laterale Querschnittbereich des zumindest einen Lochs 75 so definiert sein, dass er maximal 5% des lateralen Querschnittbereichs des Hohlraums 35 ausmacht, gegebenenfalls vorzugsweise maximal 4% oder 3% oder 2% oder 1%. Aus diesen optionalen Definitionen wird ersichtlich, dass ein Teil der funktionellen Schicht, der neben einem zentralen Teil der Opferschicht (und einem eventuell erzeugten Hohlraum nach der Entfernung der Opferschicht) liegt, frei von Löchern ist, da diese (ausschließlich) in der Randregion positioniert sind. Gemäß manchen Ausführungsformen kann sich die Randregion von der lateralen Grenze der Opferschicht in eine Richtung erstrecken, die senkrecht zur lateralen Grenze um maximal 30% einer größten lateralen Ausdehnung der Opferschicht 20 ist.
  • Das Anordnen von Öffnungspunkten, z. B. Löchern 75, in der Rand-/Eckregion ermöglicht einen hohen Grad an Freiheit, wenn die Öffnungen oder Löcher 75 geschlossen werden. Da die Ecken nur eine geringfügige Rolle in Hinblick auf die mechanischen Eigenschaften der Membran (der funktionellen Schicht) und die Verschiebung unter in zur Membran (funktionellen Schicht) orthogonalen Richtung wirkenden Kräften spielen, ist der Erhalt des Hohlraums 35 (unmittelbar) unter der weiteren Schicht 45, die das Loch 75 schließt, nicht absolut zwingend (d. h. der Erhalt des Hohlraumteils direkt unter dem gefüllten Loch und in der Umgebung).
  • Außerdem kann es auch möglich sein, das Loch 75 geöffnet zu lassen, um z. B. einen Druckausgleich zu ermöglichen. Zusätzlich dazu kann es auch möglich sein, ein Loch 75 in der funktionellen Schicht 30, die die Opferschicht 20 teilweise bedeckt, zu erzeugen, um z. B. das Entfernungsverfahren zu optimieren.
  • Um weitere Verarbeitungsschritte, z. B. einen Polierschritt, nicht zu beeinflussen, kann die Schicht eine maximale Höhe umfassen, die z. B. geringer als 1500 nm, geringer als 1000 nm oder geringer als 600 nm ist. Die Höhe kann der Abstand zwischen der Oberfläche 15 des verarbeiteten Halbleitersubstrats 10 und einer freiliegenden Oberfläche der Schicht 45 sein, die senkrecht zur Oberfläche des verarbeiteten Halbleitersubstrats 10 ist. Die Schicht 45 kann eines aus einem Nitrid oder einem Oxid umfassen.
  • Die Abscheidung der Schicht 45 wird in einer Hochvakuumumgebung verarbeitet, die einen Druck von z. B. weniger als 100 mTorr, weniger als 50 mTorr oder weniger als 10 mTorr umfasst. Das Vakuum kann die Hohlraumevakuierung von z. B. Resten des Ätzverfahrens oder einem Gas eines Trockenätzverfahrens unter Verwendung von Plasma hoher Dichte unterstützen. In anderen Worten kann eine gemeinsame Evakuierung, Schließung und ILD-Abscheidung erreicht werden. Die Schicht 45 kann ein erster Schritt eines BEOL-Verfahrens sein, das z. B. weitere CMOS-Verfahren wie etwa einen Oberflächenpolierschritt umfasst.
  • Das BEOL-Verfahren kann das Errichten eines horizontalen Stapels an Metallisierungsstrukturen, z. B. Metallschichten oder Metallleitungen, und dielektrischen Zwischenschichten umfassen. Der Stapel wird ausgebildet oder abgeschieden, um eine Verdrahtung oder Verbindungen des mikromechanischen Systems auszubilden. Typischerweise werden die Metallschichten z. B. in einem Lithografieverfahren abgeschieden und strukturiert, um eine Metallleitung zwischen unterschiedlichen Transistoren 25 auszubilden, worin die Metallleitung eine elektrische Verbindung ausbilden kann. Eine dielektrische Schicht isoliert die Verbindungen und erzeugt eine Basis für eine weitere Metallschicht, die wieder strukturiert wird. Verbindungen zwischen unterschiedliche Metallisierungsschichten können z. B. durch Durchkontaktierungen durch die dielektrischen Schichten bereitgestellt werden. Die Schritte des Abscheidens einer dielektrischen Schicht, des Abscheidens einer Metallisierungsschicht und des Strukturierens der Metallisierungsschicht, um zumindest eine Metallleitung auszubilden, kann wiederholt werden, bis die gesamte Verdrahtung vollständig ist. Zusätzlich dazu können weitere Schichten im Stapel abgeschieden werden, wobei sie Zwischenschichten ausbilden, z. B. um einen Ätzstopp für ein Ätzmittel auszubilden. Außerdem werden zumindest in einem Teil der MEMS-Region alle Schichten des BEOL-Verfahrens, d. h. jede Schicht, die nach der funktionellen Schicht abgeschieden wird, entfernt, um Zugriff auf die funktionelle Schicht bereitzustellen. Der entfernte Teil der Schichten bildet einen Graben in dem Stapel aus. Es sind dennoch keine weiteren Hochtemperaturschritte notwendig, die in der Lage sind, das verarbeitete Halbleitersubstrat zu schädigen, insbesondere die Dotierung des verarbeiteten Halbleitersubstrats oder die Spannung und/oder die Dotierung der funktionellen Schicht.
  • Im Kontext von Technologien mit Strukturbreiten von 130 nm und darunter spielt zum Beispiel die Topologie von Front-end-of-line-Strukturen eine relativ wichtige Rolle. Ausführungsformen ermöglichen, dass eine Gesamtdicke einige hundert Nanometer nicht übersteigt, um einen BPSG(Borphosphorsilikatglas)-Polierschritt, der oftmals vorliegt, nicht zu stören. Außerdem wird gemäß den Ausführungsformen eine Verringerung für so wenige Zwischenschritte wie möglich und das Teilen oder die gemeinsame Verwendung von bestehenden Verfahren erreicht, um eine erfolgreiche Integration zu erleichtern, d. h., die Voraussetzungen für eine erfolgreiche Integration sind gegeben.
  • In Hinblick auf Drucksensoren ist eine Option durch integrierte Drucksensorstrukturen bereitgestellt, die eine Platte aus polykristallinem Silizium oder eine Membran, die auf einem Substrat ausgebildet ist, verwenden. Das verwendete Verfahren setzt 5 bis 6 Maskenschichten und ein umfangreiches Verfahren zum Entfernen einer Opferschicht zwischen der Platte und dem Substrat ein. In dieser Form kann das vorliegende Verfahren nur schwer in ein CMOS-Verfahren integriert werden. Die vorliegende Erfindung verwendet nur 2 bis 3 Maskenschichten und eine CMOS-kompatible Entfernung der Opferschicht und kann daher viel besser in ein CMOS-Verfahren integriert werden, auch in Verbindung mit einer Integration in einen 130-nm-Knoten.
  • Außerdem erfordert ein dotierter Halbleiter, z. B. die funktionelle Schicht, typischerweise eine Aktivierung, z. B. wenn ein Ionenimplantationsverfahren verwendet wird. Die Dotierungssubstanz bleibt zuerst auf einem Zwischengitterplatz des Kristallgitters des Substrats, welches z. B. Silizium umfasst, wo die Dotierungssubstanz keine Wirkung hat. Zusätzlich dazu zerstört die Ionenimplantation Teile des Kristallgitters aufgrund von Kollisionen des Dotierungsmittels, das in das Gitter eingebracht wird, und Molekülen des Kristallgitters, z. B. Siliziummoleküle. Eine Wärmebehandlung, z. B. ein Glühen, des dotierten Halbleiters aktiviert die Dotierung, d. h. Moleküle des Dotierungsmittels werden in das Kristallgitter integriert, und das verarbeitete Halbleitersubstrat wird durch Ersetzen fehlender Moleküle ausgeheilt, beides aufgrund einer gesteigerten Wärmebewegung der Moleküle. Abgesehen von diesen positiven Wirkungen findet eine Diffusion des Dotierungsmittels statt. Die Diffusion nimmt mit einer gesteigerten Dauer und einer gesteigerten Temperatur der Wärmebehandlung zu. Daher erreichen Ausführungsformen dieser Erfindung eine Einschränkung der Wärmebehandlung.
  • Wenn ein Loch 75 in der funktionellen Schicht 30 erzeugt wird, die z. B. eine Membran ist, kann zu diesem Zweck das eine oder die mehreren Löcher 75 an der Ecke/den Ecken oder dem Rand des Opfermaterials oder des Hohlraums 35 durchgeführt werden. Das Lithografieverfahren zum Strukturieren der polykristallinen Platte kann so gemeinsam zum Lochätzen verwendet werden. Außerdem ist es möglich, ein Verfahren zum Schließen, Füllen oder Verschließen zu verwenden, das nicht notwendigerweise einen Teil des Hohlraums 35 (direkt) unter dem Loch 75 innerhalb der Platte erhalten muss. Das Verfahren kann in der Abscheidung der Schicht 45 enthalten sein, die z. B. eine ILD-Schicht sein kann.
  • Die funktionelle Schicht 30 kann als eine Platte aus polykristallinem Silizium oder eine Membran ausgebildet sein und auf einem Drucksensor errichtet sein. Die vorgeschlagene Variante integriert die Drucklamelle, wenn die CMOS-Strukturen vervollständigt wurden, und verringert die Zahl an strukturierenden Lithografieverfahren. Abhängig von dem Verfahrensmanagement ist es außerdem möglich, das Versiegelungsoxid gleichzeitig als ein ILD vor dem Strukturieren der Kontaktlöcher zu verwenden. Auf diese Weise wird eine maximale Nutzung der bestehenden Verfahren innerhalb des CMOS-Verfahrens erreicht.
  • Das Öffnen der Membran wird zu diesem Zweck ausschließlich auf den Ecken oder Seiten durchgeführt, sodass einerseits das Lithografieverfahren zum Strukturieren der Polylamelle zum Lochätzen verwendet werden kann; zusätzlich dazu wird ermöglicht, zum Schließen oder Versiegeln ein Verfahren einzusetzen, das nicht notwendigerweise den Hohlraum 35 unter dem Loch 75 innerhalb der Lamelle erhalten muss.
  • Mittels geeigneter Temperaturbehandlung wird die Lamelle so modifiziert, dass zusätzlich zur Aktivierung der Dotierungsmittel auch Zugbeanspruchung erreicht wird. Alle Schichten, die auf der Sensorlamelle gehalten werden, werden später durch ein Trockenätzverfahren entfernt und in einer Durchführungsvariation durch dünne Passivierung ersetzt. Weitere spezifische Verfahren sind die Trockenevakuierung des Hohlraums (z. B. Abätzen der Opferschicht), Aktivierung der Dotierungsmittel und die Anlegung einer Zugbeanspruchung auf die Lamelle und die Kombination aus Schließen und Versiegeln der Evakuierungslöcher und ILD-Abscheidung.
  • Gemäß Ausführungsformen ist eine geeignete Auswahl des Integrationskonzepts für eine Drucksensorlamelle erreichbar. Das umfasst die Einbringung der Lamelle, wenn wesentliche Teile der Transistorstrukturen der umliegenden CMOS-Schaltung vervollständigt wurden (nach der FEOL-Verarbeitung), Temperaturbehandlung mit geeigneten Parametern auf eine Weise, die die CMOS-Schaltung nicht beeinträchtigt, und gleichzeitige Evakuierung des Hohlraums und Abscheidung eines Teils des untersten Zwischenschichtdielektrikums, in dem das unterste Kontaktniveau zu einem späteren Zeitpunkt strukturiert wird. Es können daher bestehende Verfahrenssequenzen im CMOS-Verfahren verwendet werden (nur 2 bis 3 zusätzliche Maskenebenen zum Integrieren eines Drucksensors).
  • 6 stellt eine schematische Querschnittansicht durch ein beispielhaftes mikromechanisches System bereit, das zum Beispiel einen Drucksensor implementieren kann. Das mikromechanische System 57 umfasst das verarbeitete Halbleitersubstrat 10 und zumindest einen Transistor 25 in der Transistorregion 27. Der Transistor umfasst ein Abstandhalter-Oxid oder ein Abstandhalter-Nitrid 66 an der Gate-Elektrode. Wenn das verarbeitete Halbleitersubstrat als ein niedrigster Strukturteil gesehen wird, ist zumindest eine Metallschicht 65 über dem zumindest einen Transistor 25 mit einer unteren Oberfläche von der zumindest einen Metallschicht 65, die ein erstes vertikales Niveau 235 aufweist, die die dem Transistor 25 zugewandte Oberfläche ist, errichtet. Außerdem wird eine funktionelle Schicht 30, die z. B. eine MEMS-Schicht ist, in einer MEMS-Region 60 angeordnet. Die funktionelle Schicht 30 umfasst eine obere Oberfläche auf einem zweiten vertikalen Niveau 240, die niedriger, d. h. näher an der Oberfläche 15, als das erste vertikale Niveau 235 ist. Die funktionelle Schicht 30 kann polykristallines Silizium umfassen und umfasst gegebenenfalls ein Loch 75, das mit einem Füllmaterial 77 gefüllt sein kann. Das füllmaterial 77 kann z. B. ein ILD-Material umfassen, das sich bis zum Hohlraum 35 erstrecken oder sich in diesen hinein erstrecken kann.
  • Der zumindest eine Transistor 25 kann konfiguriert sein, um z. B. eine elektrische Schaltung bereitzustellen, um zum Beispiel eine Variation an physischen Eigenschaften der funktionellen Schicht umzuwandeln oder zu verarbeiten. Die zumindest eine Metallschicht 65 über dem zumindest einen Transistor 25 ist konfiguriert, um einen elektrischen Kontakt mit zumindest einem Transistor 25 bereitzustellen. Die funktionelle Schicht 30 in der MEMS-Region 60 ist zum Beispiel ein funktioneller Teil in einem Sensor, worin die funktionelle Schicht 30 konfiguriert ist, um seine physischen Eigenschaften z. B. aufgrund von unterschiedlichem Umgebungsdruck (der auf die funktionelle Schicht 30 einwirkt) zu ändern. Die physikalischen Eigenschaften der funktionellen Schicht können z. B. die Leitfähigkeit der funktionellen Schicht sein. Die Schicht 45 und gegebenenfalls mehrere/andere Schichten, z. B. horizontal gestapelte ILD- und Metallschichten/Metallisierungsstrukturen/Metallleitungen/zumindest eine Metallschicht 65, die die funktionelle Schicht 30 bedecken, können sich während des Herstellungsverfahrens über zumindest einen Teil der Transistorregion 27 erstrecken. Die Schichten werden dennoch in weiteren Schritten des Herstellungsverfahrens zumindest in einem Teil des MEMS-Bereichs 60 entfernt, wobei ein Graben 85 ausgebildet wird, um Zugriff auf die funktionelle Schicht 30 bereitzustellen, die z. B. ein Sensorteil des mikromechanischen Systems ist.
  • Der Topf 50 ist neben der Oberfläche 15 des Halbleiters 10 positioniert. Typischerweise umfasst der Topf 50 die gleiche Dotierungsart wie das dotierte Substrat 200, jedoch mit einer höheren Dotierungskonzentration, was zu einer höheren elektrischen Leitfähigkeit des Topfs 50 im Vergleich zum dotierten Substrat 200 führt. Beispielsweise können sowohl das dotierte Substrat 200 als auch der Topf 50 p-dotiert sein, und der Dotierungswert des Topfs 50 kann 2 bis 5 Größenordnungen höher sein als der Dotierungswert des dotierten Substrats 200. Die hohe Dotierungskonzentration des Topfs 50 kann durch ein Ionenimplantationsverfahren oder ein Diffusionsverfahren geschaffen werden, gefolgt von einer Wärmebehandlung wie etwa Ofenglühen oder einem schnellen thermischen Glühen (RTA). Der Topf 50 kann als eine (Gegen-)Elektrode in einem hergestellten mikromechanischen System oder mikromechanischem Drucksensor verwendet werden.
  • 7 zeigt eine Querschnittansicht, genauer einen schematischen FIB-Abschnitt (fokussierter Ionenstrahl) des mikromechanischen Systems, das z. B. ein Drucksensor sein kann. Die funktionelle Schicht 30 wird auf dem Bereich des verarbeiteten Halbleitersubstrats 10 abgeschieden, wo der dotierte Topf 50 angeordnet ist. Die funktionelle Schicht 30 ist jedoch elektrisch von dem dotierten Topf 50 isoliert, zum Beispiel durch den Hohlraum 35 oder das STI-Oxid 220, das in dem Topf 50 abgeschieden wird. Außerdem sind die Oberfläche 15 des verarbeiteten Halbleitersubstrats 10 und die funktionelle Schicht 30 durch eine Schicht 45 bedeckt. Zum Kontaktieren des Topfs 50 z. B. durch eine externe Schaltung werden elektrische Kontakte 55 neben der Schicht 45 und der funktionellen Schicht 30 errichtet. Ein Teil der Schicht 45, die die funktionelle Schicht 30 zumindest in einem Bereich, der vertikal zur Oberfläche 40 der funktionellen Schicht ist, bedeckt, kann in einem weiteren Verarbeitungsschritt entfernt werden, um zu verhindern, dass die Empfindlichkeit der funktionellen Schicht 30 durch z. B. eine unterschiedliche mechanische Stärke der Schicht 45 beeinflusst wird. Außerdem bietet die funktionelle Schicht 30 einen typischen Kristallisierungsgrad, der durch die Wärmebehandlung der funktionellen Schicht nach dem FEOL-Verfahren erhalten wurden, was für diese Erfindung typisch ist. Eine REM-Mikroskopie bei Direktlicht oder ein Querschnittbruch kann die Struktur veranschaulichen.
  • Die funktionelle Schicht kann möglicherweise eines aus einer Membran, einem Balken, einem Hebel, einem Ausleger etc. des mikromechanischen Systems ausbilden, wenn das mikromechanische System errichtet ist. Die funktionelle Schicht wird so zu einem mechanisch umwandelnden oder mechanisch aktiven Element. Wegen des Hohlraums, der neben der funktionellen Schicht ausgebildet wurde, ist zumindest ein Teil der funktionellen Schicht in der Lage, sich als Reaktion auf eine mechanische Kraft, einen Druck, eine Beschleunigung, eine elektrische Kraft oder andere physische Einflüsse zu bewegen.
  • 8 zeigt das Ergebnis einer Simulation einer Finite-Elemente-Methode (FEM) der funktionellen Schicht 30, die einem Druck von 1 bar ausgesetzt ist. Zum Zweck der Simulation ist die funktionelle Schicht 30 eine Membran, von der angenommen wurde, 300 nm dick und an allen vier Seiten angeklemmt zu sein. Wie erwartet werden kann, kann die maximale Verschiebung (3,65 nm) in der Mitte der funktionellen Schicht 30 beobachtet werden. Andererseits macht der Abstand der Oberflächen mit gleicher Entfernung zur Membrankante klar, dass in den Ecken der Membran die kleinste Verschiebung erwartet werden kann.
  • Die vorher erörterten Ausführungsformen ermöglichen die wirksame Umsetzung von Drucksensoren. Die Vereinzelung der Sensoren kann durch ein geeignetes Verfahren wie etwa Schneiden, Sägen, Ätzen oder Stealth Dicing durchgeführt werden. Beim Stealth Dicing zerstört ein Laser die Kristallstruktur in einer festgelegten Tiefe des Substrats, sodass das Substrat vereinzelt werden kann (zum Beispiel durch Aufbrechen des Substrats), sodass die Hohlräume des Substrats verlässlich geöffnet und belüftet werden können.
  • Auch wenn manche Aspekte im Kontext eines Geräts beschrieben wurden, ist klar, dass diese Aspekte auch eine Beschreibung des entsprechenden Verfahrens darstellen, bei dem ein Block oder eine Vorrichtung einem Verfahrensschritt oder einem Merkmal eines Verfahrensschrittes entspricht. Analog stellen Aspekte, die im Kontext eines Verfahrens beschrieben sind, auch eine Beschreibung eines entsprechenden Blocks oder Gegenstands oder Merkmals eines entsprechenden Geräts dar. Manche oder alle Verfahrensschritts können durch ein (oder unter Verwendung von einem) Hardware-Gerät wie zum Beispiel einem Mikroprozessor, einem programmierbaren Computer oder einer elektronischen Schaltung ausgeführt werden. In manchen Ausführungsformen können manchen, einer oder mehrere der wichtigsten Verfahrensschritte durch ein solches Gerät ausgeführt werden.
  • In der vorhergehenden detaillierten Beschreibung ist ersichtlich, dass verschiedene Merkmale gemeinsam in Ausführungsformen zusammengefasst sind, um die Offenbarung effizienter zu machen. Dieses Verfahren der Offenbarung soll nicht so ausgelegt werden, dass es eine Intention widerspiegelt, dass die beanspruchten Ausführungsformen mehr Merkmale erfordern, als explizit in jedem Anspruch aufgeführt sind. Wie die folgenden Ansprüche widerspiegeln kann stattdessen der Erfindungsgegenstand in weniger als allen Merkmalen einer einzelnen offenbarten Ausführungsform liegen. Daher werden die folgenden Ansprüche hiermit in die detaillierte Beschreibung aufgenommen, wobei jeder Anspruch allein für eine getrennte Ausführungsform stehen kann. Auch wenn jeder Anspruch allein für eine getrennte Ausführungsform stehen kann, soll angemerkt werden, dass – auch wenn ein abhängiger Anspruch sich in den Ansprüchen auf eine spezifische Kombination mit einem oder mehreren anderen Ansprüchen beziehen kann – andere Ausführungsformen auch eine Kombination des abhängigen Anspruchs mit dem Gegenstand jedes anderen abhängigen Anspruchs oder eine Kombination jedes Merkmals mit anderen abhängigen oder unabhängigen Ansprüchen umfassen kann. Solche Kombinationen werden hierin vorgeschlagen, außer es ist angemerkt, dass eine spezifische Kombination nicht vorgesehen ist. Außerdem ist vorgesehen, auch Merkmale eines Anspruchs in jedem anderen unabhängigen Anspruch zu inkludieren, auch wenn dieser Anspruch nicht direkt von unabhängigen Anspruch abhängig gemacht wird.
  • Ferner soll angemerkt werden, dass in der Beschreibung oder in den Ansprüchen offenbarte Verfahren durch eine Vorrichtung umgesetzt werden können, das Mittel zum Durchführen von jedem der jeweiligen Schritte dieser Verfahren aufweist.
  • Außerdem kann in manchen Ausführungsformen ein einzelner Schritt mehrere Teilschritte umfassen oder in diese aufgespaltet sein. Solche Teilschritte können in der Offenbarung dieses Einzelschrittes umfasst und Teil davon sein, außer sie sind explizit ausgeschlossen.
  • Die oben beschriebenen Ausführungsformen sind für die Prinzipien der vorliegenden Erfindung nur veranschaulichend. Es ist klar, dass Modifikationen und Variationen dieser Anordnungen und der hierin beschriebenen Details für Fachleute auf dem Gebiet der Erfindung ersichtlich sind. Es wird daher beabsichtigt, dass sie nur durch den Schutzumfang der anhängigen Patentansprüche eingeschränkt werden, nicht aber durch die spezifischen Details, die mittels der Beschreibung und der Erklärung der Ausführungsformen hierin dargestellt sind.

Claims (26)

  1. Verfahren zum Herstellen eines mikromechanischen Systems, das Verfahren umfassend: das Ausbilden von Transistoren in einer Transistorregion in einem Front-end-of-line-Verfahren (FEOL) nach dem FEOL-Verfahren, das Ausbilden einer Opferschicht; das Strukturieren der Opferschicht, um eine strukturierte Opferschicht auszubilden; das Ausbilden einer funktionellen Schicht, die die strukturierte Opferschicht zumindest teilweise bedeckt; und das Entfernen der Opferschicht, um einen Hohlraum auszubilden.
  2. Verfahren nach Anspruch 1, ferner umfassend eine Wärmebehandlung, worin die Wärmebehandlung Dotierungsatome der funktionellen Schicht aktiviert und eine Umstrukturierung der Atomstruktur der funktionellen Schicht bereitstellt.
  3. Verfahren nach Anspruch 1 oder 2, worin die Opferschicht Kohlenstoff umfasst.
  4. Verfahren nach einem der Ansprüche 1 bis 3, worin die Opferschicht vollständig durch die funktionelle Schicht bedeckt ist.
  5. Verfahren nach einem der Ansprüche 1 bis 4, worin ein Loch in der funktionellen Schicht geschaffen wird und worin die Opferschicht unter Verwendung des Lochs entfernt wird, um ein Entfernungsverfahren anzuwenden, um den Hohlraum zwischen der funktionellen Schicht und der Oberfläche des Halbleitersubstrats zu schaffen, und worin eine Schicht an einer Oberfläche der funktionellen Schicht angeordnet wird, um das Loch zu schließen, worin eine Höhe der Schicht unter 600 nm beträgt.
  6. Verfahren nach Anspruch 5, worin die Schicht ein Nitrid oder ein Oxid umfasst.
  7. Verfahren nach einem der Ansprüche 1 bis 6, worin das Verfahren ferner ein Back-end-of-line (BEOL) umfasst, worin zumindest eine Metallschicht in dem BEOL ausgebildet wird.
  8. Verfahren nach Anspruch 7, worin die zumindest eine Metallschicht, die im BEOL ausgebildet wurde, zumindest in einem Teil der MEMS-Region entfernt wird.
  9. Verfahren nach Anspruch 8, worin das Entfernen der zumindest einen Metallschicht zeitgleich mit einer Strukturierung zumindest einer Metallleitung in der Transistorregion ist.
  10. Verfahren nach Anspruch 9, ferner umfassend: nach dem Strukturieren der zumindest einen Metallleitung, das Ausbilden von zumindest einer dielektrischen Schicht in der Transistorregion und der MEMS-Region.
  11. Verfahren nach einem der Ansprüche 1 bis 10, worin die Wärmebehandlung amorphes Silizium in der funktionellen Schicht in polykristallines Silizium umwandelt.
  12. Verfahren nach einem der Ansprüche 2 bis 11, worin die Wärmebehandlung eine Spannung für die funktionelle Schicht bereitstellt.
  13. Verfahren nach Anspruch 12, worin die Wärmebehandlung bei 550°C bis 750°C durchgeführt wird.
  14. Verfahren zur Herstellung eines Drucksensors, worin das Verfahren Folgendes umfasst: Ausbilden einer Opferschicht auf einer Oberfläche des Halbleitersubstrats; Ausbilden einer funktionellen Schicht, die die Opferschicht bedeckt; Ausbilden zumindest eines Lochs und Entfernen der Opferschicht durch Anwenden eines Entfernungsverfahrens durch das zumindest eine Loch, um einen Hohlraum zu schaffen; Bereitstellen einer Wärmebehandlung bei einer Temperatur zwischen 550°C und 750°C, wodurch das zumindest eine Loch verschlossen wird.
  15. Verfahren nach Anspruch 14, worin das Verfahren zwischen dem Front-End-Of-Line (FEOL) und dem Back-End-Of-Line (BEOL) durchgeführt wird.
  16. Verfahren nach Anspruch 14 oder 15, worin die Wärmebehandlung eine Umstrukturierung der Atomstruktur und eine Aktivierung der Dotierungsatome der funktionellen Schicht bereitstellt.
  17. Verfahren nach Anspruch 16, worin die Wärmebehandlung in der funktionellen Schicht amorphes Silizium in polykristallines Silizium umwandelt.
  18. Mikromechanisches System, umfassend: ein Halbleitersubstrat; zumindest einen Transistor in einer Transistorregion; zumindest eine Metallschicht über dem zumindest einen Transistor, wobei eine untere Oberfläche der zumindest einen Metallschicht ein erstes vertikales Niveau aufweist; eine funktionelle MEMS-Schicht in einer MEMS-Region, wobei die funktionelle Schicht eine obere Oberfläche auf einem zweiten vertikalen Niveau aufweist, das niedriger als das erste vertikale Niveau ist.
  19. Mikromechanisches System nach Anspruch 18, worin die funktionelle Schicht polykristallines Silizium umfasst.
  20. Mikromechanisches System nach Anspruch 19, worin die funktionelle Schicht eine Schicht umfasst, die das Loch verschließt, worin eine Höhe der Schicht, die das Loch verschließt, unter 600 nm liegt.
  21. Mikromechanisches System nach einem der Ansprüche 18 bis 20, ferner umfassend zumindest eine dielektrische Schicht, die sich in die MEMS-Region und die Transistorregion erstreckt.
  22. Mikromechanisches System nach Anspruch 21, ferner umfassend zumindest eine Metallschicht in der Transistorregion über der dielektrischen Schicht.
  23. Mikromechanisches System nach einem der Ansprüche 18 bis 22, worin ein Hohlraum zwischen der funktionellen MEMS-Schicht und einem Substrat ausgebildet ist.
  24. Verfahren zum Herstellen eines mikromechanischen Systems, worin das Verfahren Folgendes umfasst: Ausbilden zumindest eines Transistors in einer Transistorregion eines Substrats; Bereitstellen einer strukturierten Opferschicht in einer MEMS-Region des Substrats; Ausbilden einer funktionellen MEMS-Schicht, die die strukturierte Opferschicht zumindest teilweise bedeckt; Bereitstellen von Ätzlöchern in der funktionellen MEMS-Schicht; Entfernen der Opferschicht, um einen Hohlraum zu schaffen; Ausbilden einer weiteren Schicht, die die Ätzlöcher schließt, worin sich die weitere Schicht über zumindest einen Teil der Transistorregion erstreckt; und Ausbilden zumindest einer Metallschicht.
  25. Verfahren nach Anspruch 24, worin die weitere Schicht eine dielektrische Schicht ist, die sich über zumindest einen Teil der Transistorregion erstreckt; worin die zumindest eine Metallschicht über der dielektrischen Schicht ausgebildet wird.
  26. Verfahren nach Anspruch 25, worin die weitere Schicht zumindest teilweise in der Transisterregion entfernt wird.
DE102015211777.4A 2014-06-26 2015-06-25 Mikromechanisches System und Verfahren zum Herstellen eines mikromechanischen Systems Active DE102015211777B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/315,979 US9376314B2 (en) 2014-06-26 2014-06-26 Method for manufacturing a micromechanical system
US14/315,979 2014-06-26

Publications (2)

Publication Number Publication Date
DE102015211777A1 true DE102015211777A1 (de) 2015-12-31
DE102015211777B4 DE102015211777B4 (de) 2020-02-20

Family

ID=54840020

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015211777.4A Active DE102015211777B4 (de) 2014-06-26 2015-06-25 Mikromechanisches System und Verfahren zum Herstellen eines mikromechanischen Systems

Country Status (3)

Country Link
US (1) US9376314B2 (de)
CN (1) CN105217560B (de)
DE (1) DE102015211777B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016212693A1 (de) * 2016-07-12 2018-01-18 Infineon Technologies Dresden Gmbh Drucksensorvorrichtung und Herstellungsverfahren

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5831728B2 (ja) * 2012-09-25 2015-12-09 国立大学法人 東京大学 キャビティーを備えたデバイス部材およびキャビティーを備えたデバイス部材の製造方法
DE102015203393A1 (de) * 2015-02-25 2016-08-25 Infineon Technologies Ag Halbleiterelement und Verfahren zu Herstellen von diesem
DE102018200377A1 (de) * 2018-01-11 2019-07-11 Robert Bosch Gmbh Verfahren zum Herstellen einer mikromechanischen Schichtstruktur

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6939809B2 (en) * 2002-12-30 2005-09-06 Robert Bosch Gmbh Method for release of surface micromachined structures in an epitaxial reactor
US6861686B2 (en) * 2003-01-16 2005-03-01 Samsung Electronics Co., Ltd. Structure of a CMOS image sensor and method for fabricating the same
DE10350038A1 (de) * 2003-10-27 2005-05-25 Robert Bosch Gmbh Verfahren zum anodischen Bonden von Wafern und Vorrichtung
US7514759B1 (en) * 2004-04-19 2009-04-07 Hrl Laboratories, Llc Piezoelectric MEMS integration with GaN technology
JP2007216368A (ja) * 2006-02-20 2007-08-30 Sony Corp 電気機械素子、電子回路装置、およびこれらの製造方法
US8008735B2 (en) * 2006-03-20 2011-08-30 Semiconductor Energy Laboratory Co., Ltd. Micromachine device with a spatial portion formed within
EP1840081B1 (de) * 2006-03-28 2013-08-28 Imec Verfahren zur Herstellung eines hermetisch abgedichteten Hohlraums
US7615480B2 (en) * 2007-06-20 2009-11-10 Lam Research Corporation Methods of post-contact back end of the line through-hole via integration
FR2924421B1 (fr) * 2007-12-04 2010-02-19 Commissariat Energie Atomique Dispositif a circuit integre et n/mems encapsule et procede de realisation.
JP5435199B2 (ja) * 2008-01-11 2014-03-05 セイコーエプソン株式会社 機能デバイス及びその製造方法
DE102010002463A1 (de) * 2010-03-01 2011-09-01 Robert Bosch Gmbh Mikromechanisches Drucksensorelement und Verfahren zu dessen Herstellung
US20120211805A1 (en) * 2011-02-22 2012-08-23 Bernhard Winkler Cavity structures for mems devices
TWI475194B (zh) * 2012-03-23 2015-03-01 Windtop Technology Corp 具機電隔離功能的微機電壓力感測器
US8652962B2 (en) * 2012-06-19 2014-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch damage and ESL free dual damascene metal interconnect
US10217045B2 (en) * 2012-07-16 2019-02-26 Cornell University Computation devices and artificial neurons based on nanoelectromechanical systems
CN102935995B (zh) * 2012-10-18 2015-07-29 北京大学 一种预调阈值的post-CMOS集成化方法
JP2014086447A (ja) * 2012-10-19 2014-05-12 Seiko Epson Corp 電子装置及びその製造方法
US8951893B2 (en) * 2013-01-03 2015-02-10 International Business Machines Corporation Fabricating polysilicon MOS devices and passive ESD devices

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016212693A1 (de) * 2016-07-12 2018-01-18 Infineon Technologies Dresden Gmbh Drucksensorvorrichtung und Herstellungsverfahren
US10386255B2 (en) 2016-07-12 2019-08-20 Infineon Technologies Dresden Gmbh Pressure sensor device and manufacturing method

Also Published As

Publication number Publication date
US20150375998A1 (en) 2015-12-31
US9376314B2 (en) 2016-06-28
DE102015211777B4 (de) 2020-02-20
CN105217560B (zh) 2017-05-17
CN105217560A (zh) 2016-01-06

Similar Documents

Publication Publication Date Title
DE102015211873B4 (de) Mikromechanisches System und Verfahren zum Herstellen eines mikromechanischen Systems
DE102015110028B4 (de) Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102014204114B4 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt, und Verfahren zu seiner Herstellung
EP1874678B1 (de) Mems-sensor mit deformationsfreier rückelektrode
DE102014103341A1 (de) Halbleiterbauelemente und Verfahren zu ihrer Bildung
DE102012022829B4 (de) Verfahren zum Herstellen von isolierten Halbleiterstrukturen sowie Vorrichtung
DE19961578A1 (de) Sensor mit zumindest einer mikromechanischen Struktur und Verfahren zur Herstellung
DE102015211777B4 (de) Mikromechanisches System und Verfahren zum Herstellen eines mikromechanischen Systems
EP2051929A1 (de) Verfahren zur herstellung von mems-strukturen
EP1373129A2 (de) Verfahren zur herstellung von mikromechanischen sensoren und damit hergestellte sensoren
DE102020008064B4 (de) Tiefe grabenisolationsstruktur und verfahren zu deren herstellung
DE102011085203A1 (de) Halbleiterbauelemente mit Durchgangskontakten und zugehörige Herstellungsverfahren
DE102009055283A1 (de) Halbleitervorrichtung und Verfahren zu ihrer Herstellung
DE102012200840A1 (de) Bauelement mit einer Durchkontaktierung
DE102015224679A1 (de) Halbleiterstruktur mit einem Aluminiumteil einer Gateelektrode und Verfahren zu ihrer Herstellung
DE102006004209B3 (de) Mikromechanisches Bauelement und Verfahren zur Herstellung eines mikromechanischen Bauelements
DE102010040069A1 (de) Verfahren und System zum Extrahieren von Proben nach der Strukturierung von Mikrostrukturbauelementen
DE19700290A1 (de) Mikromechanische Halbleiteranordnung und Verfahren zur Herstellung einer mikromechanischen Halbleiteranordnung
EP1129482A1 (de) Verfahren zur Herstellung von einer DRAM-Zellenanordnung
DE102020214925A1 (de) Verfahren zur Herstellung eines Polysilizium-SOI-Substrats mit einer Kavität
DE102015106896A1 (de) Wafer, Verfahren zur Bearbeitung eines Wafers und Verfahren zur Bearbeitung eines Trägers
DE102016217001B4 (de) Verfahren zum Ausbilden eines mikroelektromechanischen Bauelementes mit einer eine Lamellenstruktur haltenden Stützstruktur
DE102018127446B4 (de) Metallschienenleiter für nichtplanare Halbleiterbauteile und Verfahren zur Bildung derselben
DE102010029760B4 (de) Bauelement mit einer Durchkontaktierung und Verfahren zu seiner Herstellung
WO2005071737A1 (de) Integrierte schaltung mit lateraler dielektrischer isolation aktiver bereiche über elektrisch kontaktiertem vergrabenem material und herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R082 Change of representative
R084 Declaration of willingness to licence