DE102015210492A1 - Halbleiterstruktur, die einen ferroelektrischen Transistor umfasst, und Verfahren zu ihrer Herstellung - Google Patents

Halbleiterstruktur, die einen ferroelektrischen Transistor umfasst, und Verfahren zu ihrer Herstellung Download PDF

Info

Publication number
DE102015210492A1
DE102015210492A1 DE102015210492.3A DE102015210492A DE102015210492A1 DE 102015210492 A1 DE102015210492 A1 DE 102015210492A1 DE 102015210492 A DE102015210492 A DE 102015210492A DE 102015210492 A1 DE102015210492 A1 DE 102015210492A1
Authority
DE
Germany
Prior art keywords
transistor
dielectric
ferroelectric
region
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102015210492.3A
Other languages
English (en)
Other versions
DE102015210492B4 (de
Inventor
Ralph Van Bentum
Jongsin Yun
Seunghwan SEO
Joerg Schmid
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102015210492A1 publication Critical patent/DE102015210492A1/de
Application granted granted Critical
Publication of DE102015210492B4 publication Critical patent/DE102015210492B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/40Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Abstract

Eine veranschaulichende Halbleiterstruktur, die hierin beschrieben wird, umfasst ein Substrat, das ein Gebiet für einen Logiktransistor, ein Gebiet für einen ferroelektrischen Transistor und ein Gebiet für einen Eingabe-Ausgabe-Transistor umfasst. An dem Gebiet für den Logiktransistor befindet sich ein Logiktransistor. Der Logiktransistor umfasst ein Gatedielektrikum und eine Gateelektrode. An dem Gebiet für den Eingabe-Ausgabe-Transistor befindet sich ein Eingabe-Ausgabe-Transistor. Der Eingabe-Ausgabe-Transistor umfasst ein Gatedielektrikum und eine Gateelektrode. Das Gatedielektrikum des Eingabe-Ausgabe-Transistors hat eine größere Dicke als das Gatedielektrikum des Logiktransistors. An dem Gebiet für den ferroelektrischen Transistor befindet sich ein ferroelektrischer Transistor. Der ferroelektrische Transistor umfasst ein ferroelektrisches Dielektrikum und eine Gateelektrode. Das ferroelektrische Dielektrikum ist zwischen dem Gebiet für den ferroelektrischen Transistor und der Gateelektrode des ferroelektrischen Transistors angeordnet.

Description

  • Die Erfindung bezieht sich allgemein auf integrierte Schaltkreise und insbesondere auf integrierte Schaltkreise, die zusätzlich zu anderen Transistoren wie beispielsweise Logiktransistoren und/oder Eingabe-Ausgabe-Transistoren Transistoren umfassen, die ein ferroelektrisches Dielektrikum aufweisen.
  • Integrierte Schaltkreise umfassen üblicherweise eine große Anzahl von Schaltkreiselementen, die insbesondere Feldeffekttransistoren umfassen. Die Schaltkreiselemente in einem integrierten Schaltkreis können durch elektrisch leitfähige Metallleitungen, die in einem Zwischenschichtdielektrikumsmaterial gebildet sind, elektrisch miteinander verbunden sein. Die elektrisch leitfähigen Metallleitungen können sich in mehreren Verbindungsschichten befinden, die über einem Substrat, in und auf dem Schaltkreiselemente wie beispielsweise Feldeffekttransistoren und andere Schaltkreiselemente, wie beispielsweise Kondensatoren, Dioden und Widerstände gebildet sind, übereinander gestapelt sind. Metallleitungen in verschiedenen Verbindungsebenen können durch Kontaktöffnungen, die mit einem Metall gefüllt sind, elektrisch miteinander verbunden sein.
  • Integrierte Schaltkreise können einen nichtflüchtigen Speicher umfassen. In manchen Arten nichtflüchtiger Speicher können sogenannte ferroelektrische Transistoren (FeFETs, die englische Abkürzung steht für ”Ferroelectric Field Effect Transistors”) verwendet werden. Ferroelektrische Transistoren können eine Gateelektrode umfassen, die über einem Kanalgebiet gebildet ist, das sich zwischen einem Sourcegebiet und einem Draingebiet befindet. Zwischen der Gateelektrode und dem Kanalgebiet ist eine Schicht aus einem ferroelektrischen Material angeordnet. Eine elektrische Leitfähigkeit des Kanalgebiets des ferroelektrischen Transistors kann durch ein elektrisches Feld gesteuert werden, das auf das Kanalgebiet wirkt. Außer einem elektrischen Feld, das durch das Anlegen einer Gatespannung an die Gateelektrode des ferroelektrischen Transistors erzeugt wird, kann auf das Kanalgebiet des ferroelektrischen Transistors auch ein elektrisches Feld wirken, das durch eine ferroelektrische Polarisation der Schicht aus ferroelektrischem Material, die zwischen der Gateelektrode und dem Kanalgebiet angeordnet ist, erzeugt wird.
  • Abhängig von der Richtung der ferroelektrischen Polarisation des ferroelektrischen Dielektrikums kann das elektrische Feld, das von der ferroelektrischen Polarisation des ferroelektrischen Dielektrikums erzeugt wird, im Wesentlichen die gleiche Richtung haben wie das elektrische Feld, das durch das Anlegen der Gatespannung an die Gateelektrode erzeugt wird, oder das elektrische Feld, das durch die ferroelektrische Polarisation des ferroelektrischen Dielektrikums erzeugt wird und das elektrische Feld, das durch das Anlegen der Gatespannung an die Gateelektrode erzeugt wird, können im Wesentlichen entgegengesetzte Richtungen haben.
  • Wenn beide elektrische Felder im Wesentlichen die gleiche Richtung haben, kann eine Schwellenspannung, die an die Gateelektrode angelegt werden muss, um den ferroelektrischen Transistor in den elektrisch leitfähigen Zustand zu schalten (bei ferroelektrischen Transistoren, die n-Kanaltransistoren sind, wird der Transistor durch das Anlegen der Gatespannung in den elektrisch leitfähigen Zustand geschaltet) verringert werden und die elektrische Leitfähigkeit des Kanalgebiets, die man erhält, wenn eine bestimmte Gatespannung, die größer als die Schwellenspannung ist, angelegt wird, kann vergrößert werden. Wenn beide elektrische Felder entgegengesetzte Richtungen haben, kann die Schwellenspannung des ferroelektrischen Transistors erhöht werden und die elektrische Leitfähigkeit des Kanalgebiets, die man erhält, wenn eine bestimmte Gatespannung, die größer als die Schwellenspannung ist, angelegt wird, kann verringert werden.
  • Die ferroelektrische Polarisation des ferroelektrischen Dielektrikums kann durch Anlegen einer Programmierspannung zwischen der Gateelektrode und dem Kanalgebiet beeinflusst werden. Beispielsweise kann die Programmierspannung an die Gateelektrode angelegt werden und das Sourcegebiet, das Draingebiet und optional auch der Körper des Feldeffekttransistors können auf dem Massepotenzial gehalten werden. Abhängig von der gewünschten Richtung der ferroelektrischen Polarisation des ferroelektrischen Dielektrikums kann die Programmierspannung positiv oder negativ sein. Die ferroelektrische Polarisation des ferroelektrischen Dielektrikums kann auch dann erhalten bleiben, wenn die Programmierspannung nicht mehr angelegt wird. Dadurch kann ein Datenbit in dem ferroelektrischen Transistor gespeichert werden, wobei eine erste Polarisationsrichtung des ferroelektrischen Dielektrikums mit einer logischen 0 identifiziert werden kann und eine zweite Polarisationsrichtung des ferroelektrischen Dielektrikums mit einer logischen 1 identifiziert werden kann.
  • Zum Auslesen des gespeicherten Datenbits aus dem ferroelektrischen Transistor kann zwischen der Gateelektrode und dem Sourcegebiet des ferroelektrischen Transistors eine Gatespannung angelegt werden, wobei die Gatespannung, die während des Auslesens des Datenbits angelegt wird, üblicherweise kleiner als die Programmierspannung ist, so dass die ferroelektrische Polarisation des ferroelektrischen Dielektrikums im Wesentlichen nicht verändert wird. Dann kann der elektrische Strom, der durch den ferroelektrischen Transistor fließt, gemessen werden, um die Richtung der ferroelektrischen Polarisation des ferroelektrischen Dielektrikums zu bestimmen.
  • Für manche Anwendungen kann es wünschenswert sein, ferroelektrische Transistoren und andere Arten von Feldeffekttransistoren auf dem gleichen Halbleitersubstrat zu bilden. Beispielsweise wird in der US-Patentveröffentlichung US 2013/0270619 A1 ein Verfahren angegeben, bei dem über einem ersten aktiven Gebiet und einem zweiten aktiven Gebiet eine dielektrische Schicht mit hoher Dielektrizitätskonstante derart gebildet wird, dass sie als eine ferroelektrische Schicht dient. Oberhalb des ersten aktiven Gebiets wird die dielektrische Schicht mit hoher Dielektrizitätskonstante entfernt. Über dem zweiten aktiven Gebiet bleibt die dielektrische Schicht mit hoher Dielektrizitätskonstante erhalten. Über dem ersten aktiven Gebiet wird eine erste Elektrodenstruktur gebildet und über dem zweiten aktiven Gebiet wird eine zweite Elektrodenstruktur gebildet.
  • Eine Aufgabe der Erfindung ist, Halbleiterstrukturen und Verfahren zu ihrer Herstellung zur Verfügung zu stellen, die eine verbesserte Integration ferroelektrischer Transistoren und anderer Typen von Transistoren in einer gleichen Halbleiterstruktur bereitstellen.
  • Erfindungsgemäß wird die Aufgabe durch eine Halbleiterstruktur gemäß Anspruch 1 gelöst.
  • Außerdem wird die Aufgabe erfindungsgemäß durch ein Verfahren gemäß Anspruch 7 gelöst.
  • Ferner wird die Aufgabe erfindungsgemäß durch ein Verfahren gemäß Anspruch 18 gelöst.
  • Ferner wird die Aufgabe erfindungsgemäß durch ein Verfahren gemäß Anspruch 21 gelöst.
  • Ausführungsformen der Erfindung können die in den abhängigen Ansprüchen definierten Merkmale aufweisen.
  • Im Folgenden werden Ausführungsformen der Erfindung mit Bezug auf die beigefügten Zeichnungen beschrieben, in denen gleiche Bezugszeichen gleiche Elemente bezeichnen und in denen:
  • 1 bis 5 schematische Querschnittsansichten einer Halbleiterstruktur gemäß einer Ausführungsform in Stadien eines Herstellungsverfahrens gemäß einer Ausführungsform zeigen;
  • 6 bis 7 schematische Querschnittsansichten einer Halbleiterstruktur gemäß einer Ausführungsform in Stadien eines Herstellungsverfahrens gemäß einer Ausführungsform zeigen;
  • 8 bis 10 schematische Querschnittsansichten einer Halbleiterstruktur gemäß einer Ausführungsform in Stadien eines Herstellungsverfahrens gemäß einer Ausführungsform zeigen; und
  • 11 bis 13 schematische Querschnittsansichten einer Halbleiterstruktur gemäß einer Ausführungsform in Stadien eines Herstellungsverfahrens gemäß einer Ausführungsform zeigen.
  • Eine erfindungsgemäße Halbleiterstruktur, die hierin beschrieben wird, umfasst ein Substrat, das ein Gebiet einen Logiktransistor, ein Gebiet für einen ferroelektrischen Transistor und ein Gebiet für einen Eingabe-Ausgabe-Transistor umfasst. An dem Gebiet für den Logiktransistor befindet sich ein Logiktransistor. Der Logiktransistor umfasst ein Gatedielektrikum und eine Gateelektrode. An dem Gebiet für den Eingabe-Ausgabe-Transistor befindet sich ein Eingabe-Ausgabe-Transistor. Der Eingabe-Ausgabe-Transistor umfasst ein Gatedielektrikum und eine Gateelektrode. Das Gatedielektrikum des Eingabe-Ausgabe-Transistors hat eine größere Dicke als das Gatedielektrikum des Logiktransistors. An dem Gebiet für den ferroelektrischen Transistor befindet sich ein ferroelektrischer Transistor. Der ferroelektrische Transistor umfasst ein ferroelektrisches Dielektrikum und eine Gateelektrode. Das ferroelektrische Dielektrikum ist zwischen dem Gebiet für den ferroelektrischen Transistor und der Gateelektrode des ferroelektrischen Transistors angeordnet.
  • Ein erfindungsgemäßes Verfahren, das hierin beschrieben wird, umfasst ein Bereitstellen einer Halbleiterstruktur, die ein Gebiet für einen Logiktransistor, ein Gebiet für einen ferroelektrischen Transistor und ein Gebiet für einen Eingabe-Ausgabe-Transistor umfasst. Über zumindest dem Gebiet für den Eingabe-Ausgabe-Transistor und dem Gebiet für den Logiktransistor wird ein Dielektrikum für den Eingabe-Ausgabe-Transistor gebildet. Das Dielektrikum für den Eingabe-Ausgabe-Transistor wird zumindest von dem Gebiet für den Logiktransistor entfernt. Nach dem Entfernen des Dielektrikums für den Eingabe-Ausgabe-Transistor von zumindest dem Gebiet für den Logiktransistor wird über der Halbleiterstruktur ein Dielektrikum für den Logiktransistor abgeschieden und über dem Dielektrikum für den Logiktransistor wird ein erstes Metall abgeschieden. Das Dielektrikum für den Logiktransistor und das erste Metall werden von dem Gebiet für den ferroelektrischen Transistor entfernt. Über dem Gebiet für den ferroelektrischen Transistor wird ein Dielektrikum für den ferroelektrischen Transistor gebildet.
  • Ein weiteres erfindungsgemäßes Verfahren, das hierin beschrieben wird, umfasst ein Bereitstellen einer Halbleiterstruktur. Die Halbleiterstruktur umfasst ein erstes Transistorgebiet, ein zweites Transistorgebiet und eine Siliziumdioxidschicht auf dem ersten Transistorgebiet und dem zweiten Transistorgebiet. Auf der Siliziumdioxidschicht wird eine Schicht aus einem dielektrischen Material mit hoher Dielektrizitätskonstante abgeschieden. Über dem zweiten Transistorgebiet wird eine Schicht aus einem ersten Metall gebildet. Die Schicht aus dem ersten Metall bedeckt das erste Transistorgebiet nicht. Nach dem Bilden der Schicht aus dem ersten Metall wird über dem ersten Transistorgebiet und dem zweiten Transistorgebiet eine Schicht aus einem zweiten Metall abgeschieden. Es wird ein Wärmebehandlungsverfahren durchgeführt. Das Wärmebehandlungsverfahren löst eine Abfangreaktion (im Englischen: ”Scavenging Reaction”) zwischen dem zweiten Metall und Siliziumdioxid aus einem Teil der Siliziumdioxidschicht auf dem ersten Transistorgebiet aus. Nach dem Wärmebehandlungsverfahren wird über dem ersten Transistorgebiet ein Dielektrikum für einen ferroelektrischen Transistor gebildet.
  • Ein weiteres erfindungsgemäßes Verfahren, das hierin beschrieben wird, umfasst ein Bereitstellen einer Halbleiterstruktur. Die Halbleiterstruktur umfasst ein Gebiet für einen Logiktransistor, ein Gebiet für einen ferroelektrischen Transistor und ein Gebiet für einen Eingabe-Ausgabe-Transistor. Über dem Gebiet für den Logiktransistor ist eine Dummygatestruktur für den Logiktransistor gebildet. Über dem Gebiet für den ferroelektrischen Transistor ist eine Dummygatestruktur für den ferroelektrischen Transistor gebildet. Über dem Gebiet für den Eingabe-Ausgabe-Transistor ist eine Dummygatestruktur für den Eingabe-Ausgabe-Transistor gebildet. Die Halbleiterstruktur umfasst außerdem eine elektrisch isolierende Struktur, die jede von der Dummygatestruktur für den Logiktransistor, der Dummygatestruktur für den ferroelektrischen Transistor und der Dummygatestruktur für den Eingabe-Ausgabe-Transistor ringförmig umschließt. Die Dummygatestruktur für den Logiktransistor, die Dummygatestruktur für den ferroelektrischen Transistor und die Dummygatestruktur für den Eingabe-Ausgabe-Transistor liegen an einer Oberfläche der elektrisch isolierenden Struktur frei. Das Verfahren umfasst außerdem ein Durchführen eines Gateaustauschverfahrens für den ferroelektrischen Transistor. Das Gateaustauschverfahren für den ferroelektrischen Transistor umfasst ein Entfernen der Dummygatestruktur für den ferroelektrischen Transistor. Durch das Entfernen der Dummygatestruktur für den ferroelektrischen Transistor wird eine Vertiefung in der elektrisch isolierenden Struktur gebildet. Am Boden der Vertiefung liegt ein Teil des Gebiets für den ferroelektrischen Transistor frei. Über der Halbleiterstruktur werden ein Dielektrikum für den ferroelektrischen Transistor und ein Metall abgeschieden. Es wird ein Planarisierungsverfahren durchgeführt, um Teile des Dielektrikums für den ferroelektrischen Transistor und des Metalls außerhalb der Vertiefung zu entfernen.
  • Ausführungsformen, die hierin beschrieben werden, beziehen sich auf ein Integrationsschema, bei dem ein ferroelektrisches Feldeffekttransistor-Bauelement (FeFET-Bauelement) zusammen mit zwei verschiedenen anderen Gateoxidtypen in einen Prozessablauf für Komplementär-Metalloxid-Halbleiter mit einem Gate mit einem Material mit hoher Dielektrizitätskonstante und einem Metall (HKMG CMOS, die englische Abkürzung steht für ”High-k Metal Gate Complementary Metal Oxide Semiconductor”) integriert wird. Die beiden anderen Gateoxidtypen verkörpern üblicherweise Gateoxide, die in normalen Logikfeldeffekttransistoren verwendet werden, und Gateoxide, die in Eingabe-Ausgabe-Transistoren, die für einen Betrieb bei einer höheren Spannung ausgelegt sind als die Logikfeldeffekttransistoren, verwendet werden. Ausführungsformen, die hierin angegeben werden, können es ermöglichen, eine Abwandlung der Topografie für Logikfeldeffekttransistoren, Feldeffekttransistoren, die in Bauelementen für einen statischen Speicher mit wahlfreiem Zugriff (SRAM, die englische Abkürzung steht für ”Static Random Access Memory”) verwendet werden, und Eingabe-Ausgabe-Bauelementen im Vergleich zu einem vorhandenen Prozessablauf (POR-Prozessablauf, die englische Abkürzung ”POR” steht für ”Process Of Record”) zu vermeiden. Außerdem kann in manchen Ausführungsformen ein Aussetzen des ferroelektrischen Gateoxids an Prozesse, die bei der Bildung des Gateoxids von Logikfeldeffekttransistoren und Eingabe-Ausgabe-Feldeffekttransistoren sowie bei der entsprechenden Verarbeitung von Metallgates und bei Wärmebehandlungen verwendet werden, vermieden werden.
  • In manchen Ausführungsformen kann eine Schutzschicht verwendet werden, um einen FeFET-Stapel von dem Metallgate anderer Bauelemente zu entfernen.
  • Während in manchen Ausführungsformen ein Gate-First-Integrationsschema verwendet werden kann, kann in anderen Ausführungsformen eine Austauschgate-Prozessintegration verwendet werden.
  • Weitere Ausführungsformen, die auch mit Merkmalen von anderen Ausführungsformen, die hierin beschrieben werden, kombiniert werden können, beziehen sich auf Verfahren, bei denen ein Abfangmaterial auf einem Oxid mit hoher Dielektrizitätskonstante verwendet wird, um eine Dicke eines Oxids an einer Grenzfläche zu verringern, und das mit der Verwendung ferroelektrischer Feldeffekttransistoren kompatibel ist. Es kann eine Metallschicht verwendet werden, um die Dicke des Oxids an der Grenzfläche zu verringern, ohne die Zusammensetzung der Gateoxidschicht des ferroelektrischen Feldeffekttransistors zu verändern.
  • 1 zeigt eine schematische Querschnittsansicht einer Halbleiterstruktur 100 gemäß einer Ausführungsform in einem Stadium eines Herstellungsverfahrens gemäß einer Ausführungsform. Die Halbleiterstruktur 100 umfasst ein Substrat 101. In manchen Ausführungsformen kann das Substrat 101 ein massives Halbleitersubstrat sein, das aus einem Halbleitermaterial wie beispielsweise Silizium gebildet ist. In anderen Ausführungsformen kann das Substrat 101 ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat, die englische Abkürzung ”SOI” steht für ”Semiconductor-On-Insulator) sein, das eine Schicht aus einem Halbleitermaterial wie beispielsweise Silizium umfasst, an der Bauelemente der Halbleiterstruktur 100 wie beispielsweise Feldeffekttransistoren gebildet werden, und die sich auf einer Schicht aus einem isolierenden Material wie beispielsweise Siliziumdioxid (nicht gezeigt) befindet. Die Schicht aus isolierendem Material kann sich auf einem Trägersubstrat befinden, das beispielsweise ein Siliziumwafer (nicht gezeigt) sein kann.
  • Das Substrat 101 kann ein Gebiet 102 für einen Logiktransistor, ein Gebiet 103 für einen ferroelektrischen Transistor und ein Gebiet 104 für einen Eingabe-Ausgabe-Transistor umfassen. Wie im Folgenden genauer ausgeführt wird, wird in dem Gebiet 102 für den Logiktransistor ein Logiktransistor gebildet, der ein Feldeffekttransistor ist, der Teil eines Logikschaltkreises der Halbleiterstruktur 100 sein kann. In dem Gebiet 103 für den ferroelektrischen Transistor wird ein ferroelektrischer Transistor gebildet, der ein Feldeffekttransistor ist, der ein Gateisoliermaterial umfasst, das ein ferroelektrisches Material enthält. In dem Gebiet 104 für den Eingabe-Ausgabe-Transistor wird ein Eingabe-Ausgabe-Transistor der Halbleiterstruktur 100 gebildet, der ein Feldeffekttransistor ist, der für den Betrieb bei einer höheren Betriebsspannung ausgelegt ist als der Logiktransistor, der in dem Gebiet 102 für den Logiktransistor gebildet wird.
  • Jedes von dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor kann eine Dotierung aufweisen, die sich von einer Grunddotierung des Substrats 101 unterscheidet. Beispielsweise kann in jedem von dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor und/oder dem Gebiet 104 für den Eingabe-Ausgabe-Transistor ein jeweiliges Wannengebiet bereitgestellt werden. Das kann mit Hilfe konventioneller Dotiertechniken wie beispielsweise einer Ionenimplantation geschehen.
  • Die Halbleiterstruktur 100 kann außerdem eine Flachgraben-Isolationsstruktur 105 umfasst, die eine elektrische Isolierung zwischen dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor sowie zwischen jedem von dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor, dem Gebiet 104 für den Eingabe-Ausgabe-Transistor und anderen Schaltkreiselementen (nicht gezeigt) in der Halbleiterstruktur 100 bereitstellt. Zum Bereitstellen der Flachgrabenisolationsstruktur 105 können bekannte Techniken zum Bereitstellen von Flachgrabenisolationsstrukturen, die eine Fotolithografie, ein Ätzen, eine Oxidation, eine Abscheidung und/oder ein chemisch-mechanisches Polieren umfassen, verwendet werden.
  • In dem in 1 dargestellten Stadium des Herstellungsverfahrens umfasst die Halbleiterstruktur 100 außerdem ein Dielektrikum 106 für den Eingabe-Ausgabe-Transistor, das sich auf jedem von dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor befindet. Das Dielektrikum 106 für den Eingabe-Ausgabe-Transistor kann ein elektrisch isolierendes Material wie beispielsweise Siliziumdioxid umfassen und mit Hilfe von Techniken wie beispielsweise einer thermischen Oxidation und/oder Abscheidungstechniken wie beispielsweise der chemischen Dampfabscheidung (CVD, die englische Abkürzung steht für ”Chemical Vapor Deposition”) und/oder der plasmaverstärkten chemischen Dampfabscheidung (PECVD, die englische Abkürzung steht für ”Plasma Enhanced Chemical Vapor Deposition”) gebildet werden. Eine Dicke des Dielektrikums 106 für den Eingabe-Ausgabe-Transistor kann so ausgelegt sein, dass das Dielektrikum 106 für den Eingabe-Ausgabe-Transistor in einer Gateisolierschicht des Eingabe-Ausgabe-Transistors verwendet werden kann, der in dem Gebiet 104 für den Eingabe-Ausgabe-Transistor gebildet wird. Beispielsweise kann das Dielektrikum 106 für den Eingabe-Ausgabe-Transistor eine Siliziumdioxidschicht sein, die eine Dicke von ungefähr 20 Å oder mehr, insbesondere eine Dicke in einem Bereich von ungefähr 20 bis 70 Å hat.
  • Nach dem Bilden des Dielektrikums 106 für den Eingabe-Ausgabe-Transistor kann über dem Gebiet 103 für den ferroelektrischen Transistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor eine Maske 107 gebildet werden. Die Maske 107 kann eine Fotolackmaske sein und sie kann unter Verwendung von Techniken der Fotolithografie gebildet werden. Die Maske 107 kann das Gebiet 103 für den ferroelektrischen Transistor und das Gebiet 104 für den Eingabe-Ausgabe-Transistor bedecken, nicht aber das Gebiet 102 für den Logiktransistor, so dass der Teil des Dielektrikums 106 für den Eingabe-Ausgabe-Transistor, der sich auf dem Gebiet 102 für den Logiktransistor befindet, an der Oberfläche der Halbleiterstruktur 100 freiliegt.
  • Nach dem Bilden der Maske 107 kann ein Ätzverfahren durchgeführt werden, das dafür ausgelegt ist, selektiv das Material des Dielektrikums 106 für den Eingabe-Ausgabe-Transistor relativ zu dem Halbleitermaterial des Substrats 101 zu entfernen, was in 1 durch Pfeile 108 schematisch dargestellt ist. In manchen Ausführungsformen, insbesondere in Ausführungsformen, in denen das Dielektrikum 106 für den Eingabe-Ausgabe-Transistor Siliziumdioxid enthält und das Halbleitermaterial des Substrats 101 Silizium enthält, kann der Ätzverfahren 108 ein Nassätzverfahren sein, bei dem verdünnte Flusssäure als Ätzmittel verwendet wird. In anderen Ausführungsformen kann der Ätzverfahren 108 ein Trockenätzverfahren sein.
  • 2 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 100 in einem späteren Stadium des Herstellungsverfahrens. Nach dem Ätzverfahren 108 kann die Maske 107 mit Hilfe eines Resiststripverfahrens entfernt werden. Danach kann über der Halbleiterstruktur 100 ein Dielektrikum 201 für einen Logiktransistor gebildet werden. In dem in 2 dargestellten Stadium des Herstellungsverfahrens kann das Dielektrikum 201 für den Logiktransistor die gesamte Halbleiterstruktur 100 bedecken. Das Dielektrikum 201 für den Logiktransistor kann ein dielektrisches Material mit hoher Dielektrizitätskonstante wie beispielsweise Hafniumdioxid enthalten. In manchen Ausführungsformen kann das Dielektrikum 201 für den Logiktransistor eine Schicht aus einem dielektrischen Material mit hoher Dielektrizitätskonstante umfassen, die sich auf einer Basisschicht befindet, die Siliziumdioxid enthalten kann. Wenn das Dielektrikum 201 für den Logiktransistor ein dielektrisches Material mit hoher Dielektrizitätskonstante enthält, muss es nicht Hafniumdioxid enthalten. In anderen Ausführungsformen können andere dielektrische Materialien mit hoher Dielektrizitätskonstante, die eine Dielektrizitätskonstante haben, die größer als eine Dielektrizitätskonstante von Siliziumdioxid ist, beispielsweise eine Dielektrizitätskonstante größer als ungefähr vier, verwendet werden, beispielsweise Zirkoniumdioxid, Hafniumsilikat und/oder Zirkoniumsilikat.
  • Zum Bilden des Dielektrikums 201 für den Logiktransistor können Abscheidungstechniken wie beispielsweise die CVD, die PECVD, die metallorganische chemische Dampfabscheidung und/oder die Atomlagenabscheidung (ALD, die englische Abkürzung steht für ”Atomic Layer Deposition”) verwendet werden, um die Schicht aus dem dielektrischem Material mit hoher Dielektrizitätskonstante abzuscheiden. In Ausführungsformen, in denen das Dielektrikum 201 für den Logiktransistor zusätzlich eine Basisschicht aus Siliziumdioxid umfasst, kann die Basisschicht mit Hilfe von Abscheidungstechniken wie beispielsweise der CVD und/oder der PECVD gebildet werden. In anderen Ausführungsformen kann an der Unterseite des Dielektrikums 201 für den Logiktransistor an dem Gebiet 102 für den Logiktransistor mit Hilfe eines thermischen Oxidationsprozesses eine Basisschicht aus Siliziumdioxid gebildet werden. An dem Gebiet 104 für den Eingabe-Ausgabe-Transistor kann bei diesem thermischen Oxidationsprozess an der Unterseite des Dielektrikums 106 für den Eingabe-Ausgabe-Transistor Siliziumdioxid erzeugt werden.
  • In späteren Stadien des Herstellungsverfahrens, die unten genauer beschrieben werden, stellt ein Teil des Dielektrikums 201 für den Logiktransistor über dem Gebiet 102 für den Logiktransistor eine Gateisolierschicht des Logiktransistors, der an dem Gebiet 102 für den Logiktransistor gebildet wird, bereit, und Teile des Dielektrikums 106 für den Eingabe-Ausgabe-Transistor sowie des Dielektrikums 201 für den Logiktransistor, die über dem Gebiet 104 für den Eingabe-Ausgabe-Transistor gebildet werden, stellen eine Gateisolierschicht des an dem Gebiet 104 für den Eingabe-Ausgabe-Transistor gebildeten Eingabe-Ausgabe-Transistors bereit. Die Gateisolierschicht des Eingabe-Ausgabe-Transistors, die sowohl das Dielektrikum 106 für den Eingabe-Ausgabe-Transistor als auch das Dielektrikum 201 für den Logiktransistor umfasst, kann größeren Betriebsspannungen, die beim Betrieb des integrierten Schaltkreises, der aus der Halbleiterstruktur 100 gebildet wird, an den Eingabe-Ausgabe-Transistor angelegt werden, widerstehen als die Gateisolierschicht des Logiktransistors, die das Dielektrikum 106 für den Eingabe-Ausgabe-Transistor nicht umfasst.
  • Nach dem Bilden des Dielektrikums 201 für den Logiktransistor kann über der Halbleiterstruktur 100 ein erstes Metall 202 abgeschieden werden. Hierin wird der Begriff „Metall” allgemein verwendet, um Metalle in elementarer Form, Metalllegierungen und Metallverbindungen zu bezeichnen. Das erste Metall 202 kann ein Metall, eine Metalllegierung und/oder eine Metallverbindung umfassen, die zur Verwendung als ein Metallgate des Logiktransistors, der an dem Gebiet 102 für den Logiktransistor gebildet wird, und des Eingabe-Ausgabe-Transistors, der an dem Gebiet 104 für den Eingabe-Ausgabe-Transistor gebildet wird, geeignet ist. In Ausführungsformen, in denen der Logiktransistor, der an dem Gebiet 102 für den Logiktransistor gebildet wird, und der Eingabe-Ausgabe-Transistor, der an dem Gebiet 104 für den Eingabe-Ausgabe-Transistor gebildet wird, p-Kanaltransistoren sind, kann das erste Metall 202 Aluminium und/oder Aluminiumnitrid umfassen. In Ausführungsformen, in denen der Logiktransistor und/oder der Eingabe-Ausgabe-Transistor n-Kanaltransistoren sind, kann das erste Metall 202 Lanthan, Lanthannitrid und/oder Titannitrid enthalten.
  • Der Logiktransistor und der Eingabe-Ausgabe-Transistor müssen nicht Transistoren des gleichen Typs sein. In manchen Ausführungsformen kann einer von dem Logiktransistor und dem Eingabe-Ausgabe-Transistor ein n-Kanaltransistor sein und der andere von dem Logiktransistor und dem Eingabe-Ausgabe-Transistor kann ein p-Kanaltransistor sein. In solchen Ausführungsformen können Teile des ersten Metalls 202 über dem Gebiet 102 für den Logiktransistor bzw. dem Gebiet 104 für den Eingabe-Ausgabe-Transistor verschiedene Metalle enthalten. In solchen Ausführungsformen können nacheinander Schichten aus verschiedenen Metallen über der Halbleiterstruktur 100 abgeschieden werden und es können Techniken der Fotolithografie und des Ätzens verwendet werden, um Teile der Metallschichten von dem Gebiet 102 für den Logiktransistor, dem Gebiet 104 für den Eingabe-Ausgabe-Transistor und wahlweise dem Gebiet 103 für den ferroelektrischen Transistor zu entfernen.
  • In manchen Ausführungsformen kann nach der Bildung des ersten Metalls 202 ein Wärmebehandlungsverfahren durchgeführt werden, um eine Diffusion des ersten Metalls 202 zu erhalten. In manchen Ausführungsformen kann das erste Metall 202 danach entfernt und durch ein anderes Metall ausgetauscht werden, beispielsweise durch Titannitrid, das sowohl über den n-Kanaltransistoren als auch über den p-Kanaltransistoren bereitgestellt wird. Im Folgenden wird ein solches Austauschmetall ebenfalls als ”erstes Metall 202” bezeichnet. Somit kann im Folgenden das erste Metall 202 sowohl das ursprünglich abgeschiedene erste Metall 202 repräsentieren als auch, in Ausführungsformen, in denen das ursprüngliche erste Metall mit einem anderen Metall ersetzt wird, das Austauschmetall.
  • Nach dem Bilden des ersten Metalls 202 kann über der Halbleiterstruktur 100 eine Maske 203 gebildet werden. Die Maske 203 kann eine Fotolackmaske sein und unter Verwendung von Techniken der Fotolithografie gebildet werden. Die Maske 203 kann das Gebiet 102 für den Logiktransistor und das Gebiet 104 für den Eingabe-Ausgabe-Transistor, nicht aber das Gebiet 103 für den ferroelektrischen Transistor bedecken, so dass der Teil des ersten Metalls 202, der über dem Gebiet 103 für den ferroelektrischen Transistor gebildet wird, an der Oberfläche der Halbleiterstruktur 100 freiliegt.
  • Danach können ein oder mehr Ätzverfahren durchgeführt werden, die dafür ausgelegt sind, die Teile des ersten Metalls 202, des Dielektrikums 201 für den Logiktransistor und des Dielektrikums 106 für den Eingabe-Ausgabe-Transistor, die sich über dem Gebiet 103 für den ferroelektrischen Transistor befinden, zu entfernen. In 2 sind die ein oder mehr Ätzverfahren schematisch durch Pfeile 204 bezeichnet. Die ein oder mehr Ätzverfahren können bekannte Nassätzverfahren oder Trockenätzverfahren umfassen, die dafür ausgelegt sind, die jeweiligen Materialien des ersten Metalls 202, des Dielektrikums 201 für den Logiktransistor und des Dielektrikums 106 für den Eingabe-Ausgabe-Transistor zu entfernen.
  • 3 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 100 in einem späteren Stadium des Herstellungsverfahrens. Nach den ein oder mehr Ätzverfahren 204 kann die Maske 203 mit Hilfe eines Resiststripverfahrens entfernt werden und es kann ein Dielektrikum 301 für den ferroelektrischen Transistor über der Halbleiterstruktur 100 abgeschieden werden. Ein Teil des Dielektrikums 301 für den ferroelektrischen Transistor über dem Gebiet 103 für den ferroelektrischen Transistor kann sich direkt auf dem Halbleitermaterial des Substrats 101 befinden, das nach den ein oder mehr Ätzverfahren 204 an der Oberfläche des Gebiets 103 für den ferroelektrischen Transistor freiliegt. Teile des Dielektrikums 301 für den ferroelektrischen Transistor über dem Gebiet 102 für den Logiktransistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor sind von dem Halbleitermaterial des Substrats 101 in dem Gebiet 102 für den Logiktransistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor durch das Dielektrikum 201 für den Logiktransistor und das erste Metall 202 sowie, im Fall des Gebiets 104 für den Eingabe-Ausgabe-Transistor, das Dielektrikum 106 für den Eingabe-Ausgabe-Transistor getrennt.
  • In manchen Ausführungsformen kann das Dielektrikum 301 für den ferroelektrischen Transistor ein Oxid enthalten, das Hafnium enthält. In manchen Ausführungsformen kann das Dielektrikum 301 für den ferroelektrischen Transistor Hafniumdioxid enthalten. Das Dielektrikum 301 für den ferroelektrischen Transistor kann dotiert sein. Beispielsweise kann das Dielektrikum 301 für den ferroelektrischen Transistor in manchen Ausführungsformen Silizium-dotiertes Hafniumdioxid enthalten. Aluminium-dotiertes Hafniumdioxid, Yttrium-dotiertes Hafniumdioxid, Gadolinium-dotiertes Hafniumdioxid und/oder Hafniumzirkoniumdioxid können ebenfalls verwendet werden. In weiteren Ausführungsformen kann das Dielektrikum 301 für den ferroelektrischen Transistor im Wesentlichen undotiertes Hafniumdioxid enthalten.
  • Unmittelbar nach der Abscheidung des Dielektrikums 301 für den ferroelektrischen Transistor muss das Dielektrikum 301 für den ferroelektrischen Transistor keine ferroelektrischen Eigenschaften haben. In manchen Ausführungsformen kann das Abscheidungsverfahren, das zum Abscheiden des Dielektrikums 301 für den ferroelektrischen Transistor verwendet wird, so ausgelegt sein, dass das abgeschiedene Material im Wesentlichen amorph ist und eine kristalline Struktur des Dielektrikums 301 für den ferroelektrischen Transistor, die ferroelektrische Eigenschaften hat, kann mit Hilfe eines Wärmebehandlungsverfahrens erhalten werden, das in einem späteren Stadium des Herstellungsverfahrens durchgeführt wird, was unten genauer beschrieben wird.
  • In Ausführungsformen, in denen das Dielektrikum 301 für den ferroelektrischen Transistor Silizium-dotiertes Hafniumdioxid enthält, kann ein ALD-Verfahren durchgeführt werden, um das Dielektrikum 301 für den ferroelektrischen Transistor abzuscheiden. Bei dem ALD-Verfahren können Tetrakis(ethylmethylamino)-hafnium, Tetrakis-dimethylamino-silan und Ozon verwendet werden. In manchen Ausführungsformen können zusätzlich metallorganische Ausgangsstoffe verwendet werden. Die Atomlagenabscheidung kann bei einer Temperatur kleiner als 500°C, beispielsweise bei einer Temperatur in einem Bereich von ungefähr 300°C bis 400°C, insbesondere bei einer Temperatur von ungefähr 350°C durchgeführt werden. Ein Siliziumgehalt des Dielektrikums 301 für den ferroelektrischen Transistor kann sich in einem Bereich von ungefähr 2–5 Mol%, insbesondere in einem Bereich von ungefähr 2,5 bis 4,5 Mol% befinden. Der Siliziumdioxidgehalt des Dielektrikums 301 für den ferroelektrischen Transistor kann gesteuert werden, indem die Zusammensetzung der Gase, die bei dem ALD-Verfahren verwendet werden, variiert wird. Eine Abscheidung des Dielektrikums 301 für den ferroelektrischen Transistor bei einer relativ geringen Temperatur, wie oben beschrieben, kann dazu beitragen, dass man unmittelbar nach der Abscheidung eine amorphe Struktur des Dielektrikums 301 für den ferroelektrischen Transistor erhält.
  • In Ausführungsformen, in denen das Dielektrikum 301 für den ferroelektrischen Transistor Aluminium-dotiertes Hafniumdioxid, Yttrium-dotiertes Hafniumdioxid oder Gadolinium-dotiertes Hafniumdioxid enthält, kann ein ALD-Verfahren verwendet werden, bei dem Tetrakis(ethylmethylamino)hafnium, Hafniumtetrachlorid sowie Ozon und/oder Wasser verwendet werden. Außerdem kann, je nachdem, ob das Dielektrikum 301 für den ferroelektrischen Transistor Aluminium, Yttrium oder Gadolinium enthält, Trimethylaluminium, Tris(methylcyclopentadienyl)yttrium oder Tris(isopropylcyclopentadienyl)gadolinium verwendet werden. Weitere Parameter des ALD-Verfahrens können denen entsprechen, die oben im Zusammenhang mit Ausführungsformen, bei denen das Dielektrikum 301 für den ferroelektrischen Transistor Silizium-dotiertes Hafniumdioxid enthält, beschrieben wurden.
  • In Ausführungsformen, in denen das Dielektrikum 301 für den ferroelektrischen Transistor Hafniumzirkoniumdioxid enthält, kann zum Abscheiden des Dielektrikums 301 für den ferroelektrischen Transistor ein ALD-Verfahren durchgeführt werden, bei dem Tetrakis(ethylmethylamino)zirkonium, Tetrakis(ethylmethylamino)hafnium und Ozon verwendet werden. In manchen Ausführungsformen kann das Hafniumzirkoniumdioxid eine Zusammensetzung gemäß der Formel Hf0,5Zr0,5O2 haben. Weitere Parameter des ALD-Verfahrens können denen entsprechen, die oben im Zusammenhang mit Ausführungsformen beschrieben wurden, in denen das Dielektrikum 301 für den ferroelektrischen Transistor Silizium-dotiertes Hafniumdioxid enthält.
  • In Ausführungsformen, in denen das Dielektrikum 301 für den ferroelektrischen Transistor im Wesentlichen undotiertes Hafniumdioxid enthält, kann zum Bilden des Dielektrikums 301 für den ferroelektrischen Transistor ein CVD-Verfahren oder ein ALD-Verfahren verwendet werden, bei dem Materialien und/oder Parameter, die denen der oben beschriebenen Ausführungsformen entsprechen, verwendet werden, aber die Stoffe, die zum Dotieren des Dielektrikums 301 für den ferroelektrischen Transistor bereitgestellt werden, weggelassen werden.
  • Die vorliegenden Angaben sind nicht auf Ausführungsformen beschränkt, in denen zum Abscheiden des Dielektrikums 301 für den ferroelektrischen Transistor ein ALD-Verfahren verwendet wird. In anderen Ausführungsformen kann ein physikalisches Dampfabscheidungsverfahren (PVD-Verfahren, die englische Abkürzung ”PVD” steht für ”Physical Vapor Deposition”), beispielsweise ein Sputterverfahren, verwendet werden.
  • Eine Dicke des Dielektrikums 301 für den ferroelektrischen Transistor kann sich in einem Bereich von ungefähr 20 nm oder weniger befinden.
  • Nach dem Bilden des Dielektrikum 301 für den ferroelektrischen Transistor kann über der Halbleiterstruktur 100 ein zweites Metall 302, das in Form einer Metallverbindung wie beispielsweise Titannitrid bereitgestellt werden kann, abgeschieden werden, beispielsweise mit Hilfe eines CVD-Verfahrens oder eines PECVD-Verfahrens. In manchen Ausführungsformen kann das zweite Metall 302 ein anderes Material enthalten als das erste Metall 202, da im Vergleich zu dem ersten Metall 202, das zum Bilden der Metallgates des Logiktransistors, der an dem Gebiet 102 für den Logiktransistor gebildet wird, und des Eingabe-Ausgabe-Transistors, der an dem Gebiet 104 für den Eingabe-Ausgabe-Transistor gebildet wird, verwendet wird, eine andere Optimierung erforderlich sein kann.
  • Danach kann ein Wärmebehandlungsverfahren durchgeführt werden, bei dem das Dielektrikum 301 für den ferroelektrischen Transistor in Anwesenheit des zweiten Metalls 302 einer Wärmebehandlung unterzogen wird, damit man eine Kristallstruktur des Dielektrikums 301 für den ferroelektrischen Transistor erhält, die ferroelektrische Eigenschaften hat. Das Wärmebehandlungsverfahren kann ein schnelles thermisches Wärmebehandlungsverfahren sein, bei dem die Halbleiterstruktur 100 einer Temperatur ausgesetzt wird, die größer als eine Kristallisationstemperatur des Materials des Dielektrikums 301 für den ferroelektrischen Transistor ist. In manchen Ausführungsformen kann die Halbleiterstruktur 100 bei dem Wärmebehandlungsverfahren einer Temperatur von mehr als ungefähr 450°C, insbesondere einer Temperatur in einem Bereich von ungefähr 450°C bis 1000°C, einer Temperatur in einem Bereich von ungefähr 500°C bis 800°C und/oder einer Temperatur von ungefähr von 650°C ausgesetzt werden.
  • Die vorliegenden Angaben sind nicht auf Ausführungsformen beschränkt, in denen das Dielektrikum 301 für den ferroelektrischen Transistor wie oben beschrieben ein Oxid, das Hafnium enthält, umfasst. In anderen Ausführungsformen können andere bekannte ferroelektrische Materialien für das Dielektrikum 301 für den ferroelektrischen Transistor verwendet werden.
  • Über der Halbleiterstruktur 100 kann eine Maske 303 gebildet werden. Die Maske 303 kann einen Fotolack enthalten und mit Hilfe von Techniken der Fotolithografie gebildet werden. Die Maske 303 bedeckt das Gebiet 103 für den ferroelektrischen Transistor, aber nicht das Gebiet 102 für den Logiktransistor und das Gebiet 104 für den Eingabe-Ausgabe-Transistor, so dass Teile des zweiten Metalls 302 über dem Gebiet 102 für den Logiktransistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor an der Oberfläche der Halbleiterstruktur 100 freiliegen.
  • Danach können ein oder mehr Ätzverfahren durchgeführt werden, die in 3 schematisch durch Pfeile 304 bezeichnet sind. Die ein oder mehr Ätzverfahren 304 können dafür ausgelegt sein, das zweite Metall 302 zu entfernen und selektiv das Dielektrikum 301 für den ferroelektrischen Transistor relativ zu dem ersten Metall 202 zu entfernen. Somit können bei den ein oder mehr Ätzverfahren 304 Teile des Dielektrikums 301 für den ferroelektrischen Transistor und des zweiten Metalls 302 über dem Gebiet 102 für den Logiktransistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor entfernt werden. Die Teile des ersten Metalls 202 über dem Gebiet 102 für den Logiktransistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor können bei den ein oder mehr Ätzverfahren 304 als eine Ätzstoppschicht verwendet werden. Die ein oder mehr Ätzverfahren 304 können eine Kombination von ein oder mehr bekannten Trockenätzschritten und/oder Nassätzschritten zum Entfernen der Materialien des zweiten Metalls 302 und des Dielektrikums 301 für den ferroelektrischen Transistor umfassen.
  • 4 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 100 in einem späteren Stadium des Herstellungsverfahrens. Nach den ein oder mehr Ätzverfahren 304 kann die Maske 303 entfernt werden und ein Gateelektrodenmaterial 401 kann über der Halbleiterstruktur 100 abgeschieden werden. Das Gateelektrodenmaterial 401 kann sich direkt auf dem ersten Metall 202, das nach den ein oder mehr Ätzverfahren 304 in dem Gebiet 102 für den Logiktransistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor an der Oberfläche der Halbleiterstruktur 100 freiliegt, und direkt auf dem zweiten Metall 302, das in dem Gebiet 103 für den ferroelektrischen Transistor an der Oberfläche der Halbleiterstruktur 100 freiliegt, befinden. Das Gateelektrodenmaterial 401 kann ein Halbleitermaterial, beispielsweise Polysilizium und/oder amorphes Silizium, enthalten. Nach der Abscheidung des Gateelektrodenmaterials 401 kann über der Halbleiterstruktur 100 ein Hartmaskenmaterial 402, beispielsweise Siliziumnitrid, abgeschieden werden. Zum Abscheiden des Gateelektrodenmaterials 401 und des Hartmaskenmaterials 402 können Abscheidungstechniken wie beispielsweise die CVD und/oder die PECVD verwendet werden.
  • 5 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 100 in einem späteren Stadium des Herstellungsverfahrens. Nach der Abscheidung des Hartmaskenmaterials 402 kann das Hartmaskenmaterial 402 strukturiert werden, um eine Hartmaske zu bilden. Die Hartmaske kann Teile des Gateelektrodenmaterials 401 über dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor, aus denen Gateelektroden des Logiktransistors, der an dem Gebiet 102 für den Logiktransistor gebildet wird, des ferroelektrischen Transistors, der an dem Gebiet 103 für den ferroelektrischen Transistor gebildet wird, und des Eingabe-Ausgabe-Transistors, der an dem Gebiet 104 für den Eingabe-Ausgabe-Transistor gebildet wird, hergestellt werden, wie unten genauer erläutert. Weitere Teile der Hartmaske können Teile des Gateelektrodenmaterials 401, aus denen Polysiliziumleitungen der Halbleiterstruktur 100 gebildet werden (nicht gezeigt), bedecken.
  • Zum Bilden der Hartmaske aus dem Hartmaskenmaterial 402 können Techniken der Fotolithografie und des Ätzens verwendet werden, wobei zum Bilden von Teilen der Hartmaske, die zum Bilden von Polysiliziumleitungen verwendet werden, und von Teilen der Hartmaske, die zum Bilden von Gateelektroden über dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor verwendet werden, getrennte Fotolithografieverfahren und Ätzverfahren verwendet werden können. Die Bildung von Teilen der Hartmaske, die zum Bilden von Polysiliziumleitungen verwendet werden, kann vor der Bildung von Teilen der Hartmaske, die zum Bilden der Gateelektroden verwendet werden, durchgeführt werden.
  • Danach können ein oder mehr Ätzverfahren durchgeführt werden, um Teile des Gateelektrodenmaterials 401 über dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor, die nicht von der Hartmaske 402 bedeckt sind, zu entfernen. Außerdem können bei den ein oder mehr Ätzverfahren Teile des ersten Metalls 202, des zweiten Metalls 302, des Dielektrikums 201 für den Logiktransistor und des Dielektrikums 106 für den Eingabe-Ausgabe-Transistor, die nicht von der Hartmaske bedeckt sind, entfernt werden. In manchen Ausführungsformen können die ein oder mehr Ätzverfahren jedoch am Dielektrikum 301 für den ferroelektrischen Transistor gestoppt werden, so dass Teile des Dielektrikums 301 für den ferroelektrischen Transistor, die sich nicht unter der Hartmaske 402 befinden, wie in 5 dargestellt auf der Halbleiterstruktur 100 verbleiben können.
  • Zum Entfernen von solchen Teilen des Dielektrikums 301 für den ferroelektrischen Transistor kann über der Halbleiterstruktur 100 eine Maske 501 gebildet werden. Die Maske 501 kann einen Fotolack enthalten, und sie kann mit Hilfe eines Fotolithografieverfahrens gebildet werden. Die Maske 501 kann das Gebiet 102 für den Logiktransistor und das Gebiet 104 für den Eingabe-Ausgabe-Transistor bedecken, nicht aber das Gebiet 103 für den ferroelektrischen Transistor. Danach kann ein Ätzverfahren durchgeführt werden, das dafür ausgelegt ist, das Dielektrikum 301 für den ferroelektrischen Transistor relativ zu dem Hartmaskenmaterial 402 selektiv zu entfernen, was in 5 schematisch durch Pfeile 502 dargestellt ist. Bei dem Ätzverfahren 502 können Teile des Dielektrikums 301 für den ferroelektrischen Transistor neben der Gateelektrode, die durch den von der Hartmaske 402 bedeckten Teil des Gateelektrodenmaterials 401 bereitgestellt wird, entfernt werden.
  • In manchen Ausführungsformen kann vor dem Bilden der Maske 501 und vor dem Ätzverfahren 502 über der Halbleiterstruktur 100 eine Schutzschicht (nicht gezeigt) abgeschieden werden, die beispielsweise eine konforme Schicht aus Siliziumnitrid umfassen kann, um den Teil des zweiten Metalls 302 über dem Gebiet 103 für den ferroelektrischen Transistor davor zu schützen, dass er von dem Ätzmittel, das bei dem Ätzverfahren 502 verwendet wird, angegriffen wird.
  • Nach dem Ätzverfahren 502 kann die Maske 501 mit Hilfe eines Resiststripverfahrens entfernt werden, und es können weitere Verfahren, die Ionenimplantationsverfahren zum Bilden von Sourcegebieten und Draingebieten in jedem von den Transistorgebieten 102, 103, 104 umfassen, durchgeführt werden, um die Bildung eines Logiktransistors in dem Gebiet 102 für den Logiktransistor, eines ferroelektrischen Transistors in dem Gebiet 103 für den ferroelektrischen Transistor und eines Eingabe-Ausgabe-Transistors in dem Gebiet 104 für den Eingabe-Ausgabe-Transistor abzuschließen. Diese Verfahren können entsprechend konventionellen Verfahren, die bei der Herstellung von Feldeffekttransistoren in integrierten Schaltkreisen verwendet werden, durchgeführt werden. In manchen Ausführungsformen kann eine Maske (nicht gezeigt), die das Gebiet 102 für den Logiktransistor und das Gebiet 104 für den Eingabe-Ausgabe-Transistor, nicht aber das Gebiet 103 für den ferroelektrischen Transistor bedeckt, gebildet werden, und es können zum Justieren von Eigenschaften des ferroelektrischen Transistors ein oder mehr Ionenimplantationsverfahren durchgeführt werden, um in dem Gebiet 103 für den ferroelektrischen Transistor Halogebiete und/oder Erweiterungsgebiete zu bilden.
  • Ausführungsformen wie die oben beschriebenen können die Integration von drei verschiedenen Gateoxiden auf dem gleichen Chip ermöglichen, die in typischen Anwendungen in eingebetteten Speichern für Logiktransistoren, Eingabe-Ausgabe-Transistoren und Speicherbauelementen, die ferroelektrische Transistoren umfassen, verwendet werden können. Das Dielektrikum 301 für den ferroelektrischen Transistor kann vor thermischen Zyklen und Oxidationen, sowie vor der Anpassung der Austrittsarbeit für die Logiktransistoren geschützt werden. Für den ferroelektrischen Transistor kann ein separates Metallgate verwendet werden, und es kann wie erforderlich angepasst werden. In manchen Ausführungsformen können die Herstellung der Gateisolierschichten der Logiktransistoren und der Eingabe-Ausgabe-Transistoren sowie die Anpassung der Austrittsarbeit für die Logiktransistoren und die Eingabe-Ausgabe-Transistoren im Wesentlichen genauso durchgeführt werden wie in konventionellen integrierten Schaltkreisen mit komplementären Metalloxidhalbleitern (CMOS, die englische Abkürzung steht für ”Complementary Metal Oxide Semiconductor”). Vorteilhafterweise kann dies dabei helfen, eine Veränderung der Topografie und des Verhaltens bei kleinen Breiten der Logiktransistoren und der Eingabe-Ausgabe-Transistoren im Wesentlichen zu vermeiden.
  • Weitere Ausführungsformen werden mit Bezug auf die 6 und 7 beschrieben. 6 zeigt eine schematische Querschnittsansicht einer Halbleiterstruktur 600 gemäß einer Ausführungsform in einem Stadium eines Herstellungsverfahrens gemäß einer Ausführungsform. Der Einfachheit halber wurden in den 6 und 7 einerseits und den 1 bis 5 andererseits gleiche Bezugszeichen verwendet, um gleiche Komponenten zu bezeichnen. Sofern nicht ausdrücklich anders angegeben, können Komponenten, die die gleichen Bezugszeichen haben, im Wesentlichen die gleichen oder zumindest ähnliche Eigenschaften haben, und zu ihrer Herstellung können im Wesentlichen die gleichen oder ähnliche Verfahren verwendet werden. Deshalb wird eine ausführliche Beschreibung von diesen manchmal weggelassen.
  • Die Halbleiterstruktur 600 umfasst ein Substrat 101. Das Substrat 101 umfasst ein Gebiet 102 für einen Logiktransistor, ein Gebiet 103 für einen ferroelektrischen Transistor und ein Gebiet 104 für einen Eingabe-Ausgabe-Transistor. Eine Flachgrabenisolationsstruktur 105 stellt eine elektrische Isolation zwischen dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor, sowie zwischen dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor, dem Gebiet 104 für den Eingabe-Ausgabe-Transistor und anderen Schaltkreiselementen in der Halbleiterstruktur 600 bereit. Über dem Gebiet 103 für den ferroelektrischen Transistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor, nicht aber über dem Gebiet 102 für den Logiktransistor, befindet sich ein Dielektrikum 106 für den Eingabe-Ausgabe-Transistor. Zusätzlich befinden sich über jedem von dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor ein Dielektrikum 201 für den Logiktransistor und ein erstes Metall 202. Diese Strukturelemente können wie oben mit Bezug auf die 1 und 2 beschrieben hergestellt werden.
  • Die Halbleiterstruktur 600 umfasst außerdem eine Schutzschicht 601. Die Schutzschicht 601 kann aus einem Material gebildet werden, das relativ zu dem ersten Metall 202 selektiv geätzt werden kann und das dafür geeignet ist, Einsatzbedingungen zu widerstehen, wie sie bei der Abscheidung eines Dielektrikums für einen ferroelektrischen Transistor, das Merkmale hat, die denen des oben mit Bezug auf die 3 beschriebenen Dielektrikums 301 für den ferroelektrischen Transistor entsprechen, auftreten. In manchen Ausführungsformen kann die Schutzschicht 601 eine Schicht aus Siliziumnitrid sein, und sie mit Hilfe eines Abscheidungsverfahrens, beispielsweise durch CVD oder PECVD gebildet werden.
  • Nach dem Bilden der Schutzschicht 601 kann über der Halbleiterstruktur 600 eine Maske 602 gebildet werden. Die Maske 602 kann eine Fotolackmaske sein und sie kann durch Fotolithografie gebildet werden. Die Maske 602 bedeckt das Gebiet 102 für den Logiktransistor und das Gebiet 104 für den Eingabe-Ausgabe-Transistor, nicht aber das Gebiet 103 für den ferroelektrischen Transistor. Somit liegt ein Teil der Schutzschicht 601 über dem Gebiet 103 für den ferroelektrischen Transistor an der Oberfläche der Halbleiterstruktur 600 frei.
  • Danach können ein oder mehr Ätzverfahren durchgeführt werden, die dafür ausgelegt sind, die Materialien der Schutzschicht 601, des ersten Metalls 202, des Dielektrikums 201 für den Logiktransistor und des Dielektrikums 106 für den Eingabe-Ausgabe-Transistor zu entfernen, was in 6 schematisch durch Pfeile 603 bezeichnet ist. Die ein oder mehr Ätzverfahren 603 können bekannte Nassätzverfahren oder Trockenätzverfahren sowie eine Kombination bekannter Nassätzverfahren und bekannter Trockenätzverfahren umfassen. Nach den ein oder mehr Ätzverfahren 603 liegt das Halbleitermaterial des Substrats 101 an dem Gebiet 103 für den ferroelektrischen Transistor frei. Teile der Schutzschicht 601, des ersten Metalls 202, des Dielektrikums 201 für den Logiktransistor und des Dielektrikums 106 für den Eingabe-Ausgabe-Transistor über dem Gebiet 102 für den Logiktransistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor werden von der Maske 602 davor geschützt, von den ein oder mehr Ätzverfahren 603 angegriffen zu werden und verbleiben in der Halbleiterstruktur 600.
  • 7 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 600 in einem späteren Stadium des Herstellungsverfahrens. Nach den ein oder mehr Ätzverfahren 603 kann die Maske 602 mit Hilfe eines Resiststripverfahrens entfernt werden und ein Abstandshalter 701 kann an Seitenwänden des Stapels aus dem Dielektrikum 201 für den Logiktransistor, dem ersten Metall 202 und der Schutzschicht 601 über dem Gebiet 102 für den Logiktransistor sowie an den Seitenwänden des Stapels aus dem Dielektrikum 106 für den Eingabe-Ausgabe-Transistor, dem Dielektrikum 201 für den Logiktransistor, dem ersten Metall 202 und der Schutzschicht 601 über dem Gebiet 104 für den Eingabe-Ausgabe-Transistor gebildet werden. Das kann durch im Wesentlichen isotropes Abscheiden einer Schicht aus einem Material des Abstandshalters 701, beispielsweise einer Schicht aus Siliziumnitrid, über der Halbleiterstruktur 600 und Durchführen eines anisotropen Ätzverfahrens zum Entfernen von Teilen der Schicht aus dem Material des Abstandshalters 701 von im Wesentlichen horizontalen Teilen der Halbleiterstruktur 600 wie beispielsweise der Oberfläche des Substrats, die an dem Gebiet 103 für den ferroelektrischen Transistor freiliegt, und Deckflächen der Schutzschicht 601 geschehen. Wegen der Anisotropie des Ätzverfahrens können Teile der Schicht aus dem Material des Abstandshalters 701 an den Seitenwänden der Schichtstapel auf dem Gebiet 102 für den Logiktransistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor in der Halbleiterstruktur 600 verbleiben und den Abstandshalter 701 bilden. Der Abstandshalter 701 kann einen Schutz für die Teile der Schichten aus dem Dielektrikum 106 für den Eingabe-Ausgabe-Transistor, dem Dielektrikum 201 für den Logiktransistor und dem ersten Metall 202 über dem Gebiet 102 für den Logiktransistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor bereitstellen, zusätzlich zu dem Schutz, der durch die Schutzschicht 601 bereitgestellt wird. Die Erfindung ist jedoch nicht auf Ausführungsformen beschränkt, in denen der Abstandshalter 701 gebildet wird. In anderen Ausführungsformen kann das Bilden des Abstandshalters 701 weggelassen werden.
  • Danach können unter Verwendung von Techniken wie den oben mit Bezug auf die 3 beschriebenen ein Dielektrikum 301 für einen ferroelektrischen Transistor und ein zweites Metall 302 gebildet werden. Außerdem kann ein Wärmebehandlungsverfahren durchgeführt werden, damit man eine Kristallstruktur des Materials des Dielektrikums 301 für den ferroelektrischen Transistor erhält, die ferroelektrische Eigenschaften hat.
  • Dann kann über der Halbleiterstruktur 600 eine Maske 303 gebildet werden. Die Maske 303 kann eine Fotolackmaske sein, und sie kann mit Hilfe von Techniken der Fotolithografie gebildet werden. Die Maske 303 bedeckt das Gebiet 103 für den ferroelektrischen Transistor, aber nicht das Gebiet 102 für den Logiktransistor und das Gebiet 104 für den Eingabe-Ausgabe-Transistor.
  • Dann können ein oder mehr Ätzverfahren durchgeführt werden, um das zweite Metall 302 und das Dielektrikum 301 für den ferroelektrischen Transistor von dem Gebiet 102 für den Logiktransistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor zu entfernen. In 7 sind die ein oder mehr Ätzverfahren schematisch durch Pfeile 304 bezeichnet. Die ein oder mehr Ätzverfahren 304 können ein oder mehr bekannte Nassätzverfahren oder Trockenätzverfahren umfassen.
  • Danach kann ein weiteres Ätzverfahren durchgeführt werden, um die Schutzschicht 601 und den Abstandshalter 701 von der Halbleiterstruktur 600 zu entfernen und die Maske 303 kann mit Hilfe eines Resiststripverfahren entfernt werden.
  • In dem Zustand der Halbleiterstruktur, den man nach dem Entfernen der Schutzschicht 601 und des Abstandshalters 701 erhält, befinden sich das Dielektrikum 201 für den Logiktransistor und das erste Metall 202 über dem Gebiet 102 für den Logiktransistor, befinden sich das Dielektrikum 301 für den ferroelektrischen Transistor und das zweite Metall 302 über dem Gebiet 103 für den ferroelektrischen Transistor und befinden sich das Dielektrikum 106 für den Eingabe-Ausgabe-Transistor, das Dielektrikum 201 für den Logiktransistor und das erste Metall 202 über dem Gebiet 104 für den Eingabe-Ausgabe-Transistor.
  • Danach können weitere Verarbeitungsschritte wie oben mit Bezug auf die 4 und 5 beschrieben durchgeführt werden, um an dem Logiktransistorgebiet 102 einen Logiktransistor bereitzustellen, an dem Gebiet 103 für den ferroelektrischen Transistor einen ferroelektrischen Transistor bereitzustellen und an dem Gebiet 104 für den Eingabe-Ausgabe-Transistor einen Eingabe-Ausgabe-Transistor bereitzustellen.
  • Im Vergleich zu den oben mit Bezug auf die 1 bis 5 beschriebenen Ausführungsformen muss in den mit Bezug auf die 6 und 7 beschriebenen Ausführungsformen wegen der Verwendung der Schutzschicht 601 bei der Strukturierung des Dielektrikums 301 für den ferroelektrischen Transistor nicht das erste Metall 202 als Ätzstoppschicht verwendet werden. Dadurch kann vorteilhafterweise ein Risiko einer Beschädigung des ersten Metalls 202 durch das Ätzverfahren, das zum Strukturieren des Dielektrikums 301 für den ferroelektrischen Transistor verwendet wird, vermieden werden.
  • 8 zeigt eine schematische Querschnittsansicht einer Halbleiterstruktur 1400 gemäß einer Ausführungsform in einem Stadium eines Herstellungsverfahrens gemäß einer Ausführungsform. Die Halbleiterstruktur 1400 umfasst ein Substrat 1401. Ähnlich wie das Substrat 101 in den oben beschriebenen Ausführungsformen kann das Substrat 1401 ein massives Halbleitersubstrat oder ein SOI-Substrat sein.
  • Das Substrat 1401 umfasst ein erstes Transistorgebiet 1402 und ein zweites Transistorgebiet 1403. Eine Flachgrabenisolationsstruktur 1409 stellt eine elektrische Isolation zwischen dem ersten Transistorgebiet 1402 und dem zweiten Transistorgebiet 1403, sowie eine elektrische Isolation zwischen den Transistorgebieten 1402, 1403 und anderen Schaltkreiselementen (nicht gezeigt) in der Halbleiterstruktur 1400 bereit. Jedes der Transistorgebiete 1402, 1403 kann eine Dotierung aufweisen, die sich von einer Grunddotierung des Substrats 1401 unterscheidet und die dem Transistortyp, der in dem jeweiligen Transistorgebiet 1402, 1403 gebildet werden soll, entsprechen kann.
  • Das erste Transistorgebiet 1402 kann ein Gebiet für einen ferroelektrischen Transistor ähnlich dem Gebiet 103 für den ferroelektrischen Transistor in den oben mit Bezug auf die 1 bis 7 beschriebenen Ausführungsformen, in dem ein ferroelektrischer Transistor mit einer Gateisolierschicht, die ein ferroelektrisches Material enthält, gebildet wird, darstellen. Das zweite Transistorgebiet 1403 kann ein Transistorgebiet, in dem ein Transistor eines anderen Typs, beispielsweise ein Logiktransistor oder ein Eingabe-Ausgabe-Transistor gebildet wird, darstellen. Somit kann das zweite Transistorgebiet 1403 ein Logiktransistorgebiet ähnlich dem Logiktransistorgebiet 102 in den oben mit Bezug auf die 1 bis 7 beschriebenen Ausführungsformen oder ein Eingabe-Ausgabe-Transistorgebiet ähnlich dem Eingabe-Ausgabe-Transistorgebiet 104 in den oben mit Bezug auf die 1 bis 7 beschriebenen Ausführungsformen darstellen.
  • Im Folgenden werden Ausführungsformen, in denen das zweite Transistorgebiet 1403 ein Logiktransistorgebiet darstellt, beschrieben. In anderen Ausführungsformen, in denen das zweite Transistorgebiet 1403 ein Eingabe-Ausgabe-Transistorgebiet darstellt, kann über dem zweiten Transistorgebiet 1403, nicht aber über dem ersten Transistorgebiet 1402 ein Dielektrikum für den Eingabe-Ausgabe-Transistor ähnlich dem Dielektrikum 106 für den Eingabe-Ausgabe-Transistor gebildet werden, bevor die im Folgenden beschriebenen Verfahrensschritte durchgeführt werden. Zu diesem Zweck kann über der Halbleiterstruktur 1400 mit Hilfe eines thermischen Oxidationsverfahrens und/oder eines Abscheidungsverfahrens eine Schicht aus elektrisch isolierendem Material, beispielsweise aus Schicht aus Siliziumdioxid, gebildet werden. Danach kann ein Teil der Schicht aus elektrisch isolierendem Material über dem ersten Transistorgebiet 1402 unter Verwendung von Techniken der Fotolithografie und des Ätzens entfernt werden. Danach kann die Verarbeitung der Halbleiterstruktur 1400 wie im Folgenden beschrieben fortgesetzt werden.
  • Über der Halbleiterstruktur 1400 kann eine Siliziumdioxidschicht 1404 abgeschieden werden. Das kann unter Verwendung von Techniken der thermischen Oxidation und/oder von Abscheidungstechniken wie beispielsweise der CVD oder der PECVD geschehen. Danach kann über der Halbleiterstruktur 1400 eine Schicht 1405 aus einem dielektrischen Material mit hoher Dielektrizitätskonstante abgeschieden werden. Die Schicht 1405 aus dielektrischem Material mit hoher Dielektrizitätskonstante kann ein Material mit einer größeren Dielektrizitätskonstante als Siliziumdioxid enthalten, wie beispielsweise Hafniumdioxid. Andere Materialien mit hoher Dielektrizitätskonstante wie oben in der Beschreibung des Dielektrikums 201 für den Logiktransistor beschrieben können ebenfalls verwendet werden. Die Schicht 1405 aus dielektrischem Material mit hoher Dielektrizitätskonstante kann unter Verwendung konventioneller Abscheidungstechniken für die Abscheidung von dielektrischem Material mit hoher Dielektrizitätskonstante wie beispielsweise der CVD, der PECVD und/oder der ALD gebildet werden.
  • Danach kann über der Halbleiterstruktur 1400 eine Schicht 1406 aus einem ersten Metall abgeschieden werden. Das erste Metall in der Schicht 1406 kann ein Metall oder eine Metallverbindung mit einer Austrittsarbeit, die zur Verwendung in einer Gateelektrode des an dem zweiten Transistorgebiet 1403 zu bildenden Transistors geeignet ist, umfassen. Somit kann die Schicht 1406 aus dem ersten Metall in Ausführungsformen, in denen an dem zweiten Transistorgebiet 1403 ein n-Kanaltransistor gebildet werden soll, Lanthan, Lanthannitrid und/oder Titannitrid enthalten. In Ausführungsformen, in denen an dem zweiten Transistorgebiet 1403 ein p-Kanaltransistor gebildet werden soll, kann die Schicht aus dem ersten Metall 1406 Aluminium und/oder Aluminiumnitrid enthalten.
  • Nach der Abscheidung der Schicht 1406 aus dem ersten Metall kann ein Teil der Schicht 1406 aus dem ersten Metall über dem ersten Transistorgebiet 1402 entfernt werden. Das kann durch Bilden einer Maske (nicht gezeigt) über der Halbleiterstruktur 1400, die das zweite Transistorgebiet 1403 aber nicht das erste Transistorgebiet 1402 bedeckt, und Durchführen eines Ätzverfahrens, das dafür ausgelegt ist, selektiv das erste Metall der Schicht 1406 relativ zu dem dielektrischen Material mit hoher Dielektrizitätskonstante der Schicht 1405 zu entfernen, geschehen. Dann kann die Maske mit Hilfe eines Resiststripverfahrens entfernt werden.
  • Danach kann über der Halbleiterstruktur 1400 eine Schicht 1407 aus einem zweiten Metall abgeschieden werden. Das zweite Metall der Schicht 1407 kann ein anderes Metall als das Material der Schicht 1406 aus dem ersten Metall sein und es kann so ausgewählt werden, dass es für eine Abfangreaktion zwischen dem zweiten Metall und Siliziumdioxid aus dem Teil der Siliziumdioxidschicht 1404 über dem ersten Transistorgebiet 1402 geeignet ist. In manchen Ausführungsformen kann das zweite Metall der Schicht 1407 im Wesentlichen reines Hafnium, Titan oder eine Titannitridlegierung sein. Das zweite Metall der Schicht 1407 kann so gewählt werden, dass die Abfangreaktion eine günstige Gibbs-Energie hat.
  • Danach kann ein Wärmebehandlungsverfahren durchgeführt werden, das in 8 schematisch durch Pfeile 1408 bezeichnet ist. Das Wärmebehandlungsverfahren 1408 kann eine Abfangreaktion zwischen dem zweiten Metall der Schicht 1407 oder Metalldotierstoffen in der Schicht 1407 und Siliziumdioxid aus dem Teil der Siliziumdioxidschicht 1404 über dem ersten Transistorgebiet 1402 auslösen. Bei der Abfangreaktion kann Sauerstoff aus der Siliziumdioxidschicht 1404, der durch die Schicht 1405 aus dielektrischem Material mit hoher Dielektrizitätskonstante diffundieren kann, mit dem zweiten Metall aus der Schicht 1407 reagieren. Bei dieser chemischen Reaktion wird auf dem zweiten Metall ein oxidiertes Metall gebildet, während das Oxid der Siliziumdioxidschicht 1404 über dem ersten Transistorgebiet 1402 reduziert wird. Das kann zu einer Verringerung der Dicke des Teils der Siliziumdioxidschicht 1404 über dem ersten Transistorgebiet 1402 führen.
  • Ein Teil der Siliziumdioxidschicht 1404 über dem zweiten Transistorgebiet 1403 ist von der Schicht 1407 aus dem zweiten Metall durch die Schicht 1406 aus dem ersten Metall getrennt. Die Schicht 1406 aus dem ersten Metall kann eine ausreichende Dicke haben, um einen Abfangeffekt auf die Siliziumdioxidschicht 1404 über dem zweiten Transistorgebiet im Wesentlichen zu verhindern, oder sie kann zu diesem Zweck mit einer Diffusionsblockierschicht (nicht gezeigt) ausgestattet werden. Somit kann die Anwesenheit der Schicht 1406 aus dem ersten Metall über dem zweiten Transistorgebiet 1403 einen Abfangeffekt über dem zweiten Transistorgebiet 1403 im Wesentlichen verhindern oder zumindest verringern. Dadurch kann selektiv eine Dicke des Teils der Siliziumdioxidschicht 1404 über dem ersten Transistorgebiet 1402 verringert werden.
  • Die Verringerung der Dicke des Teils der Siliziumdioxidschicht 1404 über dem ersten Transistorgebiet 1402 kann dabei helfen, die Lebensdauer des ferroelektrischen Transistors, der an dem ersten Transistorgebiet 1402 gebildet wird, zu vergrößern. Abgesehen davon, dass man einen zuverlässigeren ferroelektrischen Transistor erhält, kann das Verringern der Dicke der Siliziumdioxidschicht 1404 über dem ersten Transistorgebiet 1402 dabei helfen, Leckströme, die beim Betrieb des ferroelektrischen Transistors auftreten können, zu verringern.
  • 9 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 1400 in einem späteren Stadium des Herstellungsverfahrens. Nach dem Wärmebehandlungsverfahren 1408 können über dem ersten Transistorgebiet 1402 ein Dielektrikum 1501 für einen ferroelektrischen Transistor und eine Schicht aus einem dritten Metall 1502 gebildet werden. Zu diesem Zweck können das Dielektrikum 1501 für den ferroelektrischen Transistor und das dritte Metall 1502 über der Halbleiterstruktur 1400 abgeschieden werden und Teile des Dielektrikums 1501 für den ferroelektrischen Transistor und des dritten Metalls 1502 über dem zweiten Transistorgebiet 1403 können unter Verwendung von Techniken der Fotolithografie und des Ätzens entfernt werden. Bei dem Ätzverfahren kann der Teil der Schicht 1407 aus dem zweiten Metall über dem zweiten Transistorgebiet 1403 als eine Ätzstoppschicht verwendet werden.
  • Das Dielektrikum 1501 für den ferroelektrischen Transistor kann Merkmale haben, die denen des Dielektrikums 301 für den ferroelektrischen Transistor in den oben mit Bezug auf die 1 bis 7 beschriebenen Ausführungsformen entsprechen, und zur Herstellung des Dielektrikums 1501 für den ferroelektrischen Transistor können entsprechende Techniken verwendet werden. Außerdem können Merkmale des dritten Metalls 1502 Merkmalen des zweiten Metalls 302 in den oben mit Bezug auf die 1 bis 6 beschriebenen Ausführungsformen entsprechen, und zu seiner Herstellung können entsprechende Techniken verwendet werden.
  • Ähnlich wie in den oben mit Bezug auf die 1 bis 7 beschriebenen Ausführungsformen kann nach der Abscheidung des Dielektrikums 1501 für den ferroelektrischen Transistor und des dritten Metalls 1502 über der Halbleiterstruktur 1400 ein Wärmebehandlungsverfahren durchgeführt werden, um das Dielektrikum 1501 für den ferroelektrischen Transistor zu rekristallisieren, so dass man eine Kristallstruktur des Dielektrikums 1501 für den ferroelektrischen Transistor mit ferroelektrischen Eigenschaften erhält. In manchen Ausführungsformen kann dieses Wärmebehandlungsverfahren vor dem Entfernen von Teilen des Dielektrikums 1501 für den ferroelektrischen Transistor und des dritten Metalls 1502 über dem zweiten Transistorgebiet 1403 durchgeführt werden. In anderen Ausführungsformen kann das Wärmebehandlungsverfahren zum Rekristallisieren des Dielektrikums 1501 für den ferroelektrischen Transistor nach dem Entfernen der Teile des Dielektrikums 1501 für den ferroelektrischen Transistor und des dritten Metalls 1502 über dem zweiten Transistorgebiet 1403 durchgeführt werden.
  • Bei dem Wärmebehandlungsverfahren, das zum Rekristallisieren des Dielektrikums 1501 für den ferroelektrischen Transistor durchgeführt wird, kann die Schicht 1407 aus dem zweiten Metall eine Diffusion von Atomen aus anderen Teilen der Halbleiterstruktur 1400 wie beispielsweise der Schicht 1405 aus dielektrischem Material mit hoher Dielektrizitätskonstante in das Dielektrikum 1501 für den ferroelektrischen Transistor im Wesentlichen verhindern oder verringern. Dadurch kann vorteilhafterweise eine Verschlechterung des Dielektrikums 1501 für den ferroelektrischen Transistor vermieden werden. Das kann dazu beitragen, die Zuverlässigkeit des ferroelektrischen Transistors, der an dem ersten Transistorgebiet 1402 gebildet wird, zu verbessern, und Leckströme, die in dem ferroelektrischen Transistor auftreten, zu verringern.
  • Nach dem Bilden des Dielektrikums 1501 für den ferroelektrischen Transistor und der Schicht 1502 aus dem dritten Metall über dem ersten Transistorgebiet 1402 kann über der Halbleiterstruktur 1400 eine Schicht 1503 aus Gateelektrodenmaterial abgeschieden werden. In manchen Ausführungsformen kann die Schicht 1503 aus dem Gateelektrodenmaterial ein Halbleitermaterial, beispielsweise Polysilizium oder amorphes Silizium enthalten. In anderen Ausführungsformen kann die Schicht 1503 aus dem Gateelektrodenmaterial ein Metall, beispielsweise Aluminium oder Wolfram, enthalten.
  • 10 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 1400 in einem späteren Stadium des Herstellungsverfahrens. Die verschiedenen Schichten, die über dem ersten Transistorgebiet 1402 bzw. dem zweiten Transistorgebiet 1403 gebildet werden, können strukturiert werden, um über dem ersten Transistorgebiet 1402 eine erste Gateelektrodenstruktur 1601 zu bilden und über dem zweiten Transistorgebiet 1403 eine zweite Gateelektrodenstruktur 1602 zu bilden. Die erste Gateelektrodenstruktur 1601 umfasst Teile der Siliziumdioxidschicht 1404, der Schicht 1405 aus dielektrischem Material mit hoher Dielektrizitätskonstante, der Schicht 1407 aus dem zweiten Metall und des Dielektrikums 1501 für den ferroelektrischen Transistor, die ein Gatedielektrikum eines ferroelektrischen Transistors bereitstellen. Außerdem umfasst die erste Gateelektrodenstruktur 1601 Teile der Schicht 502 aus dem dritten Metall und der Schicht 1503 aus dem Gateelektrodenmaterial, die eine Gateelektrode des ferroelektrischen Transistors bereitstellen.
  • Die zweite Gateelektrodenstruktur 1602 umfasst Teile der Siliziumdioxidschicht 1404 und der Schicht 1405 aus dem dielektrischen Material mit hoher Dielektrizitätskonstante, die ein Gatedielektrikum des Transistors, der an dem zweiten Transistorgebiet 1403 gebildet wird, bereitstellen. Außerdem umfasst die zweite Gateelektrodenstruktur 1602 Teile der Schicht 1406 aus dem ersten Metall, der Schicht 1407 aus dem zweiten Metall und der Schicht 1503 aus dem Gateelektrodenmaterial, die eine Gateelektrode des Transistors, der an dem zweiten Transistorgebiet 1403 gebildet wird, bereitstellen.
  • Im Folgenden werden weitere Ausführungsformen mit Bezug auf die 11 bis 13 beschrieben. 11 zeigt eine schematische Querschnittsansicht einer Halbleiterstruktur 1700 gemäß einer Ausführungsform in einem Stadium eines Herstellungsverfahrens gemäß einer Ausführungsform. Der Einfachheit halber wurden in den 1 bis 7 einerseits und in den 11 bis 13 andererseits gleiche Bezugszeichen verwendet, um gleiche Komponenten zu bezeichnen. Strukturelemente, die durch gleiche Bezugszeichen bezeichnet sind, können entsprechende Merkmale haben, und zu ihrer Herstellung können entsprechende Verfahren verwendet werden. Deshalb wird eine ausführliche Beschreibung von diesen manchmal weggelassen.
  • Die Halbleiterstruktur 1700 umfasst ein Substrat 101. Das Substrat 101 umfasst ein Gebiet 102 für einen Logiktransistor, ein Gebiet 103 für einen ferroelektrischen Transistor und ein Gebiet 104 für einen Eingabe-Ausgabe-Transistor. Eine Flachgrabenisolationsstruktur 105 stellt eine elektrische Isolation zwischen dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor und dem Gebiet 104 für den Eingabe-Ausgabe-Transistor bereit. Außerdem stellt die Flachgrabenisolationsstruktur 105 eine elektrische Isolation zwischen dem Gebiet 102 für den Logiktransistor, dem Gebiet 103 für den ferroelektrischen Transistor, dem Gebiet 104 für den Eingabe-Ausgabe-Transistor und anderen Schaltkreiselementen (nicht gezeigt) in der Halbleiterstruktur 1700 bereit.
  • Oberhalb des Gebiets 102 für den Logiktransistor befindet sich eine Dummygatestruktur 1701 für den Logiktransistor. Oberhalb des Gebiets 103 für den ferroelektrischen Transistor befindet sich eine Dummygatestruktur 1702 für den ferroelektrischen Transistor. Oberhalb des Gebiets 104 für den Eingabe-Ausgabe-Transistor befindet sich eine Dummygatestruktur 1703 für den Eingabe-Ausgabe-Transistor. In dem Gebiet 102 für den Logiktransistor befinden sich neben der Dummygatestruktur 1701 für den Logiktransistor ein Sourcegebiet 1725 und ein Draingebiet 1726. In dem Sourcegebiet 1725 und dem Draingebiet 1726 können sich Silizidgebiete 1731, 1732 befinden.
  • Entsprechend können sich in dem Gebiet 103 für den ferroelektrischen Transistor ein Sourcegebiet 1727 und ein Draingebiet 1728, die Silizidgebiete 1733, 1734 umfassen, befinden, und in dem Gebiet 104 für den Eingabe-Ausgabe-Transistor können sich ein Sourcegebiet 1729 und ein Draingebiet 1730, die Silizidgebiete 1735, 1736 umfassen, befinden.
  • Die Dummygatestruktur 1701 für den Logiktransistor und die Dummygatestruktur 1702 für den ferroelektrischen Transistor können jeweils ein Gatedielektrikum 1708, eine Metallschicht 1709 und ein Gateelektrodenmaterial 1710 umfassen. Zusätzlich zu dem Gatedielektrikum 1708, der Metallschicht 1709 und dem Gateelektrodenmaterial 1710 kann die Dummygatestruktur 1703 für den Eingabe-Ausgabe-Transistor ein Dielektrikum 1711 für den Eingabe-Ausgabe-Transistor umfassen. Das Gatedielektrikum 1708 kann ein elektrisch isolierendes Material, beispielsweise Siliziumdioxid und/oder ein dielektrisches Material mit einer hohen Dielektrizitätskonstante wie beispielsweise Hafniumdioxid enthalten. Das Gateelektrodenmaterial 1710 kann ein Halbleitermaterial, beispielsweise Polysilizium und/oder amorphes Silizium umfassen. In manchen Ausführungsformen, insbesondere in Ausführungsformen, in denen das Gatedielektrikum 1708 Siliziumdioxid enthält, kann die Metallschicht 1709 weggelassen werden.
  • Neben der Dummygatestruktur 1701 für den Logiktransistor kann sich eine Seitenwandabstandshalterstruktur 1712 befinden. Entsprechend können sich neben der Dummygatestruktur 1702 für den ferroelektrischen Transistor und der Dummygatestruktur 1703 für den Eingabe-Ausgabe-Transistor eine Seitenwandabstandshalterstruktur 1713 bzw. eine Seitenwandabstandshalterstruktur 1714 befinden.
  • Die vorliegenden Angaben sind nicht auf Ausführungsformen beschränkt, in denen jede der Seitenwandabstandshalterstrukturen 1712, 1713, 1714 wie in 11 gezeigt einen einzelnen Seitenwandsabstandshalter umfasst. In anderen Ausführungsformen kann jede der Seitenwandabstandshalterstrukturen 1712, 1713, 1714 mehrere Seitenwandabstandshalter umfassen, die nebeneinander gebildet sind und voneinander durch ein oder mehr Schutzschichten getrennt ein können. Materialien, die zum Bilden der Seitenwandabstandshalterstrukturen 1712, 1713, 1714 verwendet werden können, umfassen Siliziumdioxid, Siliziumnitrid und/oder Siliziumoxynitrid.
  • Die Halbleiterstruktur 1700 umfasst außerdem ein Zwischenschichtdielektrikum 1716, das Siliziumdioxid enthalten kann und eine Ätzstoppschicht 1715, die Siliziumnitrid enthalten kann.
  • Die Kombination aus den Seitenwandabstandshalterstrukturen 1712, 1713, 1714, der Ätzstoppschicht 1715 und dem Zwischenschichtdielektrikum 1716 stellt eine elektrisch isolierende Struktur 1704 bereit, die jede von der Dummygatestruktur 1701 für den Logiktransistor, der Dummygatestruktur 1702 für den ferroelektrischen Transistor und der Dummygatestruktur 1703 für den Eingabe-Ausgabe-Transistor ringförmig umschließt. Da in den Seitenwandabstandshalterstrukturen 1712, 1713, 1714, der Ätzstoppschicht 1715 und/oder dem Zwischenschichtdielektrikum 1716 verschiedene Materialien verwendet werden können, kann die elektrisch isolierende Struktur 1704 Teile haben, die aus verschiedenen Materialien gebildet sind.
  • Zum Bilden der oben beschriebenen Strukturelemente kann das Substrat 101, das das Gebiet 102 für den Logiktransistor, das Gebiet 103 für den ferroelektrischen Transistor und das Gebiet 104 für den Eingabe-Ausgabe-Transistor sowie die Flachgrabenisolationsstruktur 105 umfasst, wie oben mit Bezug auf 1 beschrieben bereitgestellt werden. Dann kann über der Halbleiterstruktur 1700 eine Dielektrikum 1711 für den Eingabe-Ausgabe-Transistor gebildet werden und Teile des Dielektrikums 1711 für den Eingabe-Ausgabe-Transistor über dem Gebiet 102 für den Logiktransistor und dem Gebiet 103 für den ferroelektrischen Transistor können unter Verwendung von Verfahren der Fotolithografie und des Ätzens entfernt werden.
  • Dann können über der Halbleiterstruktur 1700 eine Schicht aus dem Gatedielektrikum 1708, die Metallschicht 1709 und eine Schicht aus dem Gateelektrodenmaterial 1710 abgeschieden werden. Danach können die Schicht aus dem Dielektrikum 1711 für den Eingabe-Ausgabe-Transistor, die Schicht aus dem Gatedielektrikum 1708, die Metallschicht 1709 und die Schicht aus dem Gateelektrodenmaterial 1710 unter Verwendung von Techniken der Fotolithografie und des Ätzens strukturiert werden (wobei optional eine Hartmaske (nicht gezeigt) verwendet werden kann), um die Dummygatestruktur 1701 für den Logiktransistor, die Dummygatestruktur 1702 für den ferroelektrischen Transistor und die Dummygatestruktur 1703 für den Eingabe-Ausgabe-Transistor zu bilden. Danach können unter Verwendung von Techniken der im Wesentlichen isotropen Abscheidung und des anisotropen Ätzens die Seitenwandabstandshalterstrukturen 1712, 1713, 1714 gebildet werden, und es können Ionenimplantationsverfahren durchgeführt werden, um die Sourcegebiete 1725, 1727, 1729 und die Draingebiete 1726, 1728, 1730 zu bilden. Die Bildung der Sourcegebiete 1725, 1727, 1729 und der Draingebiete 1726, 1728, 1730 kann eine Anzahl von Ionenimplantationsverfahren zum Einbringen von Dotierstoffen in das Halbleitermaterial des Substrats 101 umfassen, die vor und/oder nach der Bildung der Seitenwandabstandshalterstrukturen 1712, 1713, 1714 durchgeführt werden können, um in den Sourcegebieten 1725, 1727, 1729 und den Draingebieten 1726, 1728, 1730 ein gewünschtes Dotierstoffprofil zu erhalten. In Ausführungsformen, in denen jede der Seitenwandabstandshalterstrukturen 1712, 1713, 1714 mehrere Seitenwandabstandshalter umfasst, können auch nach der Bildung von jedem einzelnen der Seitenwandabstandshalter der Seitenwandabstandshalterstrukturen 1712, 1713, 1714 Ionenimplantationsverfahren durchgeführt werden.
  • Die Silizidgebiete 17311736 können durch Abscheiden einer Schicht aus einem Metall, wie beispielsweise Nickel, über der Halbleiterstruktur und Durchführen eines Wärmebehandlungsverfahrens zum Auslösen einer chemischen Reaktion zwischen dem Metall und dem Halbleitermaterial des Substrats 101, bei der Silizid gebildet wird, gebildet werden.
  • Danach können die Ätzstoppschicht 1715 und das Zwischenschichtdielektrikum 1716 abgeschieden werden und es kann ein chemisch-mechanisches Polierverfahren durchgeführt werden, damit man eine im Wesentlichen flache Oberfläche der Halbleiterstruktur 1700 erhält, und um die Dummygatestruktur 1701 für den Logiktransistor, die Dummygatestruktur 1702 für den ferroelektrischen Transistor und die Dummygatestruktur 1703 für den Eingabe-Ausgabe-Transistor freizulegen.
  • Die Erfindung ist nicht auf Ausführungsformen beschränkt, in denen sich das Dielektrikum 1711 für den Eingabe-Ausgabe-Transistor wie in 11 gezeigt nur in der Dummygatestruktur 1703 für den Eingabe-Ausgabe-Transistor befindet. In anderen Ausführungsformen kann sich ein Dielektrikum für den Eingabe-Ausgabe-Transistor auch unterhalb des Gatedielektrikums 1708 der Dummygatestruktur 1702 für den ferroelektrischen Transistor befinden. Außerdem sind die vorliegenden Angaben nicht auf Ausführungsformen beschränkt, in denen die Bildung der Silizidgebiete 17311736 in dem in 11 dargestellten Stadium des Herstellungsverfahrens durchgeführt wird. In anderen Ausführungsformen kann die Silizidierung, die zum Bilden der Silizidgebiete 17311736 durchgeführt wird, nach einem Gateaustauschverfahren für den ferroelektrischen Transistor, das im Folgenden beschrieben wird, durchgeführt werden und/oder nach weiteren Gateaustauschverfahren, in denen die Dummygatestruktur 1701 für den Logiktransistor und/oder die Dummygatestruktur 1703 für den Eingabe-Ausgabe-Transistor ausgetauscht werden. In solchen Ausführungsformen kann die Silizidierung durch Kontaktlöcher, die in dem Zwischenschichtdielektrikum 1716 und der Ätzstoppschicht 1715 gebildet werden, durchgeführt werden. Alternativ kann das Gateaustauschverfahren für den ferroelektrischen Transistor nach einer Silizidierung durch Kontaktlöcher, die zum Bilden der Silizidgebiete 17311736 durchgeführt wird, durchgeführt werden.
  • Es kann ein Gateaustauschverfahren für den ferroelektrischen Transistor durchgeführt werden, bei dem die Dummygatestruktur 1702 für den ferroelektrischen Transistor durch eine andere Gatestruktur ersetzt wird, in der sich zwischen der Gateelektrode und dem Gebiet 103 für den ferroelektrischen Transistor ein ferroelektrisches Material befindet, und die eine endgültige Gatestruktur des ferroelektrischen Transistors bildet, der an dem Gebiet 103 für den ferroelektrischen Transistor gebildet wird.
  • Das Gateaustauschverfahren für den ferroelektrischen Transistor kann eine Bildung einer Maske 1717 über der Halbleiterstruktur 1700 umfassen. Die Maske 1717 kann eine Fotolackmaske sein, und sie kann mit Hilfe eines Fotolithografieverfahrens gebildet werden. Die Maske 1717 bedeckt das Gebiet 102 für den Logiktransistor und das Gebiet 104 für den Eingabe-Ausgabe-Transistor, aber nicht das Gebiet 103 für den ferroelektrischen Transistor.
  • Nach dem Bilden der Maske 1717 können ein oder mehr Ätzverfahren durchgeführt werden, die in 11 schematisch durch Pfeile 1718 bezeichnet sind. In den ein oder mehr Ätzverfahren 1718 kann die Dummygatestruktur 1702 für den ferroelektrischen Transistor von der Halbleiterstruktur 1700 entfernt werden, so dass das Halbleitermaterial des Substrats 101 am Boden einer Vertiefung in der elektrisch isolierenden Struktur 1704, die durch das Entfernen der Dummygatestruktur 1702 für den ferroelektrischen Transistor erzeugt wurde, freiliegt. Da die Vertiefung in der elektrisch isolierenden Struktur 1704 durch Entfernen der Dummygatestruktur 1702 für den ferroelektrischen Transistor erzeugt wird, befindet sich die Vertiefung an der Position der Dummygatestruktur 1702 für den ferroelektrischen Transistor. Dadurch ist das Halbleitermaterial, das am Boden der Vertiefung in der elektrisch isolierenden Struktur 1704 freiliegt, zwischen dem Sourcegebiet 1727 und dem Draingebiet 1728 angeordnet, entsprechend einem Kanalgebiet des ferroelektrischen Transistors, der an dem Gebiet 103 für den ferroelektrischen Transistor gebildet werden soll.
  • Die ein oder mehr Ätzverfahren 1718 können ein oder mehr Trockenätzverfahren und/oder ein oder mehr Nassätzverfahren umfassen, die dafür ausgelegt sind, die Materialien des Gatedielektrikums 1708, der Metallschicht 1709 und des Gateelektrodenmaterials 1710 zu entfernen. In manchen Ausführungsformen können die ein oder mehr Ätzverfahren 1718 ein Nassätzverfahren umfassen, bei dem zum Entfernen des Gatedielektrikums 1708 ein Ätzmittel verwendet wird, das verdünnte Flusssäure enthält. Das kann dabei helfen, eine glatte Oberfläche des Halbleitermaterials zu erhalten, das am Boden der Vertiefung in der elektrisch isolierenden Struktur 1704, die an der Position der Dummygatestruktur 1702 für den ferroelektrischen Transistor gebildet wird, freiliegt. In anderen Ausführungsformen kann das Entfernen des Gatedielektrikums 1708 weggelassen werden und das Gatedielektrikum 1708 kann in der Halbleiterstruktur 1700 verbleiben.
  • 12 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 1700 in einem späteren Stadium des Herstellungsverfahrens. Nach dem Entfernen der Dummygatestruktur 1702 für den ferroelektrischen Transistor kann auf der Halbleiterstruktur 1700 eine Basisschicht 1801 abgeschieden werden. Die Basisschicht 1801 kann Siliziumdioxid enthalten und mit Hilfe eines Abscheidungsverfahrens wie beispielsweise der CVD oder der PECVD gebildet werden. Danach kann über der Halbleiterstruktur 1700 ein Dielektrikum 1802 für den ferroelektrischen Transistor abgeschieden werden. Merkmale des Dielektrikums 1802 für den ferroelektrischen Transistor und Verfahren, die zum Abscheiden des Dielektrikums 1802 für den ferroelektrischen Transistor verwendet werden, können Merkmalen des Dielektrikums 301 für den ferroelektrischen Transistor entsprechen. In manchen Ausführungsformen kann das Dielektrikum 1802 für den ferroelektrischen Transistor im Wesentlichen reines Hafniumdioxid oder Gadolinium-dotiertes Hafniumdioxid enthalten. Verfahren zur Bildung des Dielektrikums 1802 für den ferroelektrischen Transistor können bei Temperaturen von weniger als ungefähr 500°C durchgeführt werden, um nachteilige Auswirkungen auf die Silizidgebiete 17311736 zu vermeiden.
  • Danach kann über der Halbleiterstruktur 1700 ein Metall 1803 abgeschieden werden. Merkmale des Metalls 1803 und Verfahren, die zur Abscheidung des Metalls 1803 verwendet werden, können Merkmalen des zweiten Metalls 302 und den Verfahren, die zur Bildung des zweiten Metalls 302 in den oben beschriebenen Ausführungsformen verwendet werden, entsprechen. Abhängig von dem Sollwert der Austrittsarbeit des ferroelektrischen Transistors, der an dem Gebiet 103 für den ferroelektrischen Transistor gebildet werden soll, kann das Metall 1803 ein Metall enthalten, das üblicherweise zur Anpassung der Austrittsarbeit in n-Kanaltransistoren verwendet wird, wie beispielsweise eine Legierung aus Titan und Aluminium, oder ein Metall, das üblicherweise zur Anpassung der Austrittsarbeit in p-Kanaltransistoren verwendet wird, wie beispielsweise Titannitrid oder Tantal. Danach kann über der Halbleiterstruktur 1700 ein Gateelektrodenmaterial 1804 abgeschieden werden. In manchen Ausführungsformen kann das Gateelektrodenmaterial 1804 ein oder mehr Metalle enthalten, die zum Anpassen einer Austrittsarbeit geeignet sind, und auf den ein oder mehr Metallen, die zum Anpassen der Austrittsarbeit geeignet sind, kann Aluminium bereitgestellt werden.
  • Danach kann ein Wärmebehandlungsverfahren durchgeführt werden, das in 12 schematisch durch Pfeile 1805 bezeichnet ist. Das Wärmebehandlungsverfahren 1805 kann eine Rekristallisation des Dielektrikums 1802 für den ferroelektrischen Transistor auslösen. Bei der Rekristallisation des Dielektrikums 1802 für den ferroelektrischen Transistor, die in Anwesenheit des Metalls 1803 auf dem Dielektrikum 1802 für den ferroelektrischen Transistor durchgeführt wird, kann man eine Kristallstruktur des Dielektrikums 1802 für den ferroelektrischen Transistor mit ferroelektrischen Eigenschaften erhalten.
  • 13 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 1700 in einem späteren Stadium des Herstellungsverfahrens. Es kann ein chemisch-mechanisches Polierverfahren durchgeführt werden, um Teile der Basisschicht 1801, des Dielektrikums 1802 für den ferroelektrischen Transistor, des Metalls 1803 und des Gateelektrodenmaterials 1804 außerhalb der Vertiefung in der elektrisch isolierenden Struktur 1704, die durch das Entfernen der Dummygatestruktur 1702 für den ferroelektrischen Transistor gebildet wurde, zu entfernen. Teile der Basisschicht 1801, des Dielektrikums 1802 für den ferroelektrischen Transistor, des Metalls 1803 und des Gateelektrodenmaterials 1804 in der Vertiefung stellen ein Austauschgate 1901 für den ferroelektrischen Transistor bereit, das eine endgültige Gatestruktur für den ferroelektrischen Transistor, der an dem Gebiet 103 für den ferroelektrischen Transistor gebildet wird, bereitstellt. Das Austauschgate 1901 für den ferroelektrischen Transistor umfasst ein Gatedielektrikum, das von der Basisschicht 1801 und dem Dielektrikum 1802 für den ferroelektrischen Transistor bereitgestellt wird und eine Gateelektrode, die von dem Metall 1803 und dem Gateelektrodenmaterial 1804 bereitgestellt wird.
  • Danach kann ein Gateaustauschverfahren für den Logiktransistor durchgeführt werden, bei dem die Dummygatestruktur 1701 für den Logiktransistor entfernt und durch eine endgültige Gatestruktur des Logiktransistors, der an dem Gebiet 102 für den Logiktransistor gebildet wird, ersetzt wird. Außerdem kann ein Gateaustauschverfahren für den Eingabe-Ausgabe-Transistor durchgeführt werden, bei dem die Dummygatestruktur 1703 des Eingabe-Ausgabe-Transistors entfernt und durch eine endgültige Gatestruktur des Eingabe-Ausgabe-Transistors, der an dem Gebiet 104 für den Eingabe-Ausgabe-Transistor bereitgestellt wird, ersetzt wird. Merkmale des Gateaustauschverfahrens für den Logiktransistor und des Gateaustauschverfahrens für den Eingabe-Ausgabe-Transistor können Merkmalen konventioneller Gateaustauschverfahren entsprechen.
  • Die Erfindung ist nicht auf Ausführungsformen beschränkt, in denen das Gateaustauschverfahren für den ferroelektrischen Transistor, das Gateaustauschverfahren für den Logiktransistor und das Gateaustauschverfahren für den Eingabe-Ausgabe-Transistor in der oben beschriebenen Reihenfolge durchgeführt werden. In anderen Ausführungsformen können das Gateaustauschverfahren für den ferroelektrischen Transistor, das Gateaustauschverfahren für den Logiktransistor und das Gateaustauschverfahren für den Eingabe-Ausgabe-Transistor in einer anderen Reihenfolge durchgeführt werden. Zuerst das Gateaustauschverfahren für den ferroelektrischen Transistor durchzuführen kann jedoch dabei helfen, zu vermeiden, dass die endgültigen Gateelektroden des Logiktransistors und des Eingabe-Ausgabe-Transistors durch das Wärmebehandlungsverfahren 1804 beeinträchtigt werden.
  • Außerdem ist die Erfindung nicht auf Gateaustauschverfahren beschränkt, bei denen, wie oben mit Bezug auf die 11 bis 13 beschrieben, die Dummygatestrukturen 1701, 1702, 1703 vollständig ausgetauscht werden. In anderen Ausführungsformen können ein Gateaustauschverfahren für den ferroelektrischen Transistor, ein Gateaustauschverfahren für den Logiktransistor und/oder ein Gateaustauschverfahren für den Eingabe-Ausgabe-Transistor durchgeführt werden, bei denen nur die Gateelektroden des ferroelektrischen Transistors, des Logiktransistors und/oder des Eingabe-Ausgabe-Transistors ausgetauscht werden, aber kein Austausch der dielektrischen Schichten unter den Gateelektroden durchgeführt wird. Insbesondere kann im Fall des ferroelektrischen Transistors das Gatedielektrikum für den ferroelektrischen Transistor vor dem Gateaustauschverfahren für den ferroelektrischen Transistor unter Verwendung von Techniken wie den oben mit Bezug auf die 1 bis 10 beschriebenen gebildet werden, und es kann in der Halbleiterstruktur verbleiben und das endgültige Gatedielektrikum des ferroelektrischen Transistors bilden.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 2013/0270619 A1 [0008]

Claims (23)

  1. Eine Halbleiterstruktur, die umfasst: ein Substrat, das ein Gebiet für einen Logiktransistor, ein Gebiet für einen ferroelektrischen Transistor und ein Gebiet für einen Eingabe-Ausgabe-Transistor umfasst; einen Logiktransistor, der sich an dem Gebiet für den Logiktransistor befindet, wobei der Logiktransistor ein Gatedielektrikum und eine Gateelektrode umfasst; einen Eingabe-Ausgabe-Transistor, der sich an dem Gebiet für den Eingabe-Ausgabe-Transistor befindet, wobei der Eingabe-Ausgabe-Transistor ein Gatedielektrikum und eine Gateelektrode umfasst, wobei das Gatedielektrikum des Eingabe-Ausgabe-Transistors eine größere Dicke hat als das Gatedielektrikum des Logiktransistors; und einen ferroelektrischen Transistor, der sich an dem Gebiet für den ferroelektrischen Transistor befindet, wobei der ferroelektrische Transistor ein ferroelektrisches Dielektrikum und eine Gateelektrode umfasst, wobei das ferroelektrische Dielektrikum zwischen dem Gebiet für den ferroelektrischen Transistor und der Gateelektrode des ferroelektrischen Transistors angeordnet ist.
  2. Die Halbleiterstruktur gemäß Anspruch 1, wobei jede von der Gateelektrode des Logiktransistors, der Gateelektrode des Eingabe-Ausgabe-Transistors und der Gateelektrode des ferroelektrischen Transistors eine Metallschicht umfasst.
  3. Die Halbleiterstruktur gemäß Anspruch 1 oder 2, wobei das ferroelektrische Dielektrikum Hafniumdioxid umfasst.
  4. Die Halbleiterstruktur gemäß Anspruch 3, wobei das Hafniumdioxid Silizium-dotiert ist
  5. Die Halbleiterstruktur gemäß einem der vorhergehenden Ansprüche, wobei jedes von dem Gatedielektrikum des Logiktransistors und dem Gatedielektrikum des Eingabe-Ausgabe-Transistors Hafniumdioxid enthält, das eine im Wesentlichen nicht ferroelektrische Kristallstruktur hat.
  6. Die Halbleiterstruktur gemäß einem der vorhergehenden Ansprüche, wobei der ferroelektrische Transistor außerdem umfasst: eine dielektrische Schicht mit niedriger Dielektrizitätskonstante, die sich direkt auf dem Gebiet für den ferroelektrischen Transistor befindet; eine im Wesentlichen nicht ferroelektrische dielektrische Schicht mit hoher Dielektrizitätskonstante, die sich auf der dielektrischen Schicht mit niedriger Dielektrizitätskonstante befindet; eine Schicht aus einem ersten Metall, die sich auf der im Wesentlichen nicht ferroelektrischen dielektrischen Schicht mit hoher Dielektrizitätskonstante befindet, wobei sich das ferroelektrische Dielektrikum auf der Schicht aus dem ersten Metall befindet; und eine Schicht aus einem zweiten Metall, die sich auf dem ferroelektrischen Dielektrikum befindet.
  7. Ein Verfahren, das umfasst: Bereitstellen einer Halbleiterstruktur, die ein Gebiet für einen Logiktransistor, ein Gebiet für einen ferroelektrischen Transistor und ein Gebiet für einen Eingabe-Ausgabe-Transistor umfasst; Bilden eines Dielektrikums für den Eingabe-Ausgabe-Transistor über zumindest dem Gebiet für den Eingabe-Ausgabe-Transistor und dem Gebiet für den Logiktransistor; Entfernen des Dielektrikums für den Eingabe-Ausgabe-Transistor von zumindest dem Gebiet für den Logiktransistor; Abscheiden eines Dielektrikums für den Logiktransistor über der Halbleiterstruktur und Abscheiden eines ersten Metalls über dem Dielektrikum für den Logiktransistor nach dem Entfernen des Dielektrikums für den Eingabe-Ausgabe-Transistor von zumindest dem Gebiet für den Logiktransistor; Entfernen des Dielektrikums für den Logiktransistor und des ersten Metalls von dem Gebiet für den ferroelektrischen Transistor; und Bilden eines Dielektrikums für den ferroelektrischen Transistor über dem Gebiet für den ferroelektrischen Transistor.
  8. Das Verfahren gemäß Anspruch 7, wobei das Dielektrikum für den ferroelektrischen Transistor nach dem Entfernen des Dielektrikums für den Logiktransistor und des ersten Metalls von dem Gebiet für den ferroelektrischen Transistor gebildet wird, und wobei das Bilden des Dielektrikums für den ferroelektrischen Transistor eine Abscheidung des Dielektrikums für den ferroelektrischen Transistor über der Halbleiterstruktur umfasst.
  9. Das Verfahren gemäß Anspruch 7 oder 8, das zusätzlich ein Abscheiden eines zweiten Metalls über dem Dielektrikum für den ferroelektrischen Transistor umfasst.
  10. Das Verfahren gemäß Anspruch 9, das zusätzlich umfasst, dass nach dem Abscheiden des zweiten Metalls Teile des ferroelektrischen Dielektrikums und des zweiten Metalls über dem Gebiet für den Logiktransistor und dem Gebiet für den Eingabe-Ausgabe-Transistor entfernt werden.
  11. Das Verfahren gemäß Anspruch 10, wobei das Entfernen von Teilen des ferroelektrischen Dielektrikums und des zweiten Metalls über dem Gebiet für den Logiktransistor und dem Gebiet für den Eingabe-Ausgabe-Transistor ein Durchführen eines Ätzverfahrens umfasst, der dafür ausgelegt ist, selektiv das ferroelektrische Dielektrikum relativ zu dem ersten Metall zu entfernen.
  12. Das Verfahren gemäß einem der Ansprüche 7 bis 11, das zusätzlich umfasst: Abscheiden einer Schutzschicht über der Halbleiterstruktur und Entfernen eines Teils der Schutzschicht über dem Gebiet für den ferroelektrischen Transistor, der Teile des Dielektrikums für den Logiktransistor und des ersten Metalls über dem Gebiet für den ferroelektrischen Transistor und eines Teils des Dielektrikums für den Eingabe-Ausgabe-Transistor über dem Gebiet für den ferroelektrischen Transistor vor der Abscheidung des Dielektrikums für den ferroelektrischen Transistor über der Halbleiterstruktur.
  13. Das Verfahren gemäß Anspruch 12, das zusätzlich ein Bilden eines ersten Abstandshalters neben einem Teil der Schutzschicht über dem Gebiet für den Logiktransistor und eines zweiten Abstandshalters neben einem Teil der Schutzschicht über dem Gebiet für den Eingabe-Ausgabe-Transistor umfasst.
  14. Das Verfahren gemäß Anspruch 12 oder 13, das zusätzlich ein Entfernen von Teilen der Schutzschicht über dem Gebiet für den Logiktransistor und dem Gebiet für den Eingabe-Ausgabe-Transistor umfasst.
  15. Das Verfahren gemäß einem der Ansprüche 7 bis 13, wobei das Dielektrikum für den ferroelektrischen Transistor eine Schicht aus Silizium-dotiertem Hafniumdioxid umfasst.
  16. Das Verfahren gemäß Anspruch 15, wobei das Dielektrikum für den ferroelektrischen Transistor außerdem eine Basisschicht, die mindestens eines von Siliziumdioxid und Siliziumnitrid enthält, umfasst, wobei sich die Basisschicht unter der Schicht aus Silizium-dotiertem Hafniumdioxid befindet.
  17. Das Verfahren gemäß einem der Ansprüche 7 bis 16, das außerdem umfasst: Bilden einer ersten Gateelektrode über dem Gebiet für den Logiktransistor; Bilden einer zweiten Gateelektrode über dem Gebiet für den Eingabe-Ausgabe-Transistor; und Bilden einer dritten Gateelektrode über dem Gebiet für den ferroelektrischen Transistor.
  18. Ein Verfahren, das umfasst: Bereitstellen einer Halbleiterstruktur, die ein erstes Transistorgebiet, ein zweites Transistorgebiet und eine Siliziumdioxidschicht auf dem ersten Transistorgebiet und dem zweiten Transistorgebiet umfasst; Abscheiden einer Schicht aus einem dielektrischen Material mit hoher Dielektrizitätskonstante auf der Siliziumdioxidschicht; Bilden einer Schicht aus einem ersten Metall über dem zweiten Transistorgebiet, wobei die Schicht aus dem ersten Metall das erste Transistorgebiet nicht bedeckt; Abscheiden einer Schicht aus einem zweiten Metall über dem ersten Transistorgebiet und dem zweiten Transistorgebiet nach dem Bilden der Schicht aus dem ersten Metall; Durchführen eines Wärmebehandlungsverfahrens, wobei das Wärmebehandlungsverfahren eine Abfangreaktion zwischen dem zweiten Metall und Siliziumdioxid aus einem Teil der Siliziumdioxidschicht auf dem ersten Transistorgebiet auslöst; und Bilden eines Dielektrikums für einen ferroelektrischen Transistor über dem ersten Transistorgebiet nach dem Wärmebehandlungsverfahren.
  19. Das Verfahren gemäß Anspruch 18, das zusätzlich ein Bilden einer Schicht aus einem dritten Metall über dem Dielektrikum für den ferroelektrischen Transistor umfasst.
  20. Das Verfahren gemäß Anspruch 18 oder 19, das außerdem umfasst: Bilden einer ersten Gateelektrode über dem ersten Transistorgebiet; und Bilden einer zweiten Gateelektrode über dem zweiten Transistorgebiet.
  21. Ein Verfahren, das umfasst: Bereitstellen einer Halbleiterstruktur, die umfasst: ein Gebiet für einen Logiktransistor, ein Gebiet für einen ferroelektrischen Transistor, ein Gebiet für einen Eingabe-Ausgabe-Transistor, eine Dummygatestruktur für den Logiktransistor, die über dem Gebiet für den Logiktransistor gebildet ist, eine Dummygatestruktur für den ferroelektrischen Transistor, die über dem Gebiet für den ferroelektrischen Transistor gebildet ist, eine Dummygatestruktur für den Eingabe-Ausgabe-Transistor, die über dem Gebiet für den Eingabe-Ausgabe-Transistor gebildet ist und eine elektrisch isolierende Struktur, die jede von der Dummygatestruktur für den Logiktransistor, der Dummygatestruktur für den ferroelektrischen Transistor und der Dummygatestruktur für den Eingabe-Ausgabe-Transistor ringförmig umschließt, wobei die Dummygatestruktur für den Logiktransistor, die Dummygatestruktur für den ferroelektrischen Transistor und die Dummygatestruktur für den Eingabe-Ausgabe-Transistor an einer Oberfläche der elektrisch isolierenden Struktur freiliegen; wobei das Verfahren außerdem ein Durchführen eines Gateaustauschverfahrens für den ferroelektrischen Transistor umfasst, das umfasst: Entfernen der Dummygatestruktur für den ferroelektrischen Transistor, wobei durch das Entfernen der Dummygatestruktur für den ferroelektrischen Transistor eine Vertiefung in der elektrisch isolierenden Struktur gebildet wird und ein Teil des Gebiets für den ferroelektrischen Transistor an einem Boden der Vertiefung freiliegt; Abscheiden eines Dielektrikums für den ferroelektrischen Transistor und eines Metalls über der Halbleiterstruktur; und Durchführen eines Planarisierungsverfahrens, um Teile des Dielektrikums für den ferroelektrischen Transistor und des Metalls außerhalb der Vertiefung zu entfernen.
  22. Das Verfahren gemäß Anspruch 21, das außerdem ein Abscheiden einer Basisschicht, die mindestens eines von Siliziumdioxid und Siliziumnitrid enthält, vor dem Abscheiden des Dielektrikums für den ferroelektrischen Transistor umfasst.
  23. Das Verfahren gemäß Anspruch 21 oder 22, das außerdem ein Durchführen eines Wärmebehandlungsverfahrens nach der Abscheidung des Dielektrikums für den ferroelektrischen Transistor und des Metalls umfasst, wobei das Wärmebehandlungsverfahren eine Rekristallisation des Dielektrikums für den ferroelektrischen Transistor auslöst, bei der man eine Kristallstruktur mit ferroelektrischen Eigenschaften erhält.
DE102015210492.3A 2014-07-29 2015-06-09 Halbleiterstruktur, die einen ferroelektrischen Transistor umfasst, und Verfahren zu ihrer Herstellung Active DE102015210492B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/445,893 US9293556B2 (en) 2014-07-29 2014-07-29 Semiconductor structure including a ferroelectric transistor and method for the formation thereof
US14/445,893 2014-07-29

Publications (2)

Publication Number Publication Date
DE102015210492A1 true DE102015210492A1 (de) 2016-02-04
DE102015210492B4 DE102015210492B4 (de) 2021-09-16

Family

ID=55079783

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015210492.3A Active DE102015210492B4 (de) 2014-07-29 2015-06-09 Halbleiterstruktur, die einen ferroelektrischen Transistor umfasst, und Verfahren zu ihrer Herstellung

Country Status (2)

Country Link
US (2) US9293556B2 (de)
DE (1) DE102015210492B4 (de)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9627534B1 (en) * 2015-11-20 2017-04-18 United Microelectronics Corp. Semiconductor MOS device having a dense oxide film on a spacer
US9748354B2 (en) * 2015-12-17 2017-08-29 Applied Materials, Inc. Multi-threshold voltage structures with a lanthanum nitride film and methods of formation thereof
US10720505B2 (en) 2016-04-01 2020-07-21 Intel Corporation Ferroelectric-based field-effect transistor with threshold voltage switching for enhanced on-state and off-state performance
TWI690080B (zh) * 2016-06-08 2020-04-01 聯華電子股份有限公司 半導體元件
US9793397B1 (en) 2016-09-23 2017-10-17 International Business Machines Corporation Ferroelectric gate dielectric with scaled interfacial layer for steep sub-threshold slope field-effect transistor
US10937783B2 (en) 2016-11-29 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN108630751B (zh) 2017-03-21 2022-02-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
WO2018174865A1 (en) * 2017-03-22 2018-09-27 Intel Corporation Memory field-effect transistors and methods of manufacturing the same
KR102342550B1 (ko) 2017-06-09 2021-12-23 삼성전자주식회사 반도체 장치
US10763270B2 (en) 2018-04-27 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an integrated circuit and an integrated circuit
US10748931B2 (en) 2018-05-08 2020-08-18 Micron Technology, Inc. Integrated assemblies having ferroelectric transistors with body regions coupled to carrier reservoirs
US10879392B2 (en) * 2018-07-05 2020-12-29 Samsung Electronics Co., Ltd. Semiconductor device
WO2020181049A1 (en) 2019-03-06 2020-09-10 Micron Technology, Inc. Integrated assemblies having transistor body regions coupled to carrier-sink-structures; and methods of forming integrated assemblies
CN109980014B (zh) * 2019-03-26 2023-04-18 湘潭大学 一种后栅极铁电栅场效应晶体管及其制备方法
US11362191B2 (en) * 2019-05-17 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device and method for making the same
US20210005728A1 (en) * 2019-07-02 2021-01-07 National Taiwan Normal University Storage memory device
US11069676B2 (en) * 2019-09-27 2021-07-20 Nanya Technology Corporation Semiconductor device and method for fabricating the same
US11374109B2 (en) * 2019-10-31 2022-06-28 United Microelectronics Corp. Method for fabricating gate structures
US11508753B2 (en) * 2020-02-24 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded ferroelectric FinFET memory device
KR20210143046A (ko) * 2020-05-19 2021-11-26 삼성전자주식회사 산화물 반도체 트랜지스터
JP2022077593A (ja) * 2020-11-12 2022-05-24 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11508755B2 (en) * 2021-02-25 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked ferroelectric structure
US11527649B1 (en) * 2021-08-30 2022-12-13 Taiwan Semiconductor Manufacturing Company Limited Ferroelectric field effect transistor devices and methods for forming the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130270619A1 (en) 2012-04-12 2013-10-17 Globalfoundries Inc. Semiconductor device comprising ferroelectric elements and fast high-k metal gate transistors

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110782A (en) 1998-11-19 2000-08-29 Taiwan Semiconductor Manufacturing Company Method to combine high voltage device and salicide process
CN1170320C (zh) 2000-03-13 2004-10-06 松下电器产业株式会社 半导体装置及其制造方法
US20090267130A1 (en) * 2008-04-28 2009-10-29 International Business Machines Corporation Structure and process integration for flash storage element and dual conductor complementary mosfets
DE102009021486B4 (de) * 2009-05-15 2013-07-04 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Feldeffekttransistor-Herstellung
KR101675319B1 (ko) * 2010-10-04 2016-11-14 삼성전자주식회사 반도체 소자의 제조 방법
FR2965661A1 (fr) * 2010-10-04 2012-04-06 St Microelectronics Crolles 2 Procédé de fabrication de transistors mos a différents types d'empilements de grilles
US9093559B2 (en) * 2012-03-09 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of hybrid high-k/metal-gate stack fabrication
DE102013204614B4 (de) * 2013-03-15 2016-03-24 Globalfoundries Inc. Verfahren zum Bilden von einer Gateelektrode einer Halbleitervorrichtung
US9368605B2 (en) * 2013-08-28 2016-06-14 Globalfoundries Inc. Semiconductor structure including a split gate nonvolatile memory cell and a high voltage transistor, and method for the formation thereof
US9349823B2 (en) * 2013-11-14 2016-05-24 GlobalFoundries, Inc. Methods of scaling thickness of a gate dielectric structure, methods of forming an integrated circuit, and integrated circuits

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130270619A1 (en) 2012-04-12 2013-10-17 Globalfoundries Inc. Semiconductor device comprising ferroelectric elements and fast high-k metal gate transistors

Also Published As

Publication number Publication date
US20160035856A1 (en) 2016-02-04
US20160163821A1 (en) 2016-06-09
US9293556B2 (en) 2016-03-22
DE102015210492B4 (de) 2021-09-16
US9536992B2 (en) 2017-01-03

Similar Documents

Publication Publication Date Title
DE102015210492B4 (de) Halbleiterstruktur, die einen ferroelektrischen Transistor umfasst, und Verfahren zu ihrer Herstellung
DE102015213498B4 (de) Verfahren zur Herstellung einer Halbleiterstruktur, die ein ferroelektrisches Material enthält und Halbleiterstruktur, die einen ferroelektrischen Transistor umfasst
DE102015216902B4 (de) Verfahren, das einen Austausch einer Dummy-Gate-Struktur durch eine Gate-Struktur, die ein ferroelektrisches Material enthält, umfasst und zugehörige Halbleiterstruktur
DE102015213529B4 (de) Vorrichtung mit einer Floating-Gate-Elektrode und einer Schicht aus ferroelektrischem Material und Verfahren zu ihrer Herstellung
DE102014221371B4 (de) Verfahren zum Bilden eines Halbleiterschaltungselements und Halbleiterschaltungselement
DE102007046849B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE102007041207B4 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102012209512B4 (de) Metall-Gate-Stapelbildung in Austausch-Gate-Technologie
DE102013214436B4 (de) Verfahren zum Bilden einer Halbleiterstruktur, die silizidierte und nicht silizidierte Schaltkreiselemente umfasst
DE102009031110B4 (de) Verbesserte Deckschichtintegrität in einem Gatestapel durch Verwenden einer Hartmaske für die Abstandshalterstrukturierung
DE102009047306B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
DE102009015715B4 (de) Verfahren zur Herstellung eines Transistorbauelements mit Bewahren der Integrität eines Gatestapel mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstands einer verformungsinduzierenden Halbleiterlegierung verwendet wird, und Transistorbauelement
DE102009055435B4 (de) Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
DE102009046250B4 (de) Verfahren zur Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE102009039521B4 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
DE102012205977A1 (de) Halbleiterbauelement mit ferroelektrischen Elementen und schnellen Transistoren mit Metallgates mit großem Epsilon
DE102009023376A1 (de) Einstellen der Austrittsarbeit in Metallgateelektrodenstrukturen mit großem ε durch selektives Entfernen einer Barrierenschicht
DE102009043628B4 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren durch Ausführen eines Polierprozesses auf der Grundlage eines Opferfüllmaterials
DE102009046245A1 (de) Metallgateelektrodenstrukturen mit großem ε, die durch eine separate Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart hergestellt sind
DE102011090163A1 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind
DE102011004320B4 (de) Verfahren zur Herstellung komplementärer Transistoren mit Metallgateelektrodenstrukturen mit großem ε und epitaktisch hergestellten Halbleitermaterialien in den Drain- und Sourcebereichen
DE102010040058A1 (de) Polysiliziumwiderstände, die in einem Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε hergestellt sind
DE102008059648B4 (de) Gateelektrodenstruktur mit großem ε, die nach der Transistorherstellung unter Anwendung eines Abstandshalters gebildet wird
DE102010028465A1 (de) Halbleiterbauelement mit Metallgate und Halbleiterwiderständen, die auf der Grundlage eines Austauschgateverfahrens hergestellt sind
DE102012215988A1 (de) CET und GATE-Leckstromverringerung in Metall-GATE-Elektrodenstrukturen mit grossem ε durch Wärmebehandlung und nach Entfernung der Diffusionsschicht

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R018 Grant decision by examination section/examining division
R020 Patent grant now final