DE102015216902B4 - Verfahren, das einen Austausch einer Dummy-Gate-Struktur durch eine Gate-Struktur, die ein ferroelektrisches Material enthält, umfasst und zugehörige Halbleiterstruktur - Google Patents

Verfahren, das einen Austausch einer Dummy-Gate-Struktur durch eine Gate-Struktur, die ein ferroelektrisches Material enthält, umfasst und zugehörige Halbleiterstruktur Download PDF

Info

Publication number
DE102015216902B4
DE102015216902B4 DE102015216902.2A DE102015216902A DE102015216902B4 DE 102015216902 B4 DE102015216902 B4 DE 102015216902B4 DE 102015216902 A DE102015216902 A DE 102015216902A DE 102015216902 B4 DE102015216902 B4 DE 102015216902B4
Authority
DE
Germany
Prior art keywords
transistor
gate structure
substrate
ferroelectric
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102015216902.2A
Other languages
English (en)
Other versions
DE102015216902A1 (de
Inventor
Maciej Wiatr
Stefan Flachowsky
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102015216902A1 publication Critical patent/DE102015216902A1/de
Application granted granted Critical
Publication of DE102015216902B4 publication Critical patent/DE102015216902B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Ein Verfahren, das umfasst: Bereitstellen eines Substrats (101), das ein Halbleitermaterial enthält; Vertiefen eines ersten Bereichs (102) des Substrats (101) relativ zu einem zweiten Bereich (103) des Substrats (101); Bilden eines aktiven Gebiets (204) eines ersten Transistors (620, 720) in dem vertieften ersten Bereich (102) des Substrats (101); Bilden eines aktiven Gebiets (205) eines zweiten Transistors (621, 721) in dem zweiten Bereich (103) des Substrats (101); Bilden einer ersten Dummy-Gate-Struktur (320) über dem aktiven Gebiet (204) des ersten Transistors (620, 720); Bilden einer zweiten Dummy-Gate-Struktur (321) über dem aktiven Gebiet (205) des zweiten Transistors (621, 721); Austauschen von zumindest einem Teil der ersten Dummy-Gate-Struktur (320) durch zumindest einen Teil einer Gate-Struktur (601, 701) des ersten Transistors (620); und Austauschen von zumindest einem Teil der zweiten Dummy-Gate-Struktur (321) durch zumindest einen Teil einer Gate-Struktur (501) des zweiten Transistors (621, 721); wobei die Gate-Struktur (601, 701) des ersten Transistors (620, 720) ein ferroelektrisches Material enthält und die Gate-Struktur (501) des zweiten Transistors (621, 721) kein ferroelektrisches Material enthält.

Description

  • Die Erfindung bezieht sich allgemein auf integrierte Schaltkreise und insbesondere auf integrierte Schaltkreise, die sowohl Transistoren mit einem ferroelektrischen Dielektrikum als auch andere Transistoren umfassen.
  • US 2011/0 081 774 A1 beschreibt ein Verfahren, das umfasst: Bereitstellen eines Substrats, das ein Halbleitermaterial enthält; Bilden eines aktiven Gebiets eines ersten Transistors in dem ersten Bereich des Substrats; Bilden eines aktiven Gebiets eines zweiten Transistors in dem zweiten Bereich des Substrats; Bilden einer ersten Dummy-Gate-Struktur über dem aktiven Gebiet des ersten Transistors; Bilden einer zweiten Dummy-Gate-Struktur über dem aktiven Gebiet des zweiten Transistors; Austauschen von zumindest einem Teil der ersten Dummy-Gate-Struktur durch einen Teil einer Gatestruktur des ersten Transistors; und Austauschen von zumindest einem Teil der zweiten Dummy-Gate-Struktur durch einen Teil einer Gatestruktur des zweiten Transistors.
  • US 2013/0 334 690 A1 beschreibt ein Verfahren, das umfasst: Bilden einer ersten Dummy-Gate-Struktur über dem aktiven Gebiet eines ersten Transistors; Bilden einer zweiten Dummy-Gate-Struktur über dem aktiven Gebiet eines zweiten Transistors; Austauschen von zumindest einem Teil der ersten Dummy-Gate-Struktur durch einen Teil einer Gatestruktur des ersten Transistors; und Austauschen von zumindest einem Teil der zweiten Dummy-Gate-Struktur durch einen Teil einer Gatestruktur des zweiten Transistors.
  • US 2013/0 270 619 A1 zeigt eine Halbleiterstruktur, umfassend: ein Halbleitersubstrat; einen ersten Transistor, der ein aktives Gebiet und eine Gatestruktur, die über dem aktiven Gebiet angeordnet ist, umfasst, wobei die Gatestruktur des ersten Transistors ein ferroelektrisches Material und eine Steuergateelektrode 164, die sich über dem ferroelektrischen Material befindet, umfasst; und einen zweiten Transistor, der ein aktives Gebiet und eine Gatestruktur, die über dem aktiven Gebiet angeordnet ist, umfasst, wobei die Gatestruktur des zweiten Transistors kein ferroelektrisches Material enthält, und wobei sich das aktive Gebiet des ersten Transistors in einem ersten Bereich des Substrats befindet und sich das aktive Gebiet des zweiten Transistors in einem zweiten Bereich des Substrats befindet.
  • Integrierte Schaltkreise umfassen üblicherweise eine große Anzahl von Schaltkreiselementen, die insbesondere Feldeffekttransistoren umfassen. Die Schaltkreiselemente in einem integrierten Schaltkreis können mit Hilfe elektrisch leitfähiger Metallleitungen, die in einem Zwischenschichtdielektrikumsmaterial gebildet sind, elektrisch verbunden sein. Die elektrisch leitfähigen Metallleitungen können sich in mehreren Verbindungsebenen befinden, die oberhalb eines Substrats, in und auf dem Feldeffekttransistoren und andere Schaltkreiselemente wie beispielsweise Kondensatoren, Dioden und Widerstände gebildet sind, übereinandergestapelt sind. Metallleitungen in verschiedenen Verbindungsebenen können mit Hilfe von Kontaktöffnungen, die mit einem Metall gefüllt sind, elektrisch miteinander verbunden sein.
  • Integrierte Schaltkreise können einen nichtflüchtigen Speicher umfassen. In manchen Arten von nichtflüchtigem Speicher können sogenannte ferroelektrische Transistoren (FeFETs) verwendet werden. Ferroelektrische Transistoren können eine Gate-Struktur umfassen, die oberhalb eines Kanalgebiets gebildet ist, das sich zwischen einem Source-Gebiet und einem Drain-Gebiet befindet, wobei die Gate-Struktur ein ferroelektrisches Material umfasst.
  • In manchen Arten von ferroelektrischen Transistoren kann sich in der Gate-Struktur eine Gate-Elektrode über dem ferroelektrischen Material befinden, wobei sich zwischen dem Kanalgebiet und dem ferroelektrischen Material keine elektrisch leitfähigen Materialien befinden.
  • In anderen Arten von ferroelektrischen Transistoren kann sich zwischen dem ferroelektrischen Material und dem Kanalgebiet eine elektrisch leitfähige Floating-Gate-Elektrode befinden und eine weitere Gate-Elektrode, die elektrisch mit anderen Schaltkreiselementen verbunden ist, kann sich über dem ferroelektrischen Material befinden. Ein ferroelektrisches Speicherelement, in dem Metallelektroden als ein Floating-Gate und als eine untere Elektrode dienen, wird im US-Patent US 5 877 977 A beschrieben.
  • Eine elektrische Leitfähigkeit des Kanalgebiets eines ferroelektrischen Transistors kann durch ein elektrisches Feld gesteuert werden, das auf das Kanalgebiet wirkt. Zusätzlich zu einem elektrischen Feld, das durch Anlegen einer Gate-Spannung an die Gate-Elektrode des ferroelektrischen Transistors erzeugt wird, kann auch ein elektrisches Feld, das durch eine ferroelektrische Polarisation des ferroelektrischen Materials in der Gate-Struktur verursacht wird, auf das Kanalgebiet des ferroelektrischen Transistors wirken.
  • Abhängig von der Richtung der ferroelektrischen Polarisation des ferroelektrischen Materials kann das elektrische Feld, das durch die ferroelektrische Polarisation des ferroelektrischen Materials erzeugt wird, im Wesentlichen die gleiche Richtung haben wie das elektrische Feld, das durch das Anlegen der Gate-Spannung an die Gate-Elektrode erzeugt wird, oder das elektrische Feld, das durch die ferroelektrische Polarisation des ferroelektrischen Materials verursacht wird und das elektrische Feld, das durch das Anlegen der Gate-Spannung an die Gate Elektrode erzeugt wird, können zueinander im Wesentlichen entgegengesetzte Richtungen haben.
  • Wenn beide elektrische Felder im Wesentlichen die gleiche Richtung haben, kann sich eine Schwell-Spannung, die an die Gate-Elektrode angelegt werden muss, um den ferroelektrischen Transistor in den elektrisch leitfähigen Zustand zu schalten (bei ferroelektrischen Transistoren, die N-Kanal-Transistoren sind, wird der Transistor durch Anlegen einer positiven Gate-Spannung in den elektrisch leitfähigen Zustand geschaltet) verringern und die elektrische Leitfähigkeit des Kanalgebiets, die man erhält, wenn eine bestimmte Gate-Spannung, die größer als die Schwell-Spannung ist, angelegt wird, kann sich erhöhen. Wenn beide elektrische Felder entgegengesetzte Richtungen haben, kann sich die Schwell-Spannung des ferroelektrischen Transistors erhöhen und die elektrische Leitfähigkeit des Kanalgebiets, die man erhält, wenn eine bestimmte Gate-Spannung, die größer als die Schwell-Spannung ist, angelegt wird, kann sich verringern.
  • Die ferroelektrische Polarisation des ferroelektrischen Materials kann durch das Anlegen einer Programmierspannung zwischen der Gate-Elektrode und dem Kanalgebiet beeinflusst werden. Beispielsweise kann die Programmierspannung an die Gate-Elektrode angelegt werden und das Source-Gebiet, das Drain-Gebiet und wahlweise der Körper des ferroelektrischen Transistors können auf dem Massepotential gehalten werden. Abhängig von der gewünschten Richtung der ferroelektrischen Polarisation des ferroelektrischen Materials kann die Programmierspannung positiv oder negativ sein. Eine remanente Polarisation des ferroelektrischen Materials kann erhalten bleiben, selbst wenn die Programmierspannung nicht mehr angelegt wird. Deshalb kann ein Datenbit in dem ferroelektrischen Transistor gespeichert werden, wobei eine erste Polarisationsrichtung des ferroelektrischen Materials mit einer logischen 0 identifiziert werden kann und eine zweite Polarisationsrichtung des ferroelektrischen Materials mit einer logischen 1 identifiziert werden kann.
  • Zum Auslesen des gespeicherten Datenbits aus dem ferroelektrischen Transistor kann zwischen der Gate-Elektrode und dem Source-Gebiet des ferroelektrischen Transistors eine Gate-Spannung (die ungefähr gleich Null oder von Null verschieden sein kann) angelegt werden. Wenn die Gate-Spannung, die während des Auslesens des Datenbits angelegt wird, von Null verschieden ist, ist sie üblicherweise kleiner als die Programmierspannung, so dass sich die ferroelektrische Polarisation des ferroelektrischen Dielektrikums im Wesentlichen nicht verändert. Dann kann der elektrische Strom, der durch den ferroelektrischen Transistor fließt, gemessen werden, um die Richtung der ferroelektrischen Polarisation des ferroelektrischen Materials zu bestimmen.
  • Für manche Anwendungen kann es wünschenswert sein, ferroelektrische Transistoren und Feldeffekttransistoren anderer Arten auf dem gleichen Halbleitersubstrat zu bilden. Beispielsweise wird in der US-Patentveröffentlichung US 2013/0 270 619 A1 ein Verfahren angegeben, bei dem oberhalb eines ersten aktiven Gebiets und eines zweiten aktiven Gebiets eine dielektrische Schicht mit hoher Dielektrizitätskonstante derart gebildet wird, dass sie als eine ferroelektrische Schicht dient. Die dielektrische Schicht mit hoher Dielektrizitätskonstante wird von oberhalb des ersten aktiven Gebiets entfernt. Oberhalb des zweiten aktiven Gebiets bleibt die dielektrische Schicht mit hoher Dielektrizitätskonstante erhalten. Oberhalb des ersten aktiven Gebiets wird eine erste Elektrodenstruktur gebildet und oberhalb des zweiten aktiven Gebiets wird eine zweite Elektrodenstruktur gebildet.
  • Wenn ferroelektrische Transistoren und andere Transistoren wie beispielsweise Standard-CMOS-Vorrichtungen in der gleichen Halbleiterstruktur gebildet werden, kann es wünschenswert sein, eine Höhe der Gate-Strukturen der ferroelektrischen Transistoren bereitzustellen, die größer als eine Höhe der Gate-Strukturen anderer Transistoren ist. Diese unterschiedlichen Höhen der Gate-Strukturen können bei der Herstellung der Halbleiterstruktur Probleme verursachen.
  • Eine Aufgabe der Erfindung ist, Verfahren zur Herstellung von Halbleiterstrukturen sowie Halbleiterstrukturen bereitzustellen, mit denen solche Probleme zumindest teilweise überwunden werden.
  • Erfindungsgemäß wird die Aufgabe durch ein Verfahren gemäß Anspruch 1 und eine Halbleiterstruktur gemäß Anspruch 13 gelöst. Ausführungsformen der Erfindung können die in den abhängigen Ansprüchen angegebenen Merkmale umfassen.
  • Im Folgenden werden Ausführungsformen der Erfindung mit Bezug auf die beigefügten Zeichnungen beschrieben, in denen gleiche Bezugszeichen gleiche Elemente bezeichnen, und in denen:
  • 16 schematische Querschnittsansichten einer Halbleiterstruktur gemäß einer Ausführungsform in Stadien eines Herstellungsverfahrens gemäß einer Ausführungsform zeigen; und
  • 7 eine schematische Querschnittsansicht einer Halbleiterstruktur gemäß einer Ausführungsform in einem Stadium eines Herstellungsverfahrens gemäß einer Ausführungsform zeigt.
  • Ein erfindungsgemäßes Verfahren, das hierin angegeben wird, umfasst ein Bereitstellen eines Substrats, das ein Halbleitermaterial umfasst. Ein erster Bereich des Substrats wird relativ zu einem zweiten Bereich des Substrats vertieft. In dem vertieften ersten Gebiet des Substrats wird ein aktives Gebiet eines ersten Transistors gebildet. In dem zweiten Bereich des Substrats wird ein aktives Gebiet eines zweiten Transistors gebildet. Über dem aktiven Gebiet des ersten Transistors wird eine erste Dummy-Gate-Struktur gebildet. Über dem aktiven Gebiet des zweiten Transistors wird eine zweite Dummy-Gate-Struktur gebildet. Zumindest ein Teil der ersten Dummy-Gate-Struktur wird durch zumindest einen Teil einer Gate-Struktur des ersten Transistors ausgetauscht. Zumindest ein Teil der zweiten Dummy-Gate-Struktur wird durch zumindest einen Teil einer Gate-Struktur des zweiten Transistors ausgetauscht. Die Gate-Struktur des ersten Transistors umfasst ein ferroelektrisches Material und die Gate-Struktur des zweiten Transistors umfasst kein ferroelektrisches Material.
  • Eine erfindungsgemäße Halbleiterstruktur, die hierin angegeben wird, umfasst ein Halbleitersubstrat, einen ersten Transistor und einen zweiten Transistor. Der erste Transistor umfasst ein aktives Gebiet und eine Gate-Struktur, die über dem aktiven Gebiet angeordnet ist. Die Gate-Struktur des ersten Transistors umfasst eine Floating-Gate-Elektrode, ein ferroelektrisches Material, das sich über der Floating-Gate-Elektrode befindet und eine Steuer-Gate-Elektrode, die sich über dem ferroelektrischen Material befindet. Der zweite Transistor umfasst ein aktives Gebiet und eine Gate-Struktur, die über dem aktiven Gebiet angeordnet ist. Die Gate-Struktur des zweiten Transistors enthält kein ferroelektrisches Material. Das aktive Gebiet des ersten Transistors befindet sich in einem ersten Bereich des Substrats. Das aktive Gebiet des zweiten Transistors befindet sich in einem zweiten Bereich des Substrats. Der erste Bereich ist relativ zu dem zweiten Bereich vertieft.
  • Ausführungsformen, die hierin angegeben werden, beziehen sich auf ferroelektrische Transistoren, die beispielsweise in Speicherzellen mit ferroelektrischen Transistoren verwendet werden können. Eine remanente ferroelektrische Polarisation eines ferroelektrischen Materials, das sich in einer Gate-Elektrode des ferroelektrischen Transistors befindet, kann direkt die Ladungen in dem Kanal des ferroelektrischen Transistors beeinflussen und zu einer definierten Verschiebung der Ausgangscharakteristika des Transistors führen.
  • Ferroelektrische Transistoren, die hierin angegeben werden, können ferroelektrische Materialien auf Grundlage von HfO2 umfassen, die in übliche Verfahrensabläufe der High-k-Metal-Gate-Technik (HKMG-Technik) integriert werden können. Zwischen dem HfO2 und dem Silizium kann sich eine Pufferschicht, die Siliziumdioxid enthält, befinden.
  • Um Schwierigkeiten bei der Integration in eingebettete Verfahrensabläufe, bei denen ferroelektrische Transistoren und gewöhnliche komplementäre Metall-Oxid-Halbleitertransistoren (CMOS-Transistoren) gleichzeitig implementiert werden, und die durch unterschiedliche Höhen der Gate-Stapel in den ferroelektrischen Transistoren und den gewöhnlichen CMOS-Transistoren verursacht werden, und die insbesondere bei chemisch-mechanischen Polierverfahren auftreten können, die bei Gate-Last-Verfahrensabläufen durchgeführt werden, zu überwinden, können Verfahren und Vorrichtungen gemäß den hierin angegebenen Ausführungsformen verwendet werden.
  • In manchen Ausführungsformen wird ein vertiefter ferroelektrischer Feldeffekttransistor gebildet, bei dem die Siliziumoberfläche des Substrats in einem frühen Stadium des Verfahrensablaufs lokal zurückgeätzt wird, so dass sich das obere Ende des dickeren ferroelektrischen Gate-Stapels auf der gleichen Höhe wie das gewöhnliche CMOS-Gate befindet. Dadurch können konventionelle chemisch-mechanische Polierverfahren verwendet werden, und Unterschiede der Topografie können vermieden werden. In manchen Ausführungsformen kann dieses Konzept bei Ausgestaltungen von ferroelektrischen Transistoren mit einem Floating-Gate angewendet werden, bei denen der Höhenunterschied der Gate-Stapel ausgeprägter sein kann als bei ferroelektrischen Transistoren ohne eine Floating-Gate-Elektrode.
  • 1 zeigt eine schematische Querschnittsansicht einer Halbleiterstruktur 100 gemäß einer Ausführungsform in einem Stadium eines Herstellungsverfahrens gemäß einer Ausführungsform. Die Halbleiterstruktur 100 umfasst ein Halbleitersubstrat 101. Das Substrat 101 kann ein Halbleitermaterial wie beispielsweise Silizium umfassen. In manchen Ausführungsformen kann das Substrat 101 ein Siliziumwafer oder ein Siliziumplättchen mit einer Dickenrichtung sein, wobei eine Ausdehnung des Substrats 101 in der Dickenrichtung kleiner ist als jede Ausdehnung des Substrats 101 in jeder anderen Richtung als der Dickenrichtung. In der Ansicht der 1 ist die Dickenrichtung des Substrats 101 vertikal. Eine Hauptfläche des Substrats 101, an der Schaltkreiselemente der Halbleiterstruktur 100 gebildet werden, kann zu der Dickenrichtung im Wesentlichen senkrecht sein (horizontal in der Ansicht der 1).
  • Das Substrat 101 umfasst einen ersten Bereich 102 und einen zweiten Bereich 103. Wie im Folgenden genauer ausgeführt wird, wird in dem Bereich 102 des Substrats 101 ein ferroelektrischer Transistor mit einer Gate-Struktur, die ein ferroelektrisches Material enthält, gebildet. In dem Bereich 103 wird ein Transistor 621, der ein anderer Transistor als ein ferroelektrischer Transistor ist, beispielsweise ein Transistor eines komplementären Metall-Oxid-Halbleiter-Schaltkreises (CMOS-Schaltkreises) gebildet (siehe 6). Der Transistor 621, der in dem Bereich 103 gebildet wird, kann beispielsweise ein Logik-Transistor sein, der Teil eines Logik-Schaltkreises der Halbleiterstruktur 100 ist, oder ein Eingabe/Ausgabe-Transistor, der Teil eines Eingabe/Ausgabe-Schaltkreises der Halbleiterstruktur 100 ist. Die Gate-Struktur des Transistors 621, der in dem Bereich 103 gebildet wird, muss kein ferroelektrisches Material enthalten.
  • In manchen Ausführungsformen kann der Bereich 102 des Substrats 101 ein Bereich einer Speicherzelle eines nichtflüchtigen Speichers, in dem ferroelektrische Transistoren zum Speichern von Datenbits verwendet werden, sein. Insbesondere kann in dem Bereich 102 des Substrats 101 ein ferroelektrischer Transistor 620 (siehe 6) gebildet werden. Der ferroelektrische Transistor 620 kann zum Speichern von einem Datenbit verwendet werden, das durch einen Wert einer remanenten Polarisation des ferroelektrischen Materials in der Gate-Struktur des Transistors 620 dargestellt wird.
  • Die Halbleiterstruktur 100 umfasst außerdem eine Grabenisolationsstruktur 104. Die Grabenisolationsstruktur 104 stellt eine elektrische Isolation zwischen den Bereichen 102, 103 des Substrats 101 sowie zwischen den Bereichen 102, 103 und anderen Bereichen des Substrats 101, in denen weitere Schaltkreiselemente (nicht gezeigt) gebildet werden, bereit. Die Grabenisolationsstruktur 104 kann mit Hilfe bekannter Techniken für die Herstellung von Flachgrabenisolationsstrukturen gebildet werden, die eine Fotolithografie, ein Ätzen, eine Oxidation, eine Abscheidung und/oder ein Polieren umfassen können.
  • Über dem Substrat 101 kann eine Maske 105 gebildet werden. Die Maske 105 kann den Bereich 103 des Substrats 101, aber nicht den Bereich 102 bedecken, so dass in dem Bereich 102 das Halbleitermaterial des Substrats 101 freiliegt. Außerdem können, wie in 1 gezeigt, Teile der Grabenisolationsstruktur 104 neben dem Bereich 102 des Substrats 101 freiliegen. Die Maske 105 kann eine Fotomaske sein, und sie kann mit Hilfe bekannter Techniken der Fotolithografie gebildet werden.
  • Nach dem Bilden der Maske 105 kann ein Ätzverfahren durchgeführt werden, das in 1 schematisch durch Pfeile 106 dargestellt ist. Das Ätzverfahren 106 kann zum Entfernen des Materials des Substrats 102 ausgelegt sein. In manchen Ausführungsformen kann das Ätzverfahren 106 ein reaktives Ionenätzverfahren sein, das zum Entfernen von Silizium ausgelegt ist. Optional kann das reaktive Ionenätzverfahren dafür ausgelegt sein, auch ein Material der Grabenisolationsstruktur 104, das in manchen Ausführungsformen Siliziumdioxid enthalten kann, zu entfernen.
  • 2 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 100 in einem späteren Stadium des Herstellungsverfahrens. Durch das Entfernen von Material des Substrats 101 in dem Bereich 102, der nicht von der Maske 105 bedeckt ist, kann der Bereich 102 des Substrats 101 relativ zu dem Bereich 103 vertieft sein. Insbesondere kann nach dem Ätzverfahren 106 eine Oberfläche des Bereichs 102 ungefähr in einer Ebene 202 liegen, während eine Oberfläche des Bereichs 103 ungefähr in einer Ebene 203 liegen kann. Die Ebene 203 kann im Wesentlichen einer Ebene der ursprünglichen Oberfläche des Substrats 101 entsprechen. Wegen des Entfernens von Material aus dem Bereich 102 kann sich die Ebene 202 unterhalb der Ebene 203 befinden und im Wesentlichen parallel zu der Ebene 203 sein, wobei ein Abstand zwischen den Ebenen 202, 203 einer Tiefe 201 entspricht, um die der aktive Bereich 102 relativ zu dem Bereich 103 vertieft wurde.
  • In Ausführungsformen, in denen die Maske 105 Teile der Grabenisolationsstruktur 104 neben der Bereich 102 des Substrats 101 nicht vollständig bedeckt, und in denen das Ätzverfahren 106 dafür geeignet ist, das Material der Grabenisolationsstruktur 104 zu entfernen, können bei dem Ätzverfahren 106 auch Teile der Grabenisolationsstruktur 104 neben dem Bereich 102 des Substrats 101 vertieft werden, wie in 2 schematisch dargestellt. Je nach dem, ob die Ätzrate des Materials der Grabenisolationsstruktur 104 ungefähr gleich groß, größer oder kleiner als die Ätzrate des Materials des Substrats 101 ist, können die Teile der Grabenisolationsstruktur 104 neben dem Bereich 102 des Substrats 101 bis zu einer Tiefe, die ungefähr gleich der Tiefe 201, bis zu der der Bereich 102 des Substrats 101 relativ zu dem Bereich 103 vertieft wird, ist, oder bis zu einer größeren oder kleineren Tiefe vertieft werden.
  • Nach dem Ätzverfahren 106 können ein oder mehr Ionenimplantationsverfahren durchgeführt werden, wie in 2 schematisch durch Pfeile 206 dargestellt. Bei den ein oder mehr Ionenimplantationsverfahren können Ionen von ein oder mehr Dotierstoffen in die Bereiche 102, 103 des Substrats 101 eingebracht werden, so dass in dem Bereich 102 ein dotiertes aktives Gebiet 204 gebildet wird und in dem Bereich 103 ein dotiertes aktives Gebiet 205 gebildet wird.
  • In manchen Ausführungsformen können die aktiven Gebiete 204, 205 unterschiedlich dotiert werden. In solchen Ausführungsformen kann einer der Bereiche 102, 103 des Substrats 101 mit einer Maske, beispielsweise mit einer Fotomaske, abgedeckt werden, während Ionen in den anderen der Bereiche 102, 103 implantiert werden.
  • In anderen Ausführungsformen können die ein oder mehr Ionenimplantationsverfahren 206 weggelassen werden. In solchen Ausführungsformen können in den Bereichen 102, 103 des Substrats 101 aktive Gebiete 204, 205 bereitgestellt werden, die in dem in 2 dargestellten Stadium des Herstellungsverfahrens im Wesentlichen undotiert sind, wobei die ursprüngliche Dotierung der aktiven Gebiete 204, 205 im Wesentlichen der Grunddotierung des Substrats 101 entspricht.
  • 3 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 100 in einem späteren Stadium des Herstellungsverfahrens. Über dem aktiven Gebiet 204 kann eine erste Dummy-Gate-Struktur 320 gebildet werden und über dem aktiven Gebiet 205 kann eine zweite Dummy-Gate-Struktur 321 gebildet werden. Die Dummy-Gate-Struktur 320 kann eine Dummy-Gate-Isolierschicht 301 und eine Dummy-Gate-Elektrode 303 umfassen. Die Dummy-Gate-Struktur 321 kann eine Dummy-Gate-Isolierschicht 302 und eine Dummy-Gate-Elektrode 304 umfassen. In manchen Ausführungsformen können die Dummy-Gate-Strukturen 320, 321 außer den Dummy-Gate-Isolierschichten 301, 302 und den Dummy-Gate-Elektroden 303, 304 andere Komponenten umfassen. Beispielsweise können die Dummy-Gate-Strukturen 320, 321 in manchen Ausführungsformen Deckschichten umfassen, die sich über den Dummy-Gate-Elektroden 303, 304 befinden.
  • Zum Bilden der Dummy-Gate-Strukturen 320, 321 kann über der Halbleiterstruktur 100 ein Dummy-Gate-Stapel abgeschieden werden. Der Dummy-Gate-Stapel kann eine Schicht aus einem Material der Dummy-Gate-Isolierschichten 301, 302 umfassen, beispielsweise eine Siliziumdioxidschicht, die sich auf Oberflächen der Bereiche 102, 103 des Substrats 101 befindet. Zusätzlich kann der Dummy-Gate-Stapel eine Schicht aus einem Material der Dummy-Gate-Elektroden 303, 304 umfassen, beispielsweise eine Schicht aus Polysilizium oder eine Schicht aus amorphem Silizium. In Ausführungsformen, in denen die Dummy-Gate-Strukturen 320, 321 andere Komponenten als die Dummy-Gate-Isolierschichten 301, 302 und die Dummy-Gate-Elektroden 303, 304 umfassen, kann der Dummy-Gate-Stapel auch Schichten aus den Materialien dieser Komponenten umfassen. Beispielsweise kann der Dummy-Gate-Stapel in Ausführungsformen, in denen die Dummy-Gate-Strukturen 320, 321 Deckschichten umfassen, eine Schicht aus einem Material der Deckschichten, beispielsweise aus Siliziumnitrid, umfassen. Zum Abscheiden der Schichten des Dummy-Gate-Stapels können bekannte Abscheidungsverfahren wie beispielsweise die chemische Dampfabscheidung und/oder die plasmaverstärkte chemische Dampfabscheidung verwendet werden.
  • In manchen Ausführungsformen kann das Bilden des Dummy-Gate-Stapels ein Polierverfahren wie beispielsweise ein chemisch-mechanisches Polierverfahren umfassen. In manchen Ausführungsformen kann nach dem Abschluss der Abscheidung der Schichten aus den Materialien des Dummy-Gate-Stapels ein Polierverfahren durchgeführt werden. Dadurch kann eine Topografie des Dummy-Gate-Stapels, die durch die Vertiefung des Bereichs 102 des Substrats 101 relativ zu dem Bereich 103 verursacht werden kann, verringert werden, so dass Probleme, die bei der Strukturierung des Dummy-Gate-Stapels mit Hilfe der Fotolithografie und des Ätzens durch die begrenzte Schärfentiefe verursacht werden, verringert werden können.
  • In Ausführungsformen, in denen die Dummy-Gate-Strukturen 320, 321 Deckschichten umfassen, kann nach der Abscheidung der Schicht aus dem Material der Dummy-Gate-Elektroden 303, 304 und vor der Abscheidung der Schicht aus dem Material der Deckschicht ein Polierverfahren durchgeführt werden. Dadurch kann man eine im Wesentlichen gleiche Dicke der Deckschichten in jeder der Dummy-Gate-Strukturen 320, 321 erhalten. In andern Ausführungsformen kann das Polierverfahren weggelassen werden.
  • Nach dem Bilden des Dummy-Gate-Stapels kann der Dummy-Gate-Stapel mit Hilfe von Verfahren der Fotolithografie und des Ätzens strukturiert werden, um die Dummy-Gate-Strukturen 320, 321 zu bilden.
  • Nach dem Bilden der Dummy-Gate-Strukturen 320, 321 können neben der Dummy-Gate-Struktur 320 bzw. neben der Dummy-Gate-Struktur 321 Seitenwandabstandshalter 305 und 306 gebildet werden. Zusätzlich können in den aktiven Gebieten 204, 205 in den Bereichen 102, 103 des Substrats 101 Source-Gebiete 308, 311 und Drain-Gebiete 310, 313 gebildet werden.
  • Zum Bilden der Seitenwandabstandshalter 305, 306 kann über der Halbleiterstruktur 100 eine Schicht aus einem Seitenwandabstandshaltermaterial wie beispielsweise Siliziumnitrid im Wesentlichen isotrop abgeschieden werden. Zu diesem Zweck können bekannte Abscheidungstechniken wie beispielsweise die chemische Dampfabscheidung oder die plasmaverstärkte chemische Dampfabscheidung verwendet werden. Danach kann die Schicht aus dem Seitenwandabstandshaltermaterial anisotrop geätzt werden, so dass Teile der Schicht aus dem Seitenwandabstandshaltermaterial über im Wesentlichen horizontalen Teilen der Halbleiterstruktur 100 entfernt werden. Wegen der Anisotropie des Ätzverfahrens können Teile der Schicht aus dem Seitenwandabstandshaltermaterial auf den Seitenwänden der Dummy-Gate-Strukturen 320, 321 in der Halbleiterstruktur 100 verbleiben und die Seitenwandabstandshalter 305, 306 bilden. Zusätzlich können Reste 307 der Schicht aus dem Seitenwandabstandshaltermaterial an den geneigten Rändern des vertieften Bereichs 102 des Substrats 101 in der Halbleiterstruktur 100 verbleiben. Das Entfernen von Teilen der Grabenisolationsstruktur 104 bei dem Ätzverfahren 106, das oben mit Bezug auf die 1 und 2 beschrieben wurde, kann dabei helfen, sicherzustellen, dass diese Reste 307 der Schicht aus dem Seitenwandabstandshaltermaterial nicht über dem Halbleitermaterial des aktiven Gebiets 204 gebildet werden.
  • Zum Bilden der Source-Gebiete 308, 311 und der Drain-Gebiete 310, 313 können Implantationsverfahren durchgeführt werden, bei denen Ionen von ein oder mehr Dotierstoffen in die aktiven Gebiete 204, 205 eingebracht werden. Damit man neben den Dummy-Gate-Strukturen 320, 321 ein gewünschtes Dotierstoffprofil der Source-Gebiete 308, 311 und der Drain-Gebiete 311, 313 erhält, kann die Herstellung der Source-Gebiete 308, 311 und der Drain-Gebiete 310, 313 sowohl Implantationsverfahren, die vor dem Bilden der Seitenwandabstandshalter 305, 306 durchgeführt werden als auch Implantationsverfahren, die nach dem Bilden der Seitenwandabstandshalter 305, 306 durchgeführt werden, umfassen.
  • Damit man in den Bereichen 102, 103 verschiedene Dotierstoffprofile erhält und/oder um in die Bereiche 102, 103 verschiedene Dotierstoffe einzubringen, kann einer der Bereiche 102, 103 des Substrats 101 mit einer Maske bedeckt werden, während in den anderen der Bereiche 102, 103 Ionen implantiert werden.
  • Während der Implantationsverfahren sind Teile der aktiven Gebiete 204, 205 unterhalb der Dummy-Gate-Strukturen 320, 321 durch die Dummy-Gate-Strukturen 320, 321 vor einer Bestrahlung mit Dotierstoffionen geschützt, so dass unterhalb der Dummy-Gate-Strukturen 320, 321 Kanalgebiete 309, 312 bereitgestellt werden, die eine Dotierung haben, die im Wesentlichen der ursprünglichen Dotierung der aktiven Gebiete 204, 205 entspricht.
  • Dann können in den Source-Gebieten 308, 311 und den Drain-Gebieten 310, 313 Silizidgebiete 314, 315, 316, 317 gebildet werden. Zu diesem Zweck kann über der Halbleiterstruktur 100 eine Schicht aus einem Metall wie beispielsweise Nickel abgeschieden werden und es können ein oder mehr Wärmebehandlungsverfahren durchgeführt werden, um eine chemische Reaktion zwischen dem Metall und dem Halbleitermaterial in den Source-Gebieten 308, 311 und den Drain-Gebieten 310, 313 auszulösen. Reste des Metalls, die nicht reagiert haben, können mit Hilfe eines Ätzverfahrens entfernt werden.
  • Danach kann über der Halbleiterstruktur 100 ein Zwischenschichtdielektrikum 318 abgeschieden werden. Das Zwischenschichtdielektrikum 318 kann ein elektrisch nichtleitendes Material wie beispielsweise Siliziumdioxid umfassen. Das Zwischenschichtdielektrikum 318 kann mit Hilfe eines chemischen Dampfabscheidungsverfahrens oder eines plasmaverstärkten chemischen Dampfabscheidungsverfahrens abgeschieden werden. Dann kann ein Polierverfahren, beispielsweise ein chemisch-mechanisches Polierverfahren, durchgeführt werden, damit man eine im Wesentlichen flache Oberfläche der Halbleiterstruktur 100 erhält und um die Dummy-Gate-Strukturen 320, 321 freizulegen.
  • 4 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 100 in einem späteren Stadium des Herstellungsverfahrens. Die Dummy-Gate-Struktur 321 über dem aktiven Gebiet 205 kann entfernt werden, so dass an der Position der Dummy-Gate-Struktur 321 eine Vertiefung in der Halbleiterstruktur 100 gebildet wird. Zu diesem Zweck kann die Dummy-Gate-Struktur 320 über dem aktiven Gebiet 204 mit einer Maske, beispielsweise einer Fotolackmaske, abgedeckt werden, und es können ein oder mehr Ätzverfahren durchgeführt werden, die dafür ausgelegt sind, die Materialien der Dummy-Gate-Struktur 321 zu entfernen. Die ein oder mehr Ätzverfahren können bekannte Trockenätzverfahren oder Nassätzverfahren umfassen, wobei Merkmale der ein oder mehr Ätzverfahren denen von Ätzverfahren, die bei bekannten Austausch-Gate-Verfahren durchgeführt werden, entsprechen können.
  • Danach kann die Maske, die die Gate-Struktur 320 bedeckt, entfernt werden, beispielsweise mit Hilfe eines Fotolackstripverfahrens, und es kann eine Schicht 401 aus einem ersten Gate-Isoliermaterial, beispielsweise eine Siliziumdioxidschicht, abgeschieden werden. Danach kann eine Schicht 402 aus einem zweiten Gate-Isoliermaterial, beispielsweise aus einem Gateisoliermaterial mit hoher Dielektrizitätskonstante wie z. B. Hafniumdioxid abgeschieden werden. Die Abscheidung der Schichten 401, 402 aus Gate-Isoliermaterial kann mit Hilfe von Verfahren der chemischen Dampfabscheidung, der plasmaverstärkten chemischen Dampfabscheidung und/oder der Atomlagenabscheidung durchgeführt werden.
  • Danach kann eine Schicht 403 aus einem Austrittsarbeits-Anpassungsmetall abgeschieden werden. In Ausführungsformen, in denen an dem Bereich 103 des Substrats 101 ein P-Kanal-Transistor gebildet werden soll, kann die Schicht 403 aus dem Austrittsarbeits-Anpassungsmetall Aluminium und/oder Aluminiumnitrid enthalten. In Ausführungsformen, in denen an dem Bereich 103 des Substrats 101 ein N-Kanal-Transistor gebildet werden soll, kann die Schicht 403 aus dem Austrittsarbeits-Anpassungsmetall Lanthan, Lanthannitrid und/oder Titannitrid enthalten.
  • Danach kann eine Schicht 404 aus einem Gate-Elektrodenmaterial abgeschieden werden. Die Schicht 404 aus dem Gate-Elektrodenmaterial kann ein Metall wie beispielsweise Aluminium oder ein Halbleitermaterial wie beispielsweise Polysilizium oder amorphes Silizium enthalten.
  • 5 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 100 in einem späteren Stadium des Herstellungsverfahrens. Es kann ein chemisch-mechanisches Polierverfahren durchgeführt werden, um Teile der Schichten 401, 402 aus Gate-Isoliermaterial, der Schicht 403 aus dem Austrittsarbeits-Anpassungsmetall und der Schicht 404 aus dem Gate-Elektrodenmaterial außerhalb der Vertiefung der Halbleiterstruktur 100, die durch das Entfernen der Dummy-Gate-Struktur 321 gebildet wurde, zu entfernen. Teile der Schichten 401, 402, 403, 404 in der Vertiefung verbleiben in der Halbleiterstruktur 100 und bilden eine Gate-Struktur 501. Die Gate-Struktur 501 kann eine endgültige Gate-Struktur des Transistors 621 (6), der an dem Bereich 103 des Substrats 101 bereitgestellt wird, die eine Ausgestaltung mit einem Material mit hoher Dielektrizitätskonstante und einem Metall-Gate aufweist, sein, wobei das Material mit hoher Dielektrizitätskonstante durch die Schicht 402 aus dem Gate-Isoliermaterial bereitgestellt wird und das Metall durch die Schicht 403 aus dem Austrittsarbeits-Anpassungsmetall und/oder die Schicht 404 aus dem Gate-Elektrodenmaterial bereitgestellt wird.
  • Dann kann über der Halbleiterstruktur 100 eine Maske, beispielsweise eine Fotolackmaske, gebildet werden. Die Maske bedeckt die Gate-Struktur 501, aber sie bedeckt die Dummy-Gate-Struktur 320 über dem Bereich 102 des Substrats 101 nicht. Dann können ein oder mehr Ätzverfahren durchgeführt werden, um die Materialien der Dummy-Gate-Struktur 320 zu entfernen. Dadurch wird an der Position der Dummy-Gate-Struktur 320 eine Vertiefung in der Halbleiterstruktur 100 gebildet.
  • Dann kann über der Halbleiterstruktur 100 eine Basisschicht 502, die eine Siliziumdioxidschicht sein kann, gebildet werden, beispielsweise mit Hilfe eines chemischen Dampfabscheidungsverfahrens oder eines plasmaverstärkten chemischen Dampfabscheidungsverfahrens.
  • In manchen Ausführungsformen kann unter Verwendung bekannter Abscheidungstechniken wie beispielsweise der chemischen Dampfabscheidung, der plasmaverstärkten chemischen Dampfabscheidung und/oder der Atomlagenabscheidung eine relativ dünne Schicht 503 aus einem im Wesentlichen nicht ferroelektrischen Material mit hoher Dielektrizitatskonstante, beispielsweise aus im Wesentlichen nicht-ferroelektrischem Hafniumdioxid, abgeschieden werden. Parameter des Abscheidungsverfahrens können so angepasst sein, dass man eine im Wesentlichen nicht-ferroelektrische Kristallstruktur des abgeschiedenen Materials erhält. In anderen Ausführungsformen kann die Schicht 503 aus dem im Wesentlichen nicht-ferroelektrischen Material mit hoher Dielektrizitätskonstante weggelassen werden.
  • Danach kann über der Halbleiterstruktur 100 ein Dielektrikum 504 für einen ferroelektrischen Transistor abgeschieden werden. Das Dielektrikum 504 für den ferroelektrischen Transistor kann ein Material, das ferroelektrische Eigenschaften hat, sein, oder ein Material, das unmittelbar nach seiner Abscheidung im Wesentlichen nicht ferroelektrisch ist, aber nach weiteren Verarbeitungsschritten wie beispielsweise einem Wärmebehandlungsverfahren ferroelektrische Eigenschaften erhält, was unten genauer erläutert wird. Eine Dicke der Schicht aus dem Dielektrikum 504 für den ferroelektrischen Transistor kann größer als eine Dicke der Schicht 503 aus dem im Wesentlichen nicht ferroelektrischem Material mit hoher Dielektrizitätskonstante sein. In manchen Ausführungsformen kann das Dielektrikum 504 für den ferroelektrischen Transistor Hafniumdioxid, Zirkoniumdioxid und/oder Hafnium-Zirkoniumdioxid enthalten.
  • Das Dielektrikum 504 für den ferroelektrischen Transistor kann dotiert sein. Beispielsweise kann das Dielektrikum 504 für den ferroelektrischen Transistor in manchen Ausführungsformen Silizium-dotiertes Hafniumdioxid enthalten. Aluminium-dotiertes Hafniumdioxid, Strontium-dotiertes Hafniumdioxid, Yttrium-dotiertes Hafniumdioxid, Gadolinium-dotiertes Hafniumdioxid und/oder andere mit seltenen Erden dotierte Hafniumdioxidsysteme können ebenfalls verwendet werden. In weiteren Ausführungsformen kann das Dielektrikum 504 für den ferroelektrischen Transistor im Wesentlichen undotiertes Hafniumdioxid enthalten. In manchen Ausführungsformen kann das Abscheidungsverfahren, das zum Abscheiden des Dielektrikums 504 für den ferroelektrischen Transistor verwendet wird, so angepasst sein, dass das Material unmittelbar nach der Abscheidung im Wesentlichen amorph ist und keine ferroelektrischen Eigenschaften hat.
  • In Ausführungsformen, in denen das Dielektrikum 504 für den ferroelektrischen Transistor Silizium-dotiertes Hafniumdioxid enthält, kann zum Abscheiden des Dielektrikums 504 für den ferroelektrischen Transistor ein Atomlagenabscheidungsverfahren (ALD-Verfahren) durchgeführt werden. Bei dem Atomlagenabscheidungsverfahren können Tetrakis-(ethylmethylamino)-hafnium, Tetrakis-dimethylamino-silan und Ozon verwendet werden. In manchen Ausführungsformen können zusätzlich metallorganische Ausgangsstoffe und/oder Ausgangsstoffe, die Halogenverbindungen sind, verwendet werden. Die Atomlagenabscheidung kann bei einer Temperatur von weniger als 500°C, beispielsweise bei einer Temperatur in einem Bereich von ungefähr 200–400°C, insbesondere bei einer Temperatur von ungefähr 350°C durchgeführt werden. Ein Siliziumgehalt des Dielektrikums 504 für den ferroelektrischen Transistor kann sich in einem Bereich von ungefähr 2–5 mol% befinden, insbesondere in einem Bereich von ungefähr 2,5–4,5 mol%. Der Siliziumgehalt des Dielektrikums 504 für den ferroelektrischen Transistor kann gesteuert werden, indem die Zusammensetzung von Gasen, die bei dem Atomlagenabscheidungsverfahren verwendet werden, verändert wird. Das Dielektrikum 504 für den ferroelektrischen Transistor wie oben beschrieben bei einer relativ niedrigen Temperatur abzuscheiden kann dabei helfen, dass das Dielektrikum 504 für den ferroelektrischen Transistor unmittelbar nach der Abscheidung eine amorphe Struktur erhält.
  • In Ausführungsformen, in denen das Dielektrikum 504 für den ferroelektrischen Transistor Aluminium-dotiertes Hafniumdioxid, Yttrium-dotiertes Hafniumdioxid oder Gadolinium-dotiertes Hafniumdioxid enthält, kann ein Atomlagenabscheidungsverfahren verwendet werden, bei dem Tetrakis-(ethylmethylamino)-hafnium, Hafniumtetrachlorid sowie Ozon und/oder Wasser verwendet werden. Außerdem kann, je nachdem, ob das Dielektrikum 504 für den ferroelektrischen Transistor Aluminium, Yttrium oder Gadolinium enthält, Trimethylaluminium, Tetrakis(methylcyclopentadienyl)yttrium oder Tris(isopropylcyclopentan)gadolinium verwendet werden. Weitere Parameter des Atomlagenabscheidungsverfahrens können denen, die oben im Zusammenhang mit Ausführungsformen, in denen das Dielektrikum 504 für den ferroelektrischen Transistor Silizium-dotiertes Hafniumdioxid enthält, beschrieben wurden, entsprechen.
  • In Ausführungsformen, in denen das Dielektrikum 504 für den ferroelektrischen Transistor im Wesentlichen undotiertes Hafniumdioxid enthält, kann zum Bilden des Dielektrikums 504 für den ferroelektrischen Transistor ein chemisches Dampfabscheidungsverfahren verwendet werden, bei dem Materialien und/oder Parameter verwendet werden, die den oben beschriebenen Ausführungsformen entsprechen, aber die Stoffe, die zum Dotieren des ferroelektrischen Dielektrikums 504 bereitgestellt werden, weggelassen werden.
  • In Ausführungsformen, in denen das Dielektrikum 504 für den ferroelektrischen Transistor Hafnium-Zirkoniumdioxid enthält, kann zur Abscheidung des Dielektrikums 504 für den ferroelektrischen Transistor ein Atomlagenabscheidungsverfahren durchgeführt werden, bei dem Tetrakis(ethylmethylamino)-zirkonium, Tetrakis(ethylmethylamino)hafnium und Ozon verwendet werden. In manchen Ausführungsformen kann das Hafnium-Zirkoniumdioxid eine Zusammensetzung entsprechend der Formel HfxZr1-xO2 haben, beispielsweise eine Zusammensetzung gemäß der Formel Hf0,5Zr0,5O2. Weitere Parameter des Atomlagenabscheidungsverfahrens können denen entsprechen, die oben im Zusammenhang mit Ausführungsformen, in denen das Dielektrikum 504 für den ferroelektrischen Transistor Silizium-dotiertes Hafniumdioxid enthält, beschrieben wurden.
  • In Ausführungsformen, in denen das Dielektrikum 504 für den ferroelektrischen Transistor Zirkoniumdioxid enthält, können Abscheidungsverfahren ähnlich denen, die oben im Zusammenhang mit Ausführungsformen, in denen das Dielektrikum 504 für den ferroelektrischen Transistor Hafniumdioxid enthält, beschrieben wurden, verwendet werden, wobei anstelle von Ausgangsstoffen, die Hafnium enthalten, Ausgangsstoffe, die Zirkonium enthalten, verwendet werden. Insbesondere kann anstelle von Tetrakis(ethylmethylamino)hafnium Tetrakis(ethylmethylamino)zirkonium verwendet werden und anstelle von Hafniumtetrachlorid kann Zirkoniumtetrachlorid verwendet werden.
  • Nach der Abscheidung des Dielektrikums 504 für den ferroelektrischen Transistor kann eine Schicht 505 aus einem elektrisch leitfähigen Material abgeschieden werden. Das elektrisch leitfähige Material 505 kann Titannitrid enthalten und es kann mit Hilfe von Techniken wie beispielsweise der Atomlagenabscheidung, der chemischen Dampfabscheidung, der plasmaverstärkten chemischen Dampfabscheidung und/oder der physikalischen Dampfabscheidung abgeschieden werden.
  • In manchen Ausführungsformen kann unmittelbar nach der Abscheidung des elektrisch leitfähigen Materials 505 ein Wärmebehandlungsverfahren durchgeführt werden, um das Dielektrikum 504 für den ferroelektrischen Transistor zu kristallisieren. Durch die Kristallisation des Dielektrikums 504 für den ferroelektrischen Transistor in Anwesenheit des sich darauf befindenden elektrisch leitfähigen Materials 505 kann man eine Kristallstruktur des Dielektrikums 504 für den ferroelektrischen Transistor mit ferroelektrischen Eigenschaften erhalten. Das Wärmebehandlungsverfahren kann ein schnelles thermisches Wärmebehandlungsverfahren sein, bei dem die Halbleiterstruktur 100 einer Temperatur in einem Bereich von ungefähr 300–500°C ausgesetzt wird.
  • Das Wärmebehandlungsverfahren muss nicht unmittelbar nach der Abscheidung des elektrisch leitfähigen Materials 505 durchgeführt werden. In anderen Ausführungsformen kann das Wärmebehandlungsverfahren an einem späteren Zeitpunkt, beispielsweise nach einem Verfahren (wie unten beschrieben) durchgeführt werden.
  • 6 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 100 in einem späteren Stadium des Herstellungsverfahrens. Es kann ein Polierverfahren, beispielsweise ein chemisch mechanisches Polierverfahren, durchgeführt werden, um Teile der Basisschicht 502, der Schicht 503 aus dem im Wesentlichen nicht ferroelektrischem Material mit hoher Dielektrizitätskonstante, des Dielektrikums 504 für den ferroelektrischen Transistor und des elektrisch leitfähigen Materials 505 außerhalb der Vertiefung der Halbleiterstruktur 100, die durch das Entfernen der Dummy-Gate-Struktur 320 gebildet wurde, zu entfernen. Dadurch kann über dem aktiven Gebiet 204 eine Gate-Struktur 601 gebildet werden. Das Polierverfahren kann eine obere Oberfläche der Gate-Struktur 601 und eine obere Oberfläche der Gate-Struktur 501, die im Wesentlichen in einer gleichen Ebene 602 liegen können, festlegen, da das Polierverfahren eine im Wesentlichen flache Oberfläche der Halbleiterstruktur 100 erzeugen kann. In späteren Stadien des Herstellungsverfahrens kann über der Halbleiterstruktur 100 ein weiteres Zwischenschichtdielektrikum abgeschieden werden, in dem Kontaktöffnungen, die mit einem elektrisch leitfähigen Material wie beispielsweise einem Metall gefüllt sind, gebildet werden können, um elektrische Verbindungen zu den Source-Gebieten 308, 311, den Drain-Gebieten 310, 313 und den Gate-Strukturen 601, 501 bereitzustellen. Nach diesen Verarbeitungsschritten werden die oberen Flächen der Gate-Strukturen 601, 501, die in 6 dargestellt sind, obere Grenzflächen der Gate-Strukturen 601, 501, die im Wesentlichen in der gemeinsamen Ebene 602 liegen.
  • Eine untere Grenzfläche der Gate-Struktur 601, die durch eine Grenzfläche zwischen der Basisschicht 502 und dem Halbleitermaterial des Kanalgebiets 309 bereitgestellt werden kann, kann im Wesentlichen in der Ebene 202 der Oberfläche des vertieften Bereichs 102 des Substrats 101, die man nach dem oben mit Bezug auf 1 beschriebenen Ätzverfahren 106 erhalten hat, liegen. Eine untere Grenzfläche der Gate-Struktur 501, die durch eine Grenzfläche zwischen der Schicht 401 aus dem Gate-Isoliermaterial und dem Halbleitermaterial des Kanalgebiets 312 bereitgestellt wird, kann im Wesentlichen in der Ebene 203 liegen, die zumindest ungefähr der Oberfläche des Bereichs 103 des Substrats 101 in dem oben mit Bezug auf die 2 beschriebenen Stadium des Herstellungsverfahrens entspricht. Deshalb liegt die untere Grenzfläche der Gate-Struktur 501 im Wesentlichen in der Ebene 203, die sich oberhalb der Ebene 202 der unteren Grenzfläche der Gate-Struktur 601 befindet und von dieser durch die Tiefe 201 des vertieften Bereichs 102 des Substrats 101 beabstandet ist.
  • Eine Höhe 603 der Gate-Struktur 601 kann größer als eine Höhe 604 der Gate-Struktur 501 sein, wobei ein Unterschied zwischen der Höhe 603 der Gate-Struktur 601 und der Höhe 604 der Gate-Struktur 501 zumindest ungefähr gleich der Tiefe 201 des vertieften Bereichs 102 des Substrats 101 ist.
  • Somit stellt die Erfindung eine Halbleiterstruktur mit Gate-Strukturen unterschiedlicher Höhe bereit, die beide mit Hilfe eines Austausch-Gate-Verfahrens hergestellt werden können.
  • Die Erfindung ist nicht auf Ausführungsformen beschränkt, in denen zuerst die Dummy-Gate-Struktur 321 über dem Bereich 103 des Substrats 101 durch die Gate-Struktur 501 des Transistors 621, die kein ferroelektrischen Material enthält, ausgetauscht wird und dann die Dummy-Gate-Struktur 320 über dem vertieften Bereich 102 des Substrats 101 durch die Gate-Struktur 601 des ferroelektrischen Transistors 602 ausgetauscht wird. In anderen Ausführungsformen kann zuerst die Dummy-Gate-Struktur 320 durch die Gate-Struktur 601, die das Dielektrikum 504 für den ferroelektrischen Transistor enthält, ausgetauscht werden, und dann kann die Dummy-Gate-Struktur 321 durch die Gate-Struktur 501 ausgetauscht werden.
  • Außerdem ist die Erfindung nicht auf Ausführungsformen beschränkt, in denen die Dummy-Gate-Strukturen 320, 321 Dummy-Gate-Isolierschichten 301, 302 umfassen, die durch die endgültigen Gate-Isolierschichten der Transistoren 620, 621 ausgetauscht werden. In anderen Ausführungsformen können unterhalb der Dummy-Gate-Elektroden 303, 304 endgültige Gateisolierschichten bereitgestellt werden, die Materialien ähnlich denen der Schichten 401, 402, 502, 503 enthalten, und diese können in der Halbleiterstruktur 100 verbleiben, wenn die Dummy-Gate-Elektroden 303, 304 entfernt werden und Teile der Gate-Strukturen 601, 501 gebildet werden.
  • Im Folgenden werden weitere Ausführungsformen mit Bezug auf 7 beschrieben. 7 zeigt eine schematische Querschnittsansicht einer Halbleiterstruktur 700 gemäß einer Ausführungsform in einem Stadium eines Herstellungsverfahrens gemäß einer Ausführungsform. Der Einfachheit halber wurden in den 16 einerseits und in 7 andererseits gleiche Bezugszeichen verwendet, um gleiche Komponenten zu bezeichnen. Sofern nicht ausdrücklich anders angegeben, können Komponenten, die durch gleiche Bezugszeichen bezeichnet sind, entsprechende Merkmale haben und für ihre Herstellung können im Wesentlichen die gleichen oder ähnliche Techniken verwendet werden.
  • Die Halbleiterstruktur 700 umfasst ein Substrat 101. Ein erster Bereich 102 des Substrats 101 ist relativ zu einem zweiten Bereich 103 des Substrats 101 um eine Tiefe 201 vertieft. Eine Grabenisolationsstruktur 104 stellt eine elektrisch Isolation zwischen den Bereichen 102, 103 des Substrats und eine elektrische Isolation zwischen den Bereichen 102, 103 und Bereichen (nicht gezeigt) des Substrats 101 bereit. In dem Bereich 102 befindet sich ein aktives Gebiet 204 eines Transistors 720, der an dem Gebiet 102 des Substrats 101 gebildet ist. In dem Bereich 103 befindet sich ein aktives Gebiet 205 eines Transistors 721, der an dem Bereich 103 des Substrats 101 gebildet ist.
  • Das aktive Gebiet 204 umfasst ein Source-Gebiet 308, ein Kanalgebiet 309 und ein Drain-Gebiet 310. In dem Source-Gebiet 308 und dem Drain-Gebiet 310 können Silizidgebiete 314, 315 bereitgestellt werden. Das aktive Gebiet 205 umfasst ein Source-Gebiet 311, ein Kanalgebiet 312 und ein Drain-Gebiet 313. In dem Source-Gebiet 311 und dem Drain-Gebiet 313 können sich Silizidgebiete 316, 317 befinden.
  • Der Transistor 720, der sich in dem Bereich 102 des Substrats 101 befindet, umfasst eine Gate-Struktur 701. Die Gate-Struktur 701 kann eine Gate-Isolierschicht umfassen, die eine Schicht 502 aus einem ersten Gate-Isoliermaterial, beispielsweise Siliziumdioxid, und eine Schicht 503 aus einem zweiten Gate-Isoliermaterial, beispielsweise aus einem im Wesentlichen nicht ferroelektrischen Material mit hoher Dielektrizitätskonstante, wie beispielsweise im Wesentlichen nicht-ferroelektrischem Hafniumdioxid, umfasst. Über den Schichten 502, 503 aus Gate-Isoliermaterialien kann sich eine Floating-Gate-Elektrode 702 befinden. Die Floating-Gate-Elektrode 702 kann ein elektrisch leitfähiges Material, beispielsweise ein Metall wie beispielsweise Titannitrid enthalten. In anderen Ausführungsformen kann die Floating-Gate-Elektrode 702 aus einem Halbleitermaterial wie beispielsweise Polysilizium oder amorphem Silizium gebildet werden. In weiteren Ausführungsformen kann die Floating-Gate-Elektrode 702 eine Schicht aus einem Metall wie beispielsweise Titannitrid und eine Schicht aus einem Halbleitermaterial wie beispielsweise Polysilizium oder amorphem Silizium, die oberhalb der Metallschicht gebildet ist, umfassen.
  • Die Gate-Struktur 701 kann außerdem ein Dielektrikum 504 für einen ferroelektrischen Transistor umfassen. In manchen Ausführungsformen kann das Dielektrikum 504 für den ferroelektrischen Transistor ein Oxid von Hafnium und/oder Zirkonium enthalten. Über dem Dielektrikum 504 für den ferroelektrischen Transistor kann sich ein elektrisch leitfähiges Gate-Elektrodenmaterial 505, das eine Steuer-Gate-Elektrode des Transistors 720 bereitgestellt, beispielsweise ein Metall wie z. B. Titannitrid, befinden.
  • In dem in 7 dargestellten Stadium des Herstellungsverfahrens kann das Dielektrikum 504 für den ferroelektrischen Transistor ferroelektrische Eigenschaften haben, so dass es ein ferroelektrisches Material ist. In manchen Ausführungsformen kann man die ferroelektrischen Eigenschaften des Dielektrikums 504 für den ferroelektrischen Transistor erhalten, indem man die Halbleiterstruktur 700 nach der Abscheidung des Gate-Elektrodenmaterials 505 einer Wärmebehandlung unterzieht, so dass eine Rekristallisation des Dielektrikums 504 für den ferroelektrischen Transistor in Anwesenheit des Gate-Elektrodenmaterials 505 stattfindet, bei der man eine Kristallstruktur des Dielektrikums 504 für den ferroelektrischen Transistor mit ferroelektrischen Eigenschaften erhält, ähnlich wie bei dem oben mit Bezug auf 5 beschriebenen Wärmebehandlungsverfahren.
  • Neben der Gate-Struktur 701 kann sich ein Seitenwandabstandshalter 305 befinden. Reste 307 eines Seitenwandabstandshaltermaterials, aus dem der Seitenwandabstandshalter 305 gebildet ist, können auch an den Rändern der Vertiefung in dem Bereich 102 des Substrats 101 vorhanden sein, beispielsweise über vertieften Teilen der Grabenisolationsstruktur 104 neben dem Bereich 102.
  • Der Transistor 721, der sich an dem Bereich 103 des Substrats 101 befindet, umfasst eine Gate-Struktur 501, die sich über dem Kanalgebiet 312 befindet. Die Gate-Struktur 501 kann eine Gate-Isolierschicht umfassen, die durch eine Schicht 401 aus einem ersten Gate-Isoliermaterial, beispielsweise aus Siliziumdioxid, und eine Schicht 402 aus einem zweiten Gate-Isoliermaterial, beispielsweise einem im Wesentlichen nicht ferroelektrischen Gate-Isoliermaterial mit hoher Dielektrizitätskonstante wie beispielsweise im Wesentlichen nicht-ferroelektrischem Hafniumdioxid gebildet wird. Oberhalb der Schichten 401, 402 aus Gate-Isoliermaterial können sich ein Austrittsarbeits-Anpassungsmetall 403, das ein erstes Gate-Elektrodenmaterial ist, und eine Schicht 404 aus einem andern Gate-Elektrodenmaterial, beispielsweise einem Metall wie beispielsweise Aluminium oder einem Halbleitermaterial wie beispielsweise Polysilizium oder amorphem Silizium, befinden. Neben der Gate-Struktur 501 kann sich eine Seitenwandabstandshalterstruktur befinden.
  • Eine obere Grenzfläche der Gate-Struktur 701 des Transistors 720 und eine obere Grenzfläche der Gate-Struktur 501 des Transistors 721 können im Wesentlichen in einer gleichen Ebene 602 liegen. In dem in 7 gezeigten Stadium des Herstellungsverfahrens befinden sich die oberen Grenzflächen der Gate-Strukturen 701, 501 an der Oberfläche der Halbleiterstruktur 700.
  • Eine untere Grenzfläche der Gate-Struktur 701, die eine Grenzfläche zwischen der Schicht 502 aus dem ersten Gate-Isoliermaterial und dem Halbleitermaterial des Kanalgebiets 309 ist, kann im Wesentlichen in einer Ebene 202 liegen und eine untere Grenzfläche der Gate-Struktur 501 des Transistors 721, die eine Grenzfläche zwischen der Schicht 401 aus dem ersten Gate-Isoliermaterial und dem Halbleitermaterial des Kanalgebiets 312 ist, kann im Wesentlichen in einer Ebene 203 liegen. Die Ebene 203 befindet sich oberhalb der Ebene 202, wobei die Ebenen 203, 202 voneinander durch die Tiefe 201 des vertieften Bereichs 102 des Substrats 101 beabstandet sind.
  • Zum Bilden der Halbleiterstruktur 700 können Verfahren wie die oben mit Bezug auf 1-6 beschriebenen durchgeführt werden, wobei nach der Abscheidung der Schichten 502, 503 aus Gate-Isoliermaterial und vor der Abscheidung der Schicht 504 aus dem Dielektrikum für den ferroelektrischen Transistor ein oder mehr Schichten aus dem Material der Floating-Gate-Elektrode 702 abgeschieden werden können.
  • In manchen Ausführungsformen kann zum Abscheiden der ein oder mehr Materialien der Floating-Gate-Elektrode 702 ein anisotropes Abscheidungsverfahren durchgeführt werden, bei dem Dicken der Schichten aus den ein oder mehr Materialien der Floating-Gate-Elektrode 702 an den Seitenwänden des Seitenwandabstandshalters 305 unmittelbar nach der Abscheidung kleiner als eine Dicke von im Wesentlichen horizontalen Teilen der Schichten aus den ein oder mehr Materialien der Floating-Gate-Elektrode 702 über dem Kanalgebiet 309 sind.
  • In manchen Ausführungsformen können dann ein oder mehr im Wesentlichen isotrope Ätzverfahren durchgeführt werden, um die ein oder mehr Materialien der Floating-Gate-Elektrode 702 an den Seitenwänden der Seitenwandabstandshalter 305 zu entfernen und um eine Struktur der Floating-Gate-Elektrode 702 wie die in 7 dargestellte zu erhalten. Das kann dabei helfen, ein unbeabsichtigtes Erzeugen eines elektrischen Kontakts zu der Floating-Gate-Elektrode 702 zu vermeiden, wenn eine Kontaktöffnung, die mit einem elektrisch leitfähigen Material gefüllt ist und die durch das Gate-Elektrodenmaterial 505 bereitgestellte Steuer-Gate-Elektrode kontaktiert, gebildet wird.
  • In anderen Ausführungsformen können Teile der ein oder mehr Materialien der Floating-Gate-Elektrode 702 an den Seitenwänden des Seitenwandabstandshalters 305 in der Halbleiterstruktur 700 verbleiben.

Claims (21)

  1. Ein Verfahren, das umfasst: Bereitstellen eines Substrats (101), das ein Halbleitermaterial enthält; Vertiefen eines ersten Bereichs (102) des Substrats (101) relativ zu einem zweiten Bereich (103) des Substrats (101); Bilden eines aktiven Gebiets (204) eines ersten Transistors (620, 720) in dem vertieften ersten Bereich (102) des Substrats (101); Bilden eines aktiven Gebiets (205) eines zweiten Transistors (621, 721) in dem zweiten Bereich (103) des Substrats (101); Bilden einer ersten Dummy-Gate-Struktur (320) über dem aktiven Gebiet (204) des ersten Transistors (620, 720); Bilden einer zweiten Dummy-Gate-Struktur (321) über dem aktiven Gebiet (205) des zweiten Transistors (621, 721); Austauschen von zumindest einem Teil der ersten Dummy-Gate-Struktur (320) durch zumindest einen Teil einer Gate-Struktur (601, 701) des ersten Transistors (620); und Austauschen von zumindest einem Teil der zweiten Dummy-Gate-Struktur (321) durch zumindest einen Teil einer Gate-Struktur (501) des zweiten Transistors (621, 721); wobei die Gate-Struktur (601, 701) des ersten Transistors (620, 720) ein ferroelektrisches Material enthält und die Gate-Struktur (501) des zweiten Transistors (621, 721) kein ferroelektrisches Material enthält.
  2. Das Verfahren gemäß Anspruch 1, wobei das Vertiefen des ersten Bereichs (102) des Substrats (101) relativ zu dem zweiten Bereich (103) des Substrats (101) umfasst: Bilden einer Maske (105) über dem Substrat (101), wobei die Maske (105) den zweiten Bereich (103) des Substrats (101), aber nicht den ersten Bereich (102) des Substrats (101), bedeckt; und Durchführen eines Ätzverfahrens (106), das dafür ausgelegt ist, das Halbleitermaterial des Substrats (101) zu entfernen.
  3. Das Verfahren gemäß Anspruch 2, wobei das Ätzverfahren (106) dafür ausgelegt ist, eine derartige Menge des Halbleitermaterials des Substrats (101) zu entfernen, dass der erste Bereich (102) des Substrats (101) relativ zu dem zweiten Bereich (103) des Substrats (101) um eine Tiefe (201) vertieft wird, wobei die Tiefe (201) gleich einer Differenz zwischen einer Höhe (603) der Gate-Struktur (601) des ersten Transistors (620, 720) und einer Höhe (604) der Gate-Struktur (501) des zweiten Transistors (621, 721) ist.
  4. Das Verfahren gemäß einem der Ansprüche 1 bis 3, wobei ein Polierverfahren durchgeführt wird, das eine obere Grenzfläche der Gate-Struktur (601, 701) des ersten Transistors (620, 720) und eine obere Grenzfläche der Gate-Struktur (501) des zweiten Transistors (621, 721) festlegt.
  5. Das Verfahren gemäß einem der Ansprüche 1 bis 4, wobei das Austauschen von zumindest einem Teil der ersten Dummy-Gate-Struktur (320) durch den zumindest einen Teil der Gate-Struktur (601, 701) des ersten Transistors (620, 720) ein Abscheiden einer Schicht aus einem Dielektrikum (504) für einen ferroelektrischen Transistor umfasst, wobei das ferroelektrische Material der Gate-Struktur (601, 701) des ersten Transistors (620, 720) aus der Schicht aus dem Dielektrikum (504) für den ferroelektrischen Transistor gebildet wird.
  6. Das Verfahren gemäß Anspruch 5, wobei das Dielektrikum (504) für den ferroelektrischen Transistor ein Oxid von zumindest einem von Hafnium und Zirkonium umfasst.
  7. Das Verfahren gemäß einem der Ansprüche 5 und 6, wobei das Austauschen von zumindest einem Teil der ersten Dummy-Gate-Struktur (320) durch den zumindest einen Teil der Gate-Struktur (601, 701) des ersten Transistors (620, 720) außerdem ein Abscheiden einer ersten Schicht (505) aus einem elektrisch leitfähigen Material nach der Abscheidung des Dielektrikums (504) für den ferroelektrischen Transistor und ein Bilden einer ersten Gate-Elektrode des ersten Transistors (620) aus der ersten Schicht aus dem elektrisch leitfähigen Material umfasst.
  8. Das Verfahren gemäß Anspruch 7, wobei das Austauschen von zumindest einem Teil der ersten Dummy-Gate-Struktur (320) durch den zumindest einen Teil der Gate-Struktur (701) des ersten Transistors (720) außerdem ein Abscheiden einer zweiten Schicht (702) aus einem elektrisch leitfähigen Material vor der Abscheidung des Dielektrikums (504) für den ferroelektrischen Transistor und ein Bilden einer zweiten Gate-Elektrode des ersten Transistors (620) aus der zweiten Schicht (720) aus dem elektrisch leitfähigen Material umfasst, wobei die erste Gate-Elektrode eine Steuer-Gate-Elektrode ist und die zweite Gate-Elektrode eine Floating-Gate-Elektrode ist.
  9. Das Verfahren gemäß Anspruch 7 oder 8, wobei die gesamte erste Dummy-Gate-Struktur (320) ausgetauscht wird und das Austauschen der ersten Dummy-Gate-Struktur (320) durch die Gate-Struktur (601, 701) des ersten Transistors (620, 720) umfasst: Abscheiden einer Siliziumdioxidschicht (502); und Abscheiden einer Schicht (503) aus einem nicht ferroelektrischen Material mit hoher Dielektrizitätskonstante über der Siliziumdioxidschicht; wobei das Dielektrikum (504) für den ferroelektrischen Transistor nach der Abscheidung der Schicht (503) aus dem im Wesentlichen nicht ferroelektrischen Material mit hoher Dielektrizitätskonstante abgeschieden wird.
  10. Das Verfahren gemäß einem der Ansprüche 1 bis 9, wobei die gesamte zweite Dummy-Gatestruktur (321) ausgetauscht wird und das Austauschen der zweiten Dummy-Gate-Struktur (321) durch die Gate-Struktur (501) des zweiten Transistors (621, 721) ein Bilden einer Gate-Isolierschicht (402), die ein Material mit hoher Dielektrizitätskonstante enthält, das eine größere Dielektrizitätskonstante als Silizium hat, umfasst.
  11. Das Verfahren gemäß Anspruch 10, wobei das Austauschen der zweiten Dummy-Gate-Struktur (321) durch die Gate-Struktur (501) außerdem ein Bilden einer Metallschicht (403) über der Gate-Isolierschicht (402) umfasst.
  12. Das Verfahren gemäß einem der Ansprüche 1 bis 11, wobei eine Speicherzelle, die den ersten Transistor (720, 720) umfasst, bereitgestellt wird, und das Verfahren außerdem ein Bereitstellen einer remanenten Polarisation des ferroelektrischen Materials der Gate-Struktur (601, 701) des ersten Transistors (620, 720), die Daten, die in der Speicherzelle gespeichert werden, darstellt, umfasst.
  13. Eine Halbleiterstruktur (700), die umfasst: ein Substrat (101), das ein Halbleitermaterial enthält; einen ersten Transistor (720), der ein aktives Gebiet (204) und eine Gate-Struktur (701), die über dem aktiven Gebiet (204) angeordnet ist, umfasst, wobei die Gate-Struktur (701) des ersten Transistors (620) eine Floating-Gate-Elektrode (702), ein ferroelektrisches Material (504), das sich über der Floating-Gate-Elektrode (702) befindet, und eine Steuer-Gate-Elektrode (505), die sich über dem ferroelektrischen Material (504) befindet, umfasst; und einen zweiten Transistor (721), der ein aktives Gebiet (205) und eine Gate-Struktur (501), die über dem aktiven Gebiet (205) angeordnet ist, umfasst, wobei die Gate-Struktur (501) des zweiten Transistors (721) kein ferroelektrisches Material enthält; wobei sich das aktive Gebiet (204) des ersten Transistors (620) in einem ersten Bereich (102) des Substrats (101) befindet und sich das aktive Gebiet (205) des zweiten Transistors (621) in einem zweiten Bereich (103) des Substrats (101) befindet, wobei der erste Bereich (102) relativ zu dem zweiten Bereich (103) vertieft ist.
  14. Die Halbleiterstruktur (700) gemäß Anspruch 13, wobei das erste Gebiet (102) relativ zu dem zweiten Gebiet (103) um eine Tiefe (201) vertieft ist, wobei die Gate-Struktur (701) des ersten Transistors (720) eine größere Höhe als die Gate-Struktur (501) des zweiten Transistors (721) hat und wobei eine Differenz zwischen der Höhe (603) der Gate-Struktur (701) des ersten Transistors (720) und der Höhe (604) der Gate-Struktur (501) des zweiten Transistors (621) gleich der Tiefe (201) ist.
  15. Die Halbleiterstruktur (700) gemäß Anspruch 13 oder 14, wobei eine obere Grenzfläche der Gate-Struktur (701) des ersten Transistors (720) in einer gleichen ersten Ebene (602) liegt wie eine obere Grenzfläche der Gate-Struktur (501) des zweiten Transistors (721).
  16. Die Halbleiterstruktur (700) gemäß einem der Ansprüche 13 bis 15, wobei eine untere Grenzfläche der Gate-Struktur (701) des ersten Transistors (620) in einer zweiten Ebene (202) liegt und eine untere Grenzfläche der Gate-Struktur (501) des zweiten Transistors (621) in einer dritten Ebene (203) liegt, wobei sich die dritte Ebene (203) oberhalb der zweiten Ebene (202) befindet.
  17. Die Halbleiterstruktur (700) gemäß einem der Ansprüche 13 bis 16, wobei der erste Transistor (720) Teil einer nicht-flüchtigen Speicherzelle ist und eine remanente Polarisation des ferroelektrischen Materials Daten, die in der nicht-flüchtigen Speicherzelle gespeichert sind, darstellt.
  18. Die Halbleiterstruktur (700) gemäß einem der Ansprüche 13 bis 17, wobei das ferroelektrische Material (504) ein Oxid von zumindest einem von Hafnium und Zirkonium enthält.
  19. Die Halbleiterstruktur (700) gemäß einem der Ansprüche 13 bis 18, wobei zumindest die Gate-Struktur (501) des zweiten Transistors (721) eine Gate-Isolierschicht (402) umfasst, die ein Material mit hoher Dielektrizitätskonstante enthält, das eine größere Dielektrizitätskonstante als Silizium hat.
  20. Die Halbleiterstruktur (700) gemäß einem der Ansprüche 13 bis 19, wobei zumindest die Gate-Struktur (501) des zweiten Transistors (721) eine Gate-Elektrode umfasst, die ein Metall (403) enthält.
  21. Die Halbleiterstruktur (700) gemäß einem der Ansprüche 13 bis 20, wobei die Gate-Struktur (701) des ersten Transistors (720) außerdem umfasst: eine Schicht (503) aus einem nicht-ferroelektrischen Material mit hoher Dielektrizitätskonstante, die sich unterhalb des ferroelektrischen Materials befindet; und eine Schicht (502) aus Siliziumdioxid, die sich unterhalb der Schicht (503) aus dem nicht-ferroelektrischen Material mit hoher Dielektrizitätskonstante befindet.
DE102015216902.2A 2014-09-10 2015-09-03 Verfahren, das einen Austausch einer Dummy-Gate-Struktur durch eine Gate-Struktur, die ein ferroelektrisches Material enthält, umfasst und zugehörige Halbleiterstruktur Active DE102015216902B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/482,839 2014-09-10
US14/482,839 US20160071947A1 (en) 2014-09-10 2014-09-10 Method including a replacement of a dummy gate structure with a gate structure including a ferroelectric material

Publications (2)

Publication Number Publication Date
DE102015216902A1 DE102015216902A1 (de) 2016-03-10
DE102015216902B4 true DE102015216902B4 (de) 2017-03-16

Family

ID=55358699

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015216902.2A Active DE102015216902B4 (de) 2014-09-10 2015-09-03 Verfahren, das einen Austausch einer Dummy-Gate-Struktur durch eine Gate-Struktur, die ein ferroelektrisches Material enthält, umfasst und zugehörige Halbleiterstruktur

Country Status (2)

Country Link
US (1) US20160071947A1 (de)
DE (1) DE102015216902B4 (de)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014221371B4 (de) * 2014-10-21 2018-04-19 Globalfoundries Inc. Verfahren zum Bilden eines Halbleiterschaltungselements und Halbleiterschaltungselement
CN105762108B (zh) * 2014-12-19 2019-03-29 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20160211250A1 (en) * 2015-01-15 2016-07-21 Infineon Technologies Ag Semiconductor substrate arrangement, a semiconductor device, and a method for processing a semiconductor substrate
US9620612B2 (en) * 2015-02-19 2017-04-11 Qualcomm Incorporated Intergrated circuit devices including an interfacial dipole layer
US10636471B2 (en) * 2016-04-20 2020-04-28 Micron Technology, Inc. Memory arrays, ferroelectric transistors, and methods of reading and writing relative to memory cells of memory arrays
US10937783B2 (en) 2016-11-29 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11004868B2 (en) * 2017-03-22 2021-05-11 Intel Corporation Memory field-effect transistors and methods of manufacturing the same
US10176859B2 (en) * 2017-05-03 2019-01-08 Globalfoundries Inc. Non-volatile transistor element including a buried ferroelectric material based storage mechanism
FR3080486B1 (fr) * 2018-04-24 2020-03-27 X-Fab France Procede de formation d'un dispositif microelectronique
US10763270B2 (en) * 2018-04-27 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an integrated circuit and an integrated circuit
US11004867B2 (en) * 2018-06-28 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded ferroelectric memory in high-k first technology
EP3621078B1 (de) * 2018-09-10 2021-10-27 IMEC vzw Nichtflüchtiger speicher auf basis von ferroelektrischer fets
TWI685085B (zh) * 2019-02-26 2020-02-11 華邦電子股份有限公司 記憶元件及其製造方法
US20210005728A1 (en) * 2019-07-02 2021-01-07 National Taiwan Normal University Storage memory device
US11018256B2 (en) * 2019-08-23 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Selective internal gate structure for ferroelectric semiconductor devices
US11508753B2 (en) * 2020-02-24 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded ferroelectric FinFET memory device
KR20210132483A (ko) * 2020-04-27 2021-11-04 에스케이하이닉스 주식회사 반도체 장치 및 그의 제조 방법
CN111524892A (zh) * 2020-04-30 2020-08-11 湘潭大学 三维铁电随机存储器的制备方法及三维铁电随机存储器
US11710775B2 (en) * 2020-05-29 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Ferroelectric field effect transistor
US11508755B2 (en) * 2021-02-25 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked ferroelectric structure
TWI786785B (zh) * 2021-08-24 2022-12-11 力晶積成電子製造股份有限公司 緩解邊界虛擬記憶體過抹除現象的結構與方法
US11527649B1 (en) * 2021-08-30 2022-12-13 Taiwan Semiconductor Manufacturing Company Limited Ferroelectric field effect transistor devices and methods for forming the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5877977A (en) * 1996-09-10 1999-03-02 National Semiconductor Corporation Nonvolatile memory based on metal-ferroelectric-metal-insulator semiconductor structure
US20110081774A1 (en) * 2009-10-07 2011-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for a gate replacement process
US20130270619A1 (en) * 2012-04-12 2013-10-17 Globalfoundries Inc. Semiconductor device comprising ferroelectric elements and fast high-k metal gate transistors
US20130334690A1 (en) * 2012-06-13 2013-12-19 Min-Chuan Tsai Semiconductor structure and process thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829144B2 (en) * 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6515889B1 (en) * 2000-08-31 2003-02-04 Micron Technology, Inc. Junction-isolated depletion mode ferroelectric memory
JP2002110932A (ja) * 2000-09-28 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US6764917B1 (en) * 2001-12-20 2004-07-20 Advanced Micro Devices, Inc. SOI device with different silicon thicknesses
US8304823B2 (en) * 2008-04-21 2012-11-06 Namlab Ggmbh Integrated circuit including a ferroelectric memory cell and method of manufacturing the same
DE102009023237B4 (de) * 2009-05-29 2013-11-28 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Herstellen von Transistorelementen mit unterschiedlicher Verformung und Halbleiterbauelement
US20130214364A1 (en) * 2012-02-16 2013-08-22 International Business Machines Corporation Replacement gate electrode with a tantalum alloy metal layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5877977A (en) * 1996-09-10 1999-03-02 National Semiconductor Corporation Nonvolatile memory based on metal-ferroelectric-metal-insulator semiconductor structure
US20110081774A1 (en) * 2009-10-07 2011-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for a gate replacement process
US20130270619A1 (en) * 2012-04-12 2013-10-17 Globalfoundries Inc. Semiconductor device comprising ferroelectric elements and fast high-k metal gate transistors
US20130334690A1 (en) * 2012-06-13 2013-12-19 Min-Chuan Tsai Semiconductor structure and process thereof

Also Published As

Publication number Publication date
DE102015216902A1 (de) 2016-03-10
US20160071947A1 (en) 2016-03-10

Similar Documents

Publication Publication Date Title
DE102015216902B4 (de) Verfahren, das einen Austausch einer Dummy-Gate-Struktur durch eine Gate-Struktur, die ein ferroelektrisches Material enthält, umfasst und zugehörige Halbleiterstruktur
DE102015213529B4 (de) Vorrichtung mit einer Floating-Gate-Elektrode und einer Schicht aus ferroelektrischem Material und Verfahren zu ihrer Herstellung
DE102015210492B4 (de) Halbleiterstruktur, die einen ferroelektrischen Transistor umfasst, und Verfahren zu ihrer Herstellung
DE102015213498B4 (de) Verfahren zur Herstellung einer Halbleiterstruktur, die ein ferroelektrisches Material enthält und Halbleiterstruktur, die einen ferroelektrischen Transistor umfasst
DE112014004790B4 (de) 3D-NAND-Speicherzelle, NAND-Speicherstruktur und Verfahren zur Herstellung einer 3D-NAND-Speicherstruktur
DE102015200946B4 (de) Halbleitervorrichtung mit ferroelektrischem Hafniumoxid und Herstellungsverfahren
DE102009047306B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
DE102013214436B4 (de) Verfahren zum Bilden einer Halbleiterstruktur, die silizidierte und nicht silizidierte Schaltkreiselemente umfasst
DE112011101181B4 (de) Steuerung der Ferroelektrizität in dielektrischen Dünnschichten durch prozessinduzierte monoaxiale Spannungen
DE102010001403B4 (de) Austauschgateverfahren auf der Grundlage eines Umkehrabstandhalters, der vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE102019107906A1 (de) Untere Elektrodenstruktur in Speichervorrichtungen
DE102015017252B3 (de) Halbleiterstruktur, die Kondensatoren mit verschiedenen Kondensatordielektrika umfasst, und Verfahren zu ihrer Herstellung
DE102014221371A1 (de) Verfahren zum Bilden eines Halbleiterschaltungselements und Halbleiterschaltungselement
DE102017118345B4 (de) Kontaktöffnungen und verfahren zu deren herstellung
DE102011090163A1 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind
DE102018217684A1 (de) Anpassung einer negativen Kapazität in Gate-Elektrodenstrukturen
DE112014004903T5 (de) Speicherstruktur mit selbstausrichtenden Floating- und Control-Gates und zugehörige Verfahren
DE102010040058A1 (de) Polysiliziumwiderstände, die in einem Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε hergestellt sind
DE102019207381A1 (de) Unterschiedliche untere und obere Abstandshalter für einen Kontakt
DE102009046250A1 (de) Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE112015000550T5 (de) Verfahren zur Tunneloxidschichtausbildung in 3D-Nand-Speicherstrukturen und assoziierte Bauelemente
DE10341062A1 (de) Gate-Strukturen bei nicht-flüchtigen Speichervorrichtungen mit gekrümmten Seitenwänden, die unter Verwendung von Sauerstoffkanälen ausgebildet sind, und Verfahren zum Ausbilden derselben
DE112013004226B4 (de) Halbleiterbauelement und Verfahren zu dessen Herstellung
DE102022100588A1 (de) Ferroelektrischer double-gate-feldeffekttransistor und verfahren zu dessen herstellung
DE102021119950A1 (de) Ferroelektrische speichervorrichtung und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027115000

Ipc: H01L0021824700

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021824700

Ipc: H01L0027115170

R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027115170

Ipc: H10B0041000000