DE102022100588A1 - Ferroelektrischer double-gate-feldeffekttransistor und verfahren zu dessen herstellung - Google Patents

Ferroelektrischer double-gate-feldeffekttransistor und verfahren zu dessen herstellung Download PDF

Info

Publication number
DE102022100588A1
DE102022100588A1 DE102022100588.7A DE102022100588A DE102022100588A1 DE 102022100588 A1 DE102022100588 A1 DE 102022100588A1 DE 102022100588 A DE102022100588 A DE 102022100588A DE 102022100588 A1 DE102022100588 A1 DE 102022100588A1
Authority
DE
Germany
Prior art keywords
layer
sub
material layer
gate electrode
optional
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022100588.7A
Other languages
English (en)
Inventor
Yen-Chieh Huang
Song-Fu Liao
Po-Ting Lin
Hai-Ching Chen
Sai-Hooi Yeong
Yu-Ming Lin
Chung-Te Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102022100588A1 publication Critical patent/DE102022100588A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)
  • Photovoltaic Devices (AREA)
  • Die Bonding (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Ein ferroelektrischer Feldeffekttransistor (FeFET) mit einer Double-Gate-Struktur weist Folgendes auf: eine erste Gateelektrode; eine erste ferroelektrische Materialschicht über der ersten Gateelektrode; eine Halbleiterkanalschicht über der ferroelektrischen Materialschicht; Source- und Drain-Elektroden, die die Halbleiterkanalschicht kontaktieren; eine zweite ferroelektrische Materialschicht über der Halbleiterkanalschicht; und eine zweite Gateelektrode über der zweiten ferroelektrischen Materialschicht.

Description

  • Verwandte Anmeldung
  • Die vorliegende Anmeldung beansprucht die Priorität der am 27. April 2021 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 63/180.245 und dem Titel „Double Gate FEFET Memory Structure“ („Double-Gate-FEFET-Speicherstruktur“), die durch Bezugnahme in die vorliegende Anmeldung aufgenommen ist.
  • Hintergrund
  • Ein ferroelektrischer Speicher (FE-Speicher) ist aufgrund seiner hohen Lese-/Schreibgeschwindigkeit, seines niedrigen Energieverbrauchs und seiner geringen Größe ein Kandidat für die Vorteile von nichtflüchtigen Speichern der nächsten Generation. Es kann jedoch schwierig sein, FE-Materialien mit häufig verwendeten Halbleiterbauteilmaterialien und - strukturen zu integrieren und gleichzeitig adäquate ferroelektrische Eigenschaften und eine adäquate Bauteilleistung aufrechtzuerhalten.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A ist eine vertikale Schnittansicht einer ersten beispielhaften Struktur vor dem Herstellen einer Matrix von Speichervorrichtungen gemäß einer Ausführungsform der vorliegenden Erfindung.
    • 1B ist eine vertikale Schnittansicht der ersten beispielhaften Struktur während des Herstellens der Matrix von Speichervorrichtungen gemäß einer Ausführungsform der vorliegenden Erfindung.
    • 1C ist eine vertikale Schnittansicht der ersten beispielhaften Zwischenstruktur nach dem Herstellen von metallischen Obere-Ebene-Interconnect-Strukturen gemäß einer Ausführungsform der vorliegenden Erfindung.
    • 2 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung mit einer ersten dielektrischen Schicht, die über einem Substrat abgeschieden wird.
    • 3 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine Untere-Elektrode-Schicht zeigt, die in eine erste dielektrische Schicht eingebettet ist.
    • 4 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine optionale Verspannungsschicht zeigt, die über Oberseiten der Untere-Elektrode-Schicht und der ersten dielektrischen Schicht abgeschieden wird.
    • 5 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine optionale Seedschicht zeigt, die über einer Oberseite der optionalen Verspannungsschicht abgeschieden wird.
    • 6 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine ferroelektrische Materialschicht (FE-Materialschicht) zeigt, die über einer Oberseite der optionalen Seedschicht abgeschieden wird.
    • 7 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine optionale Isolierschicht zeigt, die über einer Oberseite der FE-Materialschicht abgeschieden wird.
    • 8 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Teile einer in Bearbeitung befindlichen Kanalschicht zeigt, die über einer Oberseite der optionalen Isolierschicht abgeschieden wird.
    • 9 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine fertige Kanalschicht zeigt, die über der Oberseite der optionalen Isolierschicht abgeschieden wird.
    • 10A ist ein Diagramm, das eine Impulsfolge für ein ALD-System (ALD: Atomlagenabscheidung) zeigt, das gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung zum Herstellen einer amorphen Oxidhalbleiter-Kanalschicht (AOS-Kanalschicht) aus einer Mehrzahl von Teilschichten verwendet werden kann.
    • 10B ist ein Diagramm, das eine alternative Impulsfolge für ein ALD-System zeigt, das gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung zum Herstellen einer AOS-Kanalschicht aus einer Mehrzahl von Teilschichten verwendet werden kann.
    • 11 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine optionale zweite Isolierschicht zeigt, die über einer Oberseite der Kanalschicht abgeschieden wird.
    • 12 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine optionale zweite Seedschicht zeigt, die über einer Oberseite der optionalen zweiten Isolierschicht abgeschieden wird.
    • 13 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine zweite FE-Materialschicht, die über der optionalen zweiten Isolierschicht abgeschieden wird, und eine optionale dritte Seedschicht zeigt, die über einer Oberseite der zweiten FE-Materialschicht abgeschieden wird.
    • 14 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine dielektrische Materialschicht zeigt, die über der optionalen dritten Seedschicht abgeschieden wird.
    • 15 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine strukturierte Maske über einer Oberseite der dielektrischen Materialschicht zeigt.
    • 16 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Öffnungen zeigt, die durch die dielektrische Materialschicht, die optionale dritte Seedschicht, die zweite FE-Materialschicht, die optionale zweite Seedschicht und die optionale zweite Isolierschicht erzeugt werden, um die Oberseite der Kanalschicht freizulegen.
    • 17 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine Plasmabehandlung von Source- und Drainbereichen der Kanalschicht zeigt.
    • 18 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Source- und Drain-Elektroden zeigt, die über den Source- und Drainbereichen der Kanalschicht hergestellt werden.
    • 19 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine strukturierte Maske über Oberseiten der dielektrischen Materialschicht und der Source- und Drain-Elektroden zeigt.
    • 20 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine Öffnung zeigt, die durch die dielektrische Materialschicht erzeugt wird, um eine Oberseite der optionalen dritten Seedschicht freizulegen.
    • 21 ist eine vertikale Schnittansicht einer beispielhaften Struktur einer FeFET-Vorrichtung mit einer Double-Gate-Struktur gemäß einer Ausführungsform der vorliegenden Erfindung.
    • 22 ist eine vertikale Schnittansicht einer beispielhaften Struktur einer FeFET-Vorrichtung mit einer Double-Gate-Struktur gemäß einer weiteren Ausführungsform der vorliegenden Erfindung.
    • 23 ist ein Schaltbild, das FeFET-Vorrichtungen mit einer Double-Gate-Struktur schematisch darstellt, die in einem gemeinsamen Gate-Steuermodus gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung betrieben werden.
    • 24 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Folgendes aufweist: ein Substrat; eine erste dielektrische Schicht über dem Substrat; eine untere Gateelektrode, die in die erste dielektrische Schicht eingebettet ist; eine optionale Verspannungsschicht über der ersten dielektrischen Schicht und der unteren Gateelektrode; eine optionale Seedschicht über der optionalen Verspannungsschicht; eine FE-Materialschicht über der optionalen Seedschicht; und eine optionale Isolierschicht über der FE-Materialschicht.
    • 25 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine strukturierte Maske über der Oberseite der optionalen Isolierschicht zeigt.
    • 26 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Öffnungen zeigt, die durch die optionale Isolierschicht, die FE-Materialschicht, die optionale Seedschicht und die optionale Verspannungsschicht erzeugt werden und sich in eine erste dielektrische Materialschicht erstrecken.
    • 27 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die untere Source- und Drain-Elektroden aufweist, die in den Öffnungen hergestellt werden.
    • 28 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Folgendes aufweist: eine Kanalschicht über den Oberseiten der optionalen Isolierschicht und der unteren Source- und Drain-Elektroden; eine optionale zweite Isolierschicht über der Kanalschicht; eine optionale zweite Seedschicht über der optionalen zweiten Isolierschicht; eine zweite FE-Materialschicht über der optionalen zweiten Seedschicht; und eine optionale dritte Seedschicht über der zweiten FE-Materialschicht.
    • 29 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine strukturierte Maske über der Oberseite der optionalen dritten Seedschicht zeigt.
    • 30 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, der sich an einen Ätzprozess anschließt, in dem eine Mehrschichtstruktur über einer ersten dielektrischen Materialschicht hergestellt wird.
    • 31 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine zweite dielektrische Materialschicht aufweist, die über einer Oberseite und Seitenflächen einer Mehrschichtstruktur 307 und über einer freigelegten Oberseite der ersten dielektrischen Materialschicht hergestellt wird.
    • 32 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine strukturierte Maske über der Oberseite der zweiten dielektrischen Materialschicht aufweist.
    • 33 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Öffnungen zeigt, die durch die zweite dielektrische Materialschicht, die optionale dritte Seedschicht, die zweite FE-Materialschicht, die optionale zweite Seedschicht und die optionale zweite Isolierschicht erzeugt werden, um die Oberseite der Kanalschicht freizulegen.
    • 34 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die obere Source- und Drain-Elektroden aufweist, die über Source- und Drainbereichen einer Kanalschicht hergestellt werden.
    • 35 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine strukturierte Maske über den Oberseiten der zweiten dielektrischen Materialschicht und der oberen Source- und Drain-Elektroden zeigt.
    • 36 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine Öffnung zeigt, die durch die zweite dielektrische Materialschicht erzeugt wird, um eine Oberseite der optionalen dritten Seedschicht freizulegen.
    • 37 ist eine vertikale Schnittansicht einer beispielhaften Struktur einer FeFET-Vorrichtung, die eine Double-Gate-Struktur und obere und untere Source- und Drain-Elektroden aufweist.
    • 38 ist ein Schaltbild, das eine FeFET-Vorrichtung mit einer Double-Gate-Struktur und oberen und unteren Source- und Drain-Elektroden schematisch darstellt, die in einem getrennten Gate-Steuermodus gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung betrieben wird.
    • 39 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Öffnungen zeigt, die durch die zweite dielektrische Materialschicht, die dritte optionale Seedschicht, die zweite FE-Materialschicht, die optionale zweite Seedschicht und die zweite optionale Isolierschicht erzeugt werden, um die Oberseite der Kanalschicht freizulegen.
    • 40 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine dielektrische Abstandshaltermaterialschicht zeigt, die über der Oberseite der zweiten dielektrischen Materialschicht und über Seitenflächen und Unterseiten der Öffnungen abgeschieden wird.
    • 41 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, der sich an einen Ätzprozess zum Entfernen von Teilen der dielektrischen Abstandshaltermaterialschicht über der Oberseite der zweiten dielektrischen Materialschicht und den Unterseiten der Öffnungen anschließt.
    • 42 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Source- und Drain-Elektroden aufweist, die über den Source- und Drainbereichen der Kanalschicht hergestellt werden.
    • 43 ist eine vertikale Schnittansicht einer beispielhaften Struktur einer FeFET-Vorrichtung, die eine Double-Gate-Struktur und dielektrische Abstandshalterschichten aufweist, die die Source- und Drain-Elektroden seitlich umschließen.
    • 44 ist eine vertikale Schnittansicht einer weiteren beispielhaften Struktur einer FeFET-Vorrichtung, die eine Double-Gate-Struktur und dielektrische Abstandshalterschichten aufweist, die obere und untere Source- und Drain-Elektroden seitlich umschließen.
    • 45 ist eine vertikale Schnittansicht einer weiteren beispielhaften Struktur einer FeFET-Vorrichtung, die eine Double-Gate-Struktur und dielektrische Abstandshalterschichten aufweist, die eine obere und eine untere Gateelektrode und obere und untere Source- und Drain-Elektroden seitlich umschließen.
    • 46 ist ein Ablaufdiagramm, das Schritte eines Verfahrens zum Herstellen einer FeFET-Vorrichtung mit einer Double-Gate-Struktur gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung zeigt.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können entsprechend interpretiert werden. Wenn nicht ausdrücklich anders angegeben, wird unterstellt, dass jedes Element mit derselben Bezugszahl dieselbe Materialzusammensetzung und eine Dicke in demselben Dickenbereich hat.
  • Die vorliegende Erfindung betrifft ferroelektrische Strukturen (FE-Strukturen), unter anderem Metall-ferroelektrischer-Halbleiter-Strukturen (MFS-Strukturen), und insbesondere Speicherzellen, Transistoren und Speicherstrukturen, die FE-Materialien aufweisen.
  • Verschiedene Ausführungsformen sind auf FeFET-Strukturen (FeFET: ferroelektrischer Feldeffekttransistor) und Verfahren zu deren Herstellung gerichtet. FeFETs sind neue Vorrichtungen, bei denen eine FE-Schicht als eine Gate-Isolierschicht zwischen einer Gateelektrode und einem Kanalbereich einer Halbleitermaterialschicht verwendet wird. Eine Dauerpolarisation eines elektrischen Felds in der FE-Schicht führt dazu, dass diese Art von Vorrichtung den Zustand des Transistors (Durchlass- oder Sperrzustand) aufrechterhält, wenn eine elektrische Vorspannung fehlt.
  • Ein ferroelektrisches Material ist ein Material, das eine spontane, von null verschiedene elektrische Polarisation (d. h., ein von null verschiedenes elektrisches Gesamt-Dipolmoment) haben kann, wenn ein äußeres elektrisches Feld null ist. Die spontane elektrische Polarisation kann durch ein starkes äußeres elektrisches Feld, das in der entgegengesetzten Richtung angelegt wird, umgekehrt werden. Die elektrische Polarisation hängt nicht nur von dem äußeren elektrischen Feld zum Zeitpunkt der Messung ab, sondern auch von der Entwicklung des äußeren elektrischen Felds, und sie hat daher eine Hystereseschleife. Die maximale elektrische Polarisation wird als eine Sättigungspolarisation bezeichnet. Die elektrische Polarisation, die bestehen bleibt, nachdem ein äußeres elektrisches Feld, das eine Sättigungspolarisation bewirkt, nicht mehr angelegt wird (d. h., ausgeschaltet wird), wird als eine remanente Polarisation bezeichnet. Der Größe des elektrischen Felds, das in der entgegengesetzten Richtung der remanenten Polarisation angelegt werden muss, um eine Nullpolarisation zu erzielen, wird als eine elektrische Koerzitivkraft bezeichnet.
  • Bei einigen Ausführungsformen kann eine ferroelektrische Struktur (FE-Struktur), wie etwa eine FeFET-Struktur, eine Speicherzelle einer Speichermatrix bilden. Bei einer FeFET-basierten Speicherzelle kann ein FE-Material, das zwischen der Gateelektrode und dem Kanalbereich der Halbleitermaterialschicht angeordnet ist, zwei stabile remanente Polarisationszustände haben. In einem remanenten Polarisationszustand kann der FeFET dauerhaft in einem Durchlasszustand sein, und in dem anderen remanenten Polarisationszustand kann der FeFET dauerhaft in einem Sperrzustand sein. Somit kann der Polarisationszustand der FE-Schicht zum nichtflüchtigen Codieren von Informationen (d. h. Bits) verwendet werden. Der logische Zustand der FeFET-basierten Speicherzelle kann durch Abtasten des Widerstands an den Anschlüssen (z. B. den Source- und Drain-Anschlüssen) des FeFET nichtlöschend gelesen werden. Eine Differenz zwischen einer Schwellenspannung des FeFET im Durchlasszustand und im Sperrzustand kann als ein „Speicherfenster“ (MW) der FeFET-basierten Speicherzelle bezeichnet werden.
  • Zum Umprogrammieren der FeFET-basierten Speicherzelle kann eine ausreichend hohe Spannung an den FeFET angelegt werden, um einen Polarisationszustand des FE-Materials zu induzieren, um den logischen Zustand der FeFET-Speicherzelle umzukehren und dadurch zu ändern.
  • Zum Herstellen von ferroelektrisch basierten Speichervorrichtungen ist es in der Regel zweckmäßig, eine hohe remanente Polarisation und eine hohe elektrische Koerzitivkraft zu haben. Durch die hohe remanente Polarisation kann die Stärke eines elektrischen Signals erhöht werden. Eine hohe elektrische Koerzitivkraft macht die Speichervorrichtungen stabiler gegen Störungen, die von elektrischen Rauschpegel-Feldern und -Interferenzen verursacht werden. Außerdem ist es zweckmäßig, ferroelektrisch basierte Speichervorrichtungen, wie etwa FeFET-basierte Speichervorrichtungen, zu haben, die ein relativ großes Speicherfenster (MW) und einen hohen Durchlassstrom (Ion) haben, um sicherzustellen, dass die logischen Zustände der Speicherzellen während einer Leseoperation richtig interpretiert werden.
  • Die Herstellung von FeFETs mit TFT-Technologien und -Strukturen (TFT: Dünnschichttransistor) unter Verwendung von Oxidhalbleitern ist eine interessante Option für eine BEOL-Integration (BEOL: Back End of Line), da TFTs bei niedrigen Temperaturen bearbeitet werden können und daher bereits hergestellte Vorrichtungen nicht beschädigen. Bisher hat es sich jedoch als schwierig erwiesen, ferroelektrische Gate-Oxide mit Oxidhalbleiterkanälen zu integrieren und gleichzeitig adäquate ferroelektrische Eigenschaften und eine adäquate Vorrichtungsleistung aufrechtzuerhalten.
  • Dementsprechend werden bei verschiedenen Ausführungsformen ferroelektrische Strukturen, unter anderem FeFETs, und Verfahren zum Herstellen von ferroelektrischen Strukturen bereitgestellt, die verbesserte ferroelektrische Eigenschaften und eine verbesserte Vorrichtungsleistung haben. Insbesondere umfassen verschiedene Ausführungsformen FeFET-Vorrichtungen, die eine Double-Gate-Struktur mit einer ersten ferroelektrischen Materialschicht, die zwischen einer ersten Gateelektrode und einer ersten Seite einer Kanalschicht angeordnet ist, und einer zweiten ferroelektrischen Materialschicht haben, die zwischen einer zweiten Gateelektrode und einer zweiten Seite der Kanalschicht angeordnet ist, die der ersten Seite der Kanalschicht gegenüberliegt. Bei verschiedenen Ausführungsformen kann die Kanalschicht eine Metalloxid-Halbleiterkanalschicht sein.
  • Bei verschiedenen Ausführungsformen kann eine FeFET-Vorrichtung mit einer Double-Gate-Struktur in einem gemeinsamen Gate-Steuermodus betrieben werden, bei dem eine gemeinsame Gatespannung gleichzeitig an die erste und die zweite Gateelektrode angelegt werden kann. Dadurch kann eine FeFET-basierte Speichervorrichtung bereitgestellt werden, die eine größere Polarisation, ein größeres Speicherfenster und einen höheren Durchlassstrom 1", hat.
  • Alternativ oder zusätzlich kann eine FeFET-Vorrichtung mit einer Double-Gate-Struktur in einem getrennten Gate-Steuermodus betrieben werden, bei dem unterschiedliche Spannungen selektiv an die erste und die zweite Gateelektrode angelegt werden können. Bei verschiedenen Ausführungsformen kann ein erstes Paar Source- und Drain-Elektroden die erste Seite der Kanalschicht elektrisch kontaktieren, und ein zweites Paar Source- und Drain-Elektroden kann die zweite Seite der Kanalschicht elektrisch kontaktieren. Die erste Gateelektrode, die erste ferroelektrische Materialschicht, das erste Paar Source- und Drain-Elektroden und die Kanalschicht können eine erste FeFET-Speicherzelle bereitstellen, und die zweite Gateelektrode, die zweite ferroelektrische Materialschicht, das zweite Paar Source- und Drain-Elektroden und die Kanalschicht können eine zweite FeFET-Speicherzelle bereitstellen. Bei einigen Ausführungsformen kann die erste FeFET-Speicherzelle eine primäre Speicherzelle sein, und die zweite FeFET-Speicherzelle kann eine sekundäre oder Backup-Speicherzelle sein. In Fällen, in denen die Funktionalität der ersten (d. h., primären) FeFET-Speicherzelle ausfällt oder die FeFET-Speicherzelle ihre Funktionalität verliert, kann eine FeFET-Vorrichtung, die in einem getrennten Gate-Steuermodus arbeitet, die zweite Speicherzelle (d. h., die Backup-Speicherzelle) zum Speichern und/oder Abrufen von Logischer-Zustand-Informationen nutzen. Dadurch kann eine Speichervorrichtung bereitgestellt werden, die eine verbesserte Zuverlässigkeit und Leistung hat.
  • In 1A ist eine vertikale Schnittansicht einer ersten beispielhaften Struktur gemäß einer Ausführungsform der vorliegenden Erfindung vor dem Herstellen einer Matrix von Speicherstrukturen gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung gezeigt. Die erste beispielhafte Struktur weist eine Substrat 8 auf, das eine Halbleitermaterialschicht 10 enthält. Das Substrat 8 kann ein massives Halbleitersubstrat, wie etwa ein Siliziumsubstrat, sein, in dem sich die Halbleitermaterialschicht 10 zusammenhängend von einer Oberseite des Substrats 8 bis zu dessen Unterseite erstreckt, oder das Substrat 8 kann eine Halbleiter-auf-Isolator-Schicht sein, die die Halbleitermaterialschicht 10 als eine obere Halbleiterschicht enthält, die über einer vergrabenen Isolierschicht (wie etwa einer Siliziumoxidschicht) angeordnet ist. Die erste beispielhafte Struktur kann verschiedene Vorrichtungsbereiche aufweisen, unter anderem einen Speichermatrixbereich 50, in dem später mindestens eine Matrix von nichtflüchtigen Speicherzellen hergestellt werden kann.
  • Die erste beispielhafte Struktur kann außerdem einen peripheren Logikbereich 52 aufweisen, in dem später elektrische Verbindungen zwischen jeder Matrix von nichtflüchtigen Speicherzellen und einer peripheren Schaltung mit Feldeffekttransistoren hergestellt werden können. Bereiche des Speichermatrixbereichs 50 und des Logikbereichs 52 können zum Herstellen verschiedener Elemente in der peripheren Schaltung verwendet werden.
  • Halbleitervorrichtungen, wie etwa Feldeffekttransistoren (FETs), können während einer FEOL-Operation (FEOL: Front End of Line) auf und/oder in der Halbleitermaterialschicht 10 hergestellt werden. Zum Beispiel können STI-Strukturen 12 (STI: flache Grabenisolation) in einem oberen Teil der Halbleitermaterialschicht 10 dadurch hergestellt werden, dass flache Gräben erzeugt werden, die dann mit einem dielektrischen Material wie Siliziumoxid gefüllt werden. Andere geeignete dielektrische Materialien liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. In verschiedenen Bereichen des oberen Teils der Halbleitermaterialschicht 10 können verschiedene dotierte Wannen (nicht einzeln dargestellt) durch Durchführen von maskierten Ionenimplantationsprozessen erzeugt werden.
  • Über einer Oberseite des Substrats 8 können Gatestrukturen 20 durch Abscheiden und Strukturieren einer dielektrischen Gateschicht, einer Gateelektrodenschicht und einer dielektrischen Gateverkappungsschicht hergestellt werden. Jede Gatestruktur 20 kann einen vertikalen Stapel aus einer dielektrischen Gateschicht 22, einer Gateelektrode 24 und einer dielektrischen Gateverkappungsschicht 28 aufweisen, der hier als ein Gatestapel (22, 24, 28) bezeichnet wird. Mit Ionenimplantationsprozessen können vergrößerte Implantationsbereiche erzeugt werden, die vergrößerte Sourcebereiche und vergrößerte Drainbereiche umfassen können. Um die Gatestapel (22, 24, 28) können dielektrische Gate-Abstandshalter 26 hergestellt werden. Jede Gruppe aus einem Gatestapel (22, 24, 28) und einem dielektrischen Gate-Abstandshalter 26 bildet eine Gatestruktur 20. Es können weitere Ionenimplantationsprozesse durchgeführt werden, in denen die Gatestrukturen 20 als selbstjustierte Implantationsmasken verwendet werden, um tiefe aktive Bereiche zu erzeugen. Diese tiefen aktiven Bereiche können tiefe Sourcebereiche und tiefe Drainbereiche sein. Obere Teile der tiefen aktiven Bereiche können sich mit Teilen der vergrößerten Implantationsbereiche überlappen. Jede Kombination aus einem vergrößerten Implantationsbereich und einem tiefen aktiven Bereich kann einen aktiven Bereich 14 bilden, der in Abhängigkeit von einem elektrischen Vorspannen ein Sourcebereich oder ein Drainbereich sein kann. Unter jedem Gatestapel (22, 24, 28) kann zwischen einem benachbarten Paar von aktiven Bereichen 14 ein Halbleiterkanal 15 hergestellt werden. Auf einer Oberseite jedes aktiven Bereichs 14 können Metall-Halbleiter-Legierungsbereiche 18 erzeugt werden. Auf der Halbleitermaterialschicht 10 können Feldeffekttransistoren hergestellt werden. Jeder Feldeffekttransistor kann eine Gatestruktur 20, einen Halbleiterkanal 15, ein Paar aktive Bereiche 14 (von denen einer als ein Sourcebereich und der andere als ein Drainbereich funktioniert) und optionale Metall-Halbleiter-Legierungsbereiche 18 aufweisen. Auf der Halbleitermaterialschicht 10 können CMOS-Schaltungen 75 (CMOS: komplementärer Metalloxidhalbleiter) vorgesehen werden, die eine periphere Schaltung für eine oder mehrere Matrizen von Transistoren, wie etwa Dünnschichttransistoren (TFTs), und später herzustellende Speichervorrichtungen umfassen können.
  • Anschließend können verschiedene Interconnect-Ebene-Strukturen hergestellt werden, die vor der Herstellung einer Matrix von Back-Gate-FinFETs hergestellt werden und hier als Untere-Interconnect-Ebene-Strukturen (Lo, L1, L2) bezeichnet werden. Wenn später eine zweidimensionale Matrix von TFTs und Speichervorrichtungen über zwei Ebenen von Interconnect-Ebene-Metallleitungen hergestellt werden sollen, können die Untere-Interconnect-Ebene-Strukturen (Lo, L1, L2) eine Kontakt-Ebene-Struktur Lo, eine erste Interconnect-Ebene-Struktur L1 und eine zweite Interconnect-Ebene-Struktur L2 umfassen. Die Kontakt-Ebene-Struktur Lo kann Folgendes aufweisen: eine dielektrische Planarisierungsschicht 31A, die ein planarisierbares dielektrisches Material wie Siliziumoxid enthält; und verschiedene Durchkontaktstrukturen 41V, die eine(n) jeweilige(n) der aktiven Bereiche 14 oder der Gateelektroden 24 kontaktieren und in der dielektrischen Planarisierungsschicht 31A hergestellt werden. Die erste Interconnect-Ebene-Struktur L1 weist eine erste dielektrische Interconnect-Ebene-Schicht 31B (erste ILD-Schicht 31B) und erste Metallleitungen 41L auf, die in der ersten ILD-Schicht 31B hergestellt sind. Die erste ILD-Schicht 31B wird auch als eine erste dielektrische Leitungsebene-Schicht bezeichnet. Die ersten Metallleitungen 41L können eine jeweilige der Durchkontaktstrukturen 4iV kontaktieren. Die zweite Interconnect-Ebene-Struktur L2 weist eine zweite ILD-Schicht 32 auf, die einen Stapel aus einer ersten dielektrischen Durchkontaktierungsebene-Materialschicht und einer zweiten dielektrischen Durchkontaktierungsebene-Materialschicht oder einer dielektrischen Leitungs- und Durchkontaktierungsebene-Materialschicht aufweisen kann. In zweiten metallischen Interconnect-Ebene-Strukturen (42V, 42L), die erste metallische Durchkontaktierungsstrukturen 42V und zweite Metallleitungen 42L umfassen, kann die zweite ILD-Schicht 32 hergestellt sein. Oberseiten der zweiten Metallleitungen 42L können koplanar mit der Oberseite der zweiten ILD-Schicht 32 sein.
  • 1B ist eine vertikale Schnittansicht der ersten beispielhaften Struktur während des Herstellens einer Matrix von ferroelektrisch basierten Vorrichtungen, wie etwa TFT-FeFET-Speicherzellen, gemäß einer Ausführungsform der vorliegenden Erfindung. In 1B kann eine Matrix 95 von nichtflüchtigen Speicherzellen, wie etwa von TFT-FeFET-Vorrichtungen, in dem Speichermatrixbereich 50 über der zweiten Interconnect-Ebene-Struktur L2 hergestellt werden. Nachstehend werden die Einzelheiten für die Struktur und die Bearbeitungsschritte für die Matrix 95 von nichtflüchtigen Speicherzellen näher beschrieben. Während der Herstellung der Matrix 95 von nichtflüchtigen Speicherzellen kann eine dritte ILD-Schicht 33 hergestellt werden. Die Gruppe aus allen Strukturen, die in der Ebene der Matrix 95 von nichtflüchtigen Speicherzellen hergestellt werden, wird hier als eine dritte Interconnect-Ebene-Struktur L3 bezeichnet.
  • 1C ist eine vertikale Schnittansicht der ersten beispielhaften Struktur nach dem Herstellen von metallischen Obere-Ebene-Interconnect-Strukturen gemäß einer Ausführungsform der vorliegenden Erfindung. In 1C können in der dritten ILD-Schicht 33 dritte metallische Interconnect-Ebene-Strukturen (43V, 43L) hergestellt werden. Die dritten metallischen Interconnect-Ebene-Strukturen (43V, 43L) können zweite metallische Durchkontaktierungsstrukturen 43V und dritte Metallleitungen 43L umfassen. Anschließend können weitere Interconnect-Ebene-Strukturen hergestellt werden, die hier als obere Interconnect-Ebene-Strukturen (L4, L5, L6, L7) bezeichnet werden. Die oberen Interconnect-Ebene-Strukturen (L4, L5, L6, L7) können zum Beispiel eine vierte Interconnect-Ebene-Struktur L4, eine fünfte Interconnect-Ebene-Struktur L5, eine sechste Interconnect-Ebene-Struktur L6 und eine siebente Interconnect-Ebene-Struktur L7 umfassen. Die vierte Interconnect-Ebene-Struktur L4 kann eine vierte ILD-Schicht 34 aufweisen, in der vierte metallische Interconnect-Ebene-Strukturen (44V, 44L) hergestellt sind, die dritte metallische Durchkontaktierungsstrukturen 44V und vierte Metallleitungen 44L umfassen können. Die fünfte Interconnect-Ebene-Struktur L5 kann eine fünfte ILD-Schicht 35 aufweisen, in der fünfte metallische Interconnect-Ebene-Strukturen (45V, 45L) hergestellt sind, die vierte metallische Durchkontaktierungsstrukturen 45V und fünfte Metallleitungen 45L umfassen können. Die sechste Interconnect-Ebene-Struktur L6 kann eine sechste ILD-Schicht 36 aufweisen, in der sechste metallische Interconnect-Ebene-Strukturen (46V, 46L) hergestellt sind, die fünfte metallische Durchkontaktierungsstrukturen 46V und sechste Metallleitungen 46L umfassen können. Die siebente Interconnect-Ebene-Struktur L7 kann eine siebente ILD-Schicht 37 aufweisen, in der sechste metallische Durchkontaktierungsstrukturen 47V (die siebente metallische Interconnect-Ebene-Strukturen sind) und metallische Bondpads 47B hergestellt sind. Die metallischen Bondpads 47B können zum Lötbonden (zum Beispiel C4-Kugelbonden oder Drahtbonden) oder zum Metall-Metall-Bonden (wie etwa Kupfer-Kupfer-Bonden) konfiguriert sein.
  • Jede ILD-Schicht kann als eine ILD-Schicht 30 bezeichnet werden. Jede der metallischen Interconnect-Ebene-Strukturen kann als eine metallische Interconnect-Struktur 40 bezeichnet werden. Jede zusammenhängende Kombination aus einer metallischen Durchkontaktierungsstruktur und einer darüber befindlichen Metallleitung, die in derselben Interconnect-Ebene-Struktur (L2 bis L7) angeordnet sind, kann nacheinander als zwei unterschiedliche Strukturen mit zwei Single-Damascene-Prozessen hergestellt werden, oder sie kann als eine Einheitsstruktur mit einem Dual-Damascene-Prozess hergestellt werden. Jede metallische Interconnect-Struktur 40 kann einen jeweiligen metallischen Belag (wie etwa eine Schicht aus TiN, TaN oder WN, die eine Dicke von 2 nm bis 20 nm hat) und ein jeweiliges metallisches Füllmaterial (wie etwa W, Cu, Co, Mo, Ru, ein anderes elementares Metall oder eine Legierung oder eine Kombination davon) aufweisen. Andere geeignete Materialien zur Verwendung als ein metallischer Belag und als ein metallisches Füllmaterial liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. Verschiedene dielektrische Ätzstoppschichten und dielektrische Verkappungsschichten können zwischen vertikal benachbarte Paare von ILD-Schichten 30 geschichtet werden, oder sie können in eine oder mehrere der ILD-Schichten 30 integriert werden.
  • Die vorliegende Erfindung wird zwar anhand einer Ausführungsform beschrieben, bei der die Matrix 95 von nichtflüchtigen Speicherzellen, wie etwa TFT-FeFET-Vorrichtungen, als eine Komponente einer dritten Interconnect-Ebene-Struktur L3 hergestellt werden kann, aber es werden hier ausdrücklich auch Ausführungsformen in Betracht gezogen, bei denen die Matrix 95 von nichtflüchtigen Speicherzellen als Komponenten einer anderen Interconnect-Ebene-Struktur (Li bis L7) hergestellt werden kann. Außerdem wird die vorliegende Erfindung zwar anhand einer Ausführungsform beschrieben, bei der eine Gruppe von acht Interconnect-Ebene-Strukturen hergestellt wird, aber es werden hier ausdrücklich auch Ausführungsformen in Betracht gezogen, bei denen eine andere Anzahl von Interconnect-Ebene-Strukturen verwendet wird. Darüber hinaus werden hier ausdrücklich auch Ausführungsformen in Betracht gezogen, bei denen zwei oder mehr Matrizen 95 von nichtflüchtigen Speicherzellen in mehreren Interconnect-Ebene-Strukturen in dem Speichermatrixbereich 50 vorgesehen werden können. Die vorliegende Erfindung wird zwar anhand einer Ausführungsform beschrieben, bei der die Matrix 95 von nichtflüchtigen Speicherzellen in einer einzigen Interconnect-Ebene-Struktur hergestellt werden kann, aber es werden hier ausdrücklich auch Ausführungsformen in Betracht gezogen, bei denen die Matrix 95 von nichtflüchtigen Speicherzellen über zwei vertikal benachbarten Interconnect-Ebene-Strukturen hergestellt werden kann. Außerdem werden hier ausdrücklich auch Ausführungsformen in Betracht gezogen, bei denen eine Matrix 95 von nichtflüchtigen Speicherzellen auf oder in der Halbleitermaterialschicht 10 (z. B. in einer FEOL-Operation) hergestellt werden kann.
  • Die 2 bis 9 und 11 bis 21 sind sequentielle vertikale Schnittansichten einer beispielhaften Struktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, wie etwa einer TFT-FeFET-Vorrichtung, gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung. Die FeFET-Vorrichtung kann eine Speicherzelle bilden, die ein Teil einer Matrix 95 von Speicherzellen ist, die in 1C gezeigt ist. In 2 kann eine erste dielektrische Schicht 110 über einem Substrat 100 abgeschieden werden. Das Substrat 100 kann jedes geeignete Substrat, wie etwa ein Halbleitervorrichtungssubstrat, sein, und es kann Steuerelemente aufweisen, die in FEOL-Prozessen hergestellt werden. Bei einigen Ausführungsformen können eine oder mehrere weitere dielektrischen Schichten, wie etwa ILD-Schichten, zwischen dem Substrat 100 und der ersten dielektrischen Schicht 110 abgeschieden werden. Bei diesen Ausführungsformen kann die erste dielektrische Schicht 110 weggelassen werden. Zum Beispiel kann die ILD-Schicht 33, die vorstehend unter Bezugnahme auf die 1B und 1C erörtert worden ist, über dem Substrat 100 abgeschieden werden oder durch dieses ersetzt werden.
  • Die erste dielektrische Schicht 110 kann aus einem geeigneten dielektrischen Material, wie etwa Siliziumoxid (SiO2), oder dergleichen oder dielektrischen High-k-Materialien hergestellt werden, wie etwa Siliziumnitrid (SiN4), Hafniumoxid (HfO2), Hafnium-Siliziumoxid (HfSiO), Hafnium-Tantaloxid (HfTaO), Hafnium-Titanoxid (HfTiO), Hafnium-Zirconiumoxid (Hf0,5Zr0,5O2), Tantaloxid (Ta2O5), Aluminiumoxid (Al2O3), Hafniumoxid-Aluminiumoxid (HfO2-Al2O3), Zirconiumoxid (ZrO2) oder dergleichen. Bei einigen Ausführungsformen kann die erste dielektrische Schicht 110 eine systemeigene Oxidschicht sein, die auf dem Substrat 100 hergestellt wird. Andere geeignete dielektrische Materialien können ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung liegen.
  • Die erste dielektrische Schicht 110 kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden. Hier sind geeignete Abscheidungsverfahren chemische Aufdampfung (CVD), physikalische Aufdampfung (PVD), Atomlagenabscheidung (ALD), chemische Aufdampfung mit einem Plasma hoher Dichte (HDP-CVD), metallorganische CVD (MOCVD), plasmaunterstützte CVD (PECVD), Sputtern, Laser-Ablation oder dergleichen.
  • 3 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur, die eine untere Gateelektrodenschicht 120 zeigt, die in der ersten dielektrischen Schicht 110 hergestellt wird. In 3 kann die untere Gateelektrodenschicht 120 auf der ersten dielektrischen Schicht 110 abgeschieden werden. Bei einigen Ausführungsformen kann die untere Gateelektrodenschicht 120 in die erste dielektrische Schicht 110 eingebettet werden. Zum Beispiel kann über der ersten dielektrischen Schicht 110 eine Fotoresistschicht (nicht dargestellt) abgeschieden werden, die dann mit Fotolithografieverfahren strukturiert wird. Die Struktur der Fotoresistschicht kann dann auf die erste dielektrische Schicht 110 übertragen werden, und dadurch kann die erste dielektrische Schicht 110 so strukturiert werden, dass Gräben entstehen. In den Gräben kann ein elektrisch leitfähiges Material abgeschieden werden, und mit einem Planarisierungsprozess können Oberseiten der unteren Gateelektrodenschicht 120 und der ersten dielektrischen Schicht 110 planarisiert werden.
  • Alternativ kann die untere Gateelektrodenschicht 120 als eine zusammenhängende Elektrodenschicht auf der Oberseite der ersten dielektrischen Schicht 110 so abgeschieden werden, dass die zusammenhängende Elektrodenschicht die Oberseite der ersten dielektrischen Schicht 110 kontaktiert. Ausgewählte Teile der zusammenhängenden Elektrodenschicht können (z. B. durch Ätzen der zusammenhängenden Elektrodenschicht unter Verwendung einer strukturierten Maske, die mit Fotolithografieprozessen hergestellt wird) entfernt werden, um eine oder mehrere diskrete strukturierte Elektrodenschichten 120 auf der ersten dielektrischen Schicht 110 herzustellen. Dann kann ein weiteres dielektrisches Material über den freiliegenden Oberflächen der ersten dielektrischen Schicht 110, den Seitenflächen der strukturierten Elektrodenschicht und optional über der Oberseite der einen oder mehreren unteren Gateelektrodenschichten 120 abgeschieden werden, um die eine oder die mehreren unteren Gateelektrodenschichten 120 in das dielektrische Material einzubetten. Die Oberseiten der unteren Gateelektrodenschicht 120 und der ersten dielektrischen Schicht 110 können dann mit einem Planarisierungsprozess planarisiert werden, um eine untere Gateelektrodenschicht 120 bereitzustellen, die in die erste dielektrische Schicht 110 eingebettet ist, wie in 3 gezeigt ist.
  • Bei anderen Ausführungsformen kann die untere Gateelektrodenschicht 120 in eine Halbleitermaterialschicht, wie etwa die in den 1A bis 1C gezeigte Halbleitermaterialschicht 10, eingebettet werden.
  • Die untere Gateelektrodenschicht 120 kann ein geeignetes elektrisch leitfähiges Material aufweisen, wie etwa Kupfer (Cu), Aluminium (AI), Zirconium (Zr), Titan (Ti), Titannidrid (TiN), Wolfram (W), Tantal (Ta), Tantalnitrid (TaN), Molybdän (Mo), Ruthenium (Ru), Palladium (Pd), Platin (Pt), Cobalt (Co), Nickel (Ni), Iridium (Ir), Eisen (Fe), Beryllium (Be), Chrom (Cr), Antimon (Sb), Osmium (Os), Thorium (Th), Vanadium (V), Legierungen davon oder Kombinationen davon. Andere geeignete elektrisch leitfähige Materialien für die untere Gateelektrodenschicht 120 liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. Bei einigen Ausführungsformen kann das Material der unteren Gateelektrodenschicht 120 optional einen niedrigeren Wärmeausdehnungskoeffizienten (WAK) als eine FE-Materialschicht haben, die später über der unteren Gateelektrodenschicht 120 hergestellt wird. Durch Verwenden einer unteren Gateelektrodenschicht 120 mit einem niedrigeren WAK als dem einer darüber befindlichen FE-Materialschicht kann eine Zugspannung auf die FE-Materialschicht aufgebracht werden, und die ferroelektrischen Eigenschaften der FE-Materialschicht können verbessert werden, wie später näher dargelegt wird. Bei einigen Ausführungsformen kann der WAK des Materials der unteren Gateelektrodenschicht 120 weniger als 14 × 10-6/K betragen.
  • Die untere Gateelektrodenschicht 120 kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden. Geeignete Abscheidungsverfahren sind zum Beispiel PVD, Sputtern, CVD, ALD, PECVD oder Kombinationen davon. Eine Dicke der unteren Gateelektrodenschicht 120 kann 10 nm bis 100 nm betragen, aber es können auch kleinere und größere Dicken verwendet werden.
  • 4 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur, die eine optionale Verspannungsschicht 130 zeigt, die über den Oberseiten der unteren Gateelektrodenschicht 120 und der ersten dielektrischen Schicht 110 abgeschieden wird. In 4 kann die optionale Verspannungsschicht 130 ein Metalloxidmaterial aufweisen, das als eine Pufferschicht für eine FE-Materialschicht funktioniert, die später über der Verspannungsschicht 130 abgeschieden wird. Die optionale Verspannungsschicht 130 kann ein Material sein, das eine Gitterfehlanpassung mit dem ferroelektrischen Material hat, das später über der Verspannungsschicht 130 abgeschieden wird, sodass eine Zugspannung in der ferroelektrischen Materialschicht induziert wird. Bekanntlich können bei zahlreichen FE-Materialien, wie etwa Hafnium-Zirconiumoxid (HfxZri-xOy, das auch als „HZO“ bezeichnet wird), kleine Änderungen der Gitterparameter dazu führen, dass ein größerer Teil des FE-Materials eine erwünschte Kristallphase, wie etwa eine orthorhombische Kristallphase, gegenüber anderen Kristallphasen, wie etwa einer monoklinen Kristallphase, hat. Durch eine Zugverspannung infolge einer Gitterfehlanpassung zwischen der Verspannungsschicht 130 und der FE-Schicht kann eine FE-Schicht bereitgestellt werden, die verbesserte ferroelektrische Eigenschaften hat, wie etwa eine höhere remanente Polarisation Pr.
  • Die optionale Verspannungsschicht 130 kann ein Metalloxidmaterial aufweisen, wie etwa Ta2O5, K2O, Rb2O, SrO, BaO, a-V2O3, a-Cr2O3, a-Ga2O3, a-Fe2O3, a-Ti2O3, a-In2O3, YAlO3, Bi2O3, Yb2O3, Dy2O3, Gd2O3, SrTiO3, DyScO3, TbScO3, GdScO3, NdScO3, NdGaO3 oder LaSrAlTaO3 (LSAT) oder eine Kombination davon. Bei verschiedenen Ausführungsformen kann die Verspannungsschicht 130 eine Mehrschichtstruktur sein, die mindestens eine Schicht aufweist, die aus LaSrMnO3 (LMSO) besteht. Die Verspannungsschicht 130 kann zum Beispiel eine Zweischichtstruktur sein, wie etwa LSMO/SrTiO3, LSMO/DySCO3, LSMO/TbSCO3, LSMO/GdSCO3, LSMO/NdSCO3, LSMO/NdGaO3 und LSMO/LSAT. Andere geeignete Materialien für die Verspannungsschicht 130 liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. Bei verschiedenen Ausführungsformen kann eine Gitterkonstante ao der optionalen Verspannungsschicht 130 größer als eine planare Gitterkonstante des Materials der FE-Materialschicht sein, die später über der Verspannungsschicht 130 hergestellt wird, um eine Zugverspannung in der FE-Materialschicht zu induzieren.
  • Die optionale Verspannungsschicht 130 kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden. Bei verschiedenen Ausführungsformen kann die optionale Verspannungsschicht 130 durch ALD oder Impulslaser-Abscheidung (PLD) abgeschieden werden. Bei einigen Ausführungsformen kann die optionale Verspannungsschicht 130 für 30 s bis 10 min bei Temperaturen von 300 °C bis 700 °C thermisch getempert werden, um die Kristallinität der Verspannungsschicht 130 zu erhöhen. Es können auch längere oder kürzere Temperzeiten sowie höhere oder niedrigere Tempertemperaturen verwendet werden. Alternativ oder zusätzlich kann die Verspannungsschicht 130 als ein quasi-einkristallines Metalloxidmaterial mit einem geeigneten Abscheidungsverfahren (z. B. PLD) abgeschieden werden. Eine Dicke der optionalen Verspannungsschicht 130 kann 0,5 nm bis 5 nm betragen, aber es können auch kleinere und größere Dicken verwendet werden.
  • 5 ist eine vertikale Schnittansicht einer beispielhaften Struktur, die eine optionale Seedschicht 135 zeigt, die über einer Oberseite der optionalen Verspannungsschicht 130 abgeschieden wird. Bei Ausführungsformen, bei denen die optionale Verspannungsschicht 130 nicht vorhanden ist, kann die optionale Seedschicht 135 über den Oberseiten der unteren Gateelektrodenschicht 120 und der ersten dielektrischen Schicht 110 abgeschieden werden. Die optionale Seedschicht 135 (die auch als eine ferroelektrische Unterstützungsschicht bezeichnet wird) kann ein Material aufweisen, das so konfiguriert ist, dass es die Herstellung einer gewünschten Kristallstruktur in einer FE-Materialschicht unterstützt, die später darauf hergestellt wird. Zum Beispiel kann die Seedschicht 135 die Herstellung von kubischen (c-), tetragonalen (t-) und/oder orthorhombischen (o-)Kristallphasen gegenüber monoklinen Kristallphasen (m-Phasen) in der später hergestellten FE-Materialschicht unterstützen, und sie kann außerdem die Umwandlung von t-Phasen-Kristallstrukturen in m-Phasen-Kristallstrukturen in der FE-Materialschicht verhindern. Dadurch kann eine FE-Materialschicht entstehen, die verbesserte ferroelektrische Eigenschaften hat, wie etwa eine verbesserte remanente Polarisation Pr.
  • Bei verschiedenen Ausführungsformen kann die optionale Seedschicht 135 ein Metalloxidmaterial sein, wie etwa Zirconiumoxid (ZrO2), Zirconium-Yttriumoxid (ZrO2-Y2O3), Hafniumoxid (HfO2), Aluminiumoxid (Al2O3) oder Hafnium-Zirconiumoxid (HfxZr1-xO2, worin 0 ≤ x ≤1 ist) oder eine Kombination davon. Andere geeignete Materialien für die Seedschicht 135 können ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung liegen. Die Seedschicht 135 kann eine einzelne Schicht aus einem Metalloxidmaterial sein, oder sie kann mehrere Schichten aus Metalloxidmaterialien aufweisen, die unterschiedliche Zusammensetzungen haben können. Bei verschiedenen Ausführungsformen kann das Material der Seedschicht eine Kristallstruktur haben, die kubische, tetragonale und/oder orthorhombische Kristallphasen hat.
  • Die optionale Seedschicht 135 kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden. Bei verschiedenen Ausführungsformen kann die optionale Seedschicht 135 durch ALD oder PLD abgeschieden werden. Bei einigen Ausführungsformen kann die optionale Seedschicht 135 für 30 s bis 10 min bei Temperaturen von 300 °C bis 700 °C thermisch getempert werden, um die Kristallinität der Seedschicht 135 zu erhöhen. Bei Ausführungsformen, bei denen eine optionale Verspannungsschicht 130 vorhanden ist, können die Verspannungsschicht 130 und die Seedschicht 135 gleichzeitig getempert werden, oder sie können in getrennten Temperschritten getempert werden. Alternativ oder zusätzlich kann die Seedschicht 135 als ein quasi-einkristallines Metalloxidmaterial mit einem geeigneten Abscheidungsverfahren (z. B. PLD) abgeschieden werden. Eine Dicke der optionalen Seedschicht 135 kann 0,1 nm bis 5 nm betragen, aber es können auch kleinere und größere Dicken verwendet werden.
  • 6 ist eine vertikale Schnittansicht einer beispielhaften Struktur, die eine FE-Materialschicht 140 zeigt, die über einer Oberseite der optionalen Seedschicht 135 abgeschieden wird. Bei Ausführungsformen, bei denen die optionale Seedschicht 135 nicht vorhanden ist, kann die FE-Materialschicht 140 über der Oberseite der optionalen Verspannungsschicht 130 abgeschieden werden. Bei Ausführungsformen, bei denen weder die optionale Seedschicht 135 noch die optionale Verspannungsschicht 130 vorhanden sind, kann die FE-Materialschicht 140 über den Oberseiten der unteren Gateelektrodenschicht 120 und der ersten dielektrischen Schicht 110 abgeschieden werden.
  • Die FE-Materialschicht 140 kann aus einem geeigneten ferroelektrischen Material hergestellt werden. Bei verschiedenen Ausführungsformen kann die FE-Materialschicht 140 ein Hafniumoxid-basiertes ferroelektrisches Material sein, wie etwa HfxZr1-xOy, worin 0 ≤x ≤1 ist (z. B. Hf0,5Zr0,5O2), HfO2, HfSiO, HfLaO und dergleichen. Bei verschiedenen Ausführungsformen kann die FE-Materialschicht 140 Hafnium-Zirconiumoxid (HZO) sein, das mit Atomen dotiert ist, die einen kleineren Ionendurchmesser als Hafnium haben (z. B. Al, Si usw.), oder das mit Atomen dotiert ist, die einen größeren Ionendurchmesser als Hafnium haben (z. B. La, Sc, Ca, Ba, Gd, Y, Sr usw.). Der eine oder die mehreren Dotanden können eine Konzentration haben, die so konfiguriert ist, dass sie eine ferroelektrische Eigenschaft der FE-Materialschicht 140 verbessert, zum Beispiel die remanente Polarisation erhöht. Bei verschiedenen Ausführungsformen können Dotanden, die einen kleineren Ionendurchmesser als Hafnium haben, und/oder Dotanden, die einen größeren Ionendurchmesser als Hafnium haben, eine Dotierungskonzentration haben, die etwa 1 Mol-% bis etwa 20 Mol-% beträgt. Bei einigen Ausführungsformen kann das FE-Material der FE-Materialschicht 140 Sauerstoff-Leerstellen aufweisen. Sauerstoff-Leerstellen in dem FE-Material können die Entstehung von orthorhombischen Kristallphasen (o-Kristallphasen) in der FE-Materialschicht 140 unterstützen.
  • Bei einigen Ausführungsformen kann das FE-Material der FE-Materialschicht 140 AlN sein, das mit Sc dotiert ist. Andere geeignete Materialien für die FE-Materialschicht 140 liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung, unter anderem ZrO2, PbZrO3, Pb[ZrxTi1-x]O3 (0 ≤x ≤ 1) (PZT), Pb1-xLaxZr1-yTiyO3 (PLZT), BaTiO3, PbTiO3, PbNb2O6, LiNbO3, LiTaO3, PbMg1/3Nb2/3O3 (PMN), PbSc1/2Ta1/2O3 (PST), SrBi2Ta2O9 (SBT), Bi1/2Na1/2TiO3 und Kombinationen davon.
  • Bei einigen Ausführungsformen kann die FE-Materialschicht 140 eine einzelne Schicht aus einem FE-Material sein, oder sie kann mehrere Schichten aus FE-Materialien aufweisen, die unterschiedliche Zusammensetzungen haben können. Bei verschiedenen Ausführungsformen kann die FE-Materialschicht 140 eine Kristallstruktur haben, die kubische, tetragonale und/oder orthorhombische Kristallphasen hat. Bei einigen Ausführungsformen kann die FE-Materialschicht 140 ein Hafniumoxid-basiertes ferroelektrisches Material, wie etwa HfxZrx-1Oy, aufweisen, und sie kann eine solche Struktur haben, dass ein Volumen des FE-Materials, das eine kubische, tetragonale und/oder orthorhombische Kristallstruktur hat, um mehr als 50 % größer als ein Volumen des FE-Materials ist, das eine monokline Kristallstruktur hat.
  • Die FE-Materialschicht 140 kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden. Bei verschiedenen Ausführungsformen kann die FE-Materialschicht 140 durch ALD abgeschieden werden. Eine Dicke der FE-Materialschicht 140 kann 0,1 nm bis 100 nm betragen, aber es können auch kleinere und größere Dicken verwendet werden.
  • Bei verschiedenen Ausführungsformen kann die FE-Materialschicht 140 optional in einer Richtung zugverspannt werden, die parallel zu einer Ober- und/oder Unterseite der FE-Materialschicht 140 ist (was in 6 schematisch durch Pfeile 141 und 142 dargestellt). Bei einigen Ausführungsformen kann die FE-Materialschicht 140 um 1,5 % bis 3,0 % stärker als zumindest ein Teil der FE-Materialschicht 140 zugverspannt werden. Wie vorstehend dargelegt worden ist, können durch Zugverspannen der FE-Materialschicht 140 die Entstehung und Stabilität von Kristallstrukturen, wie etwa orthorhombischen Kristallphasen, unterstützt werden, die die ferroelektrischen Eigenschaften des Materials gegenüber anderen Strukturen, wie etwa monoklinen Kristallphasen, verbessern können, die die ferroelektrischen Eigenschaften des Materials verschlechtern können. Bei verschiedenen Ausführungsformen, bei denen die optionale Verspannungsschicht 130 vorhanden ist, kann die Zugverspannung in der FE-Materialschicht 140 zumindest teilweise durch eine Gitterfehlanpassung zwischen der Verspannungsschicht 130 und der FE-Materialschicht 140 induziert werden. Wie vorstehend dargelegt worden ist, kann die Gitterkonstante ao der optionalen Verspannungsschicht 130 größer als die planare Gitterkonstante des Materials der FE-Materialschicht 140 sein, um eine Zugverspannung in der FE-Materialschicht 140 zu induzieren.
  • Alternativ oder zusätzlich kann die Zugverspannung in der FE-Materialschicht 140 zumindest teilweise durch eine WAK-Diskrepanz zwischen der unteren Gateelektrodenschicht 120 und der FE-Materialschicht 140 induziert werden. Wie vorstehend dargelegt worden ist, kann bei verschiedenen Ausführungsformen das Material der unteren Gateelektrodenschicht 120 einen niedrigeren WAK als das Material der FE-Materialschicht 140 haben. Zum Beispiel kann bei Ausführungsformen, bei denen die FE-Materialschicht 140 Hafnium-Zirconiumoxid (HZO) aufweist, das einen WAK von 14 × 10-6/K hat, die untere Gateelektrodenschicht 120 ein oder mehrere Materialien aufweisen, die einen WAK haben, der niedriger als 14 × 10-6/K ist. Geeignete elektrisch leitfähige Materialien, die einen vergleichsweise niedrigeren WAK haben, sind unter anderem Platin (Pt), Titan (Ti), Titannidrid (TiN), Wolfram (W), Tantal (Ta), Tantalnitrid (TaN), Eisen (Fe), Nickel (Ni), Beryllium (Be), Chrom (Cr), Cobalt (Co), Antimon (Sb), Iridium (Ir), Molybdän (Mo), Osmium (Os), Thorium (Th), Vanadium (V), Legierungen davon und Kombinationen davon. Bei verschiedenen Ausführungsformen kann die Zugverspannung in der FE-Materialschicht 140 dadurch induziert werden, dass die in 6 gezeigte Zwischenstruktur einem Temperprozess, in dem die Zwischenstruktur für 30 s bis 5 min bei einer Temperatur von 400 °C bis 700 °C getempert wird, unterzogen wird und anschließend abgekühlt wird. Während des Abkühlens kann die FE-Materialschicht 140 aufgrund der WAK-Diskrepanz stärker als die untere Gateelektrodenschicht 120 schrumpfen. Dadurch kann die FE-Materialschicht 140 in der Richtung der Pfeile 141 und 142 gestreckt werden, sodass die FE-Materialschicht 140 dauerhaft zugverspannt wird.
  • 7 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur, die eine optionale Isolierschicht 145 zeigt, die über der Oberseite der FE-Materialschicht 140 abgeschieden wird. In 7 kann die optionale Isolierschicht 145 (die auch als eine „Blockierschicht“ bezeichnet wird) eine Schicht aus einem dielektrischen Material, wie etwa einem dielektrischen High-k-Material, aufweisen. Hier haben dielektrische High-k-Materialien eine Dielektrizitätskonstante, die größer als 3,9 ist, und sie können unter anderem Hafniumoxid (HfO2), Hafnium-Siliziumoxid (HfSiO4), Zirconiumsilicat (ZrSiO4), Hafnium-Tantaloxid (HfTaO), Hafnium-Titanoxid (HfTiO), Hafnium-Zirconiumoxid (HfxZrx-1Oy) (HZO), Siliziumnitrid (SiNx), Tantaloxid (Ta2O5), Aluminiumoxid (Al2O3), Lanthanaluminat (LaAlO3), Hafniumdioxid-Aluminiumoxid (HfO2-Al2O3), Zirconiumoxid (ZrO2), Magnesiumoxid (MgO), Yttriumoxid (Y2O3), Lanthanoxid (La2O3), Strontiumoxid (SrO), Gadoliniumoxid (Gd2O3), Calciumoxid (CaO), Scandiumoxid (Sc2O3), Kombinationen davon oder dergleichen sein. Bei einigen Ausführungsformen kann die optionale Isolierschicht 145 Si, Mg, Al, Y2O3, La, Sr, Gd, N, Sc, Ca oder dergleichen, unter anderem eine Kombinationsverbindung aus Si, Mg, Al, Y2O3, La, Sr, Gd, N, Sc, Ca oder dergleichen, aufweisen. Andere geeignete dielektrische Materialien liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Die optionale Isolierschicht 145 kann mit geeigneten Abscheidungsverfahren abgeschieden werden, die vorstehend genannt worden sind. Bei verschiedenen Ausführungsformen kann die optionale Isolierschicht 145 durch ALD abgeschieden werden. Eine Dicke der optionalen Isolierschicht 145 kann 0,1 nm bis 10 nm betragen, aber es können auch kleinere und grö-ßere Dicken verwendet werden.
  • Die optionale Isolierschicht 145 kann als eine Sperre zwischen der FE-Materialschicht 140 und einer Halbleiterkanalschicht funktionieren, die später über der Isolierschicht 145 hergestellt werden kann. Die optionale Isolierschicht 145 kann dazu beitragen, eine Oberflächenzustandsdichte (Dit) zu reduzieren und eine Injektion von Trägern (d. h., Elektronen und/oder Löchern) aus der Halbleiterkanalschicht zu verhindern. Bei verschiedenen Ausführungsformen kann das Material der optionalen Isolierschicht 145 einen größeren Bandabstand (Eg) als das der später hergestellten Halbleiterkanalschicht haben. Wenn die später hergestellte Halbleiterkanalschicht zum Beispiel amorphes InGaZnO4 (a-IGZO) ist, das einen Bandabstand Eg von ~3,16 eV hat, so kann das Material der optionalen Isolierschicht 145 einen größeren Bandabstand haben, wie etwa Eg ≥ 3,5 eV, z. B. Eg ≥ 5,0 eV. Außerdem können ein Leitungsbandversatz ECBO und ein Valenzbandversatz EVBO zwischen dem Material der Isolierschicht 145 und der Halbleiterkanalschicht so groß sein (z. B. ECBO > 1 eV, EVBO > 1 eV), dass sie Ladungsträger, die Elektronen und Löcher umfassen, daran hindern, in die Isolierschicht 145 injiziert zu werden, und dadurch einen Stromverlust aus der Halbleiterkanalschicht minimieren. Bei verschiedenen Ausführungsformen kann die optionale Isolierschicht 145 Silizium-dotiertes Hafniumoxid aufweisen, wie etwa Hf1-xSixOy, worin x > 0,1 und y > 0 sind.
  • Bei einigen Ausführungsformen kann die FE-Materialschicht 140 Hafnium-Zirconiumoxid (HZO) aufweisen, und die optionale Isolierschicht 145 kann ein hafniumhaltiges dielektrisches Material wie Silizium-dotiertes Hafniumoxid aufweisen. Ein Grenzflächenbereich 146, der zu der Grenzfläche zwischen der FE-Materialschicht 140 und der optionalen Isolierschicht 145 benachbart ist, kann einen ersten Grenzflächenbereichsteil 146a, der in der FE-Materialschicht 140 angeordnet ist, und einen zweiten Grenzflächenbereichsteil 146b aufweisen, der zu dem ersten Grenzflächenbereichsteil 146a benachbart ist und sich in der optionalen Isolierschicht 145 befindet. Der erste und der zweite Grenzflächenbereichsteil 146a und 146b können jeweils eine Dicke von mindestens 1 nm haben. Bei verschiedenen Ausführungsformen kann in dem Grenzflächenbereich 146 ein Verhältnis eines prozentualen Atomanteils von Sauerstoff zu einem prozentualen Atomanteil von Zirconium größer als oder gleich 1 (≥1) sein, und ein Verhältnis des prozentualen Atomanteils von Sauerstoff zu einem prozentualen Atomanteil von Hafnium kann größer als 1 (>1) sein.
  • 8 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur, die eine in Bearbeitung befindliche Kanalschicht 150a zeigt, die über der Oberseite der optionalen Isolierschicht 145 abgeschieden wird. Bei Ausführungsformen, bei denen die optionale Isolierschicht 145 nicht vorhanden ist, kann die in Bearbeitung befindliche Kanalschicht 150a über der Oberseite der FE-Materialschicht 140 abgeschieden werden. Die in Bearbeitung befindliche Kanalschicht 150a kann aus einem Oxidhalbleitermaterial bestehen, wie etwa MxM'yZnzO, worin o < (x, y, z) < 1 ist. M kann ein Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon sein, und M' kann ein Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination davon sein. Bei einigen Ausführungsformen kann die in Bearbeitung befindliche Kanalschicht 150a amorphes Indiumgalliumzinkoxid (a-IGZO) sein. Bei anderen Ausführungsformen kann Indium teilweise oder vollständig durch ein anderes Metall substituiert werden, wie etwa Zinn (Sn), das so konfiguriert sein kann, dass es eine hohe Trägerbeweglichkeit in der Kanalschicht 150a bereitstellt. Alternativ oder zusätzlich kann Gallium teilweise oder vollständig durch ein anderes Metall, wie etwa Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La) oder Gadolinium (Gd), substituiert werden, das so konfiguriert sein kann, dass es Sauerstoff-Leerstellen reduziert und Oberflächenzustände (Dit) verringert.
  • Die in Bearbeitung befindliche Kanalschicht 150a kann durch Abscheiden einer Reihe von Teilschichten über der Oberseite der optionalen Isolierschicht 145 oder - bei Ausführungsformen, bei denen die optionale Isolierschicht 145 nicht vorhanden ist - über der Oberseite der FE-Materialschicht 140 hergestellt werden. In 8 kann eine erste Teilschicht 152A der in Bearbeitung befindlichen Kanalschicht 150a eine Kombination aus einem ersten Metalloxidmaterial und einem zweiten Metalloxidmaterial aufweisen. Das erste Metalloxidmaterial kann aus MOx bestehen, worin M ein Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon ist. Das zweite Metalloxidmaterial kann aus M'Ox bestehen, worin M' ein Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination davon ist. Bei verschiedenen Ausführungsformen kann die erste Teilschicht 152A eine Kombination aus InOx und GaOx aufweisen. Die erste Teilschicht 152A kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden. Bei verschiedenen Ausführungsformen kann die erste Teilschicht 152A durch ALD abgeschieden werden.
  • Bleiben wir bei 8. Über der Oberseite der ersten Teilschicht 152A kann eine zweite Teilschicht 154A der in Bearbeitung befindlichen Kanalschicht 150a abgeschieden werden. Die zweite Teilschicht 154A der in Bearbeitung befindlichen Kanalschicht 150a kann Zinkoxid (ZnOx) aufweisen. Die zweite Teilschicht 154A kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden. Bei verschiedenen Ausführungsformen kann die zweite Teilschicht 154A durch ALD abgeschieden werden.
  • Bei verschiedenen Ausführungsformen kann die Abscheidung von Zinkoxid direkt auf dem dielektrischen Gatematerial (d. h., auf der optionalen Isolierschicht 145 von 8 oder auf der FE-Materialschicht 140 bei Ausführungsformen, bei denen die optionale Isolierschicht 145 nicht vorhanden ist) aufgrund der Neigung von Zinkoxid, polykristalline Kornstrukturen zu bilden, zu einer erhöhten Oberflächenrauheit an der Grenzfläche zwischen der in Bearbeitung befindlichen Kanalschicht 150a und dem Gatedielektrikum führen. Daher kann bei verschiedenen Ausführungsformen die erste Teilschicht 152A der in Bearbeitung befindlichen Kanalschicht 150a, die über dem Gatedielektrikum 145 oder 140 hergestellt wird, eine Kombination aus einem ersten Metalloxidmaterial und einem zweiten Metalloxidmaterial aufweisen, und die zweite Teilschicht 154A, die Zinkoxid aufweist, kann über der ersten Teilschicht 152A hergestellt werden. Bei verschiedenen Ausführungsformen kann die erste Teilschicht 152A im Wesentlichen kein Zinkoxid enthalten. Außerdem kann bei verschiedenen Ausführungsformen die erste Teilschicht 152A eine Kombination aus einem ersten Metalloxidmaterial, wie etwa Indiumoxid (InOx), das die Trägerbeweglichkeit (z. B. die Elektronenbeweglichkeit) erhöhen kann, und einem zweiten Metalloxidmaterial, wie etwa Aluminiumoxid (GaOx), aufweisen, das Sauerstoff-Leerstellen reduzieren kann und die Oberflächenzustandsdichte Dit in der in Bearbeitung befindlichen Kanalschicht 150a verringern kann.
  • 9 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur, die eine fertige Kanalschicht 150 zeigt, die über der Oberseite der optionalen Isolierschicht 145 abgeschieden wird. In 9 kann die fertige Kanalschicht 150 durch Abscheiden einer Mehrzahl von Teilschichten hergestellt werden, die eine Mehrzahl von ersten Teilschichten 152A, 152N, 152M und 152T, eine Mehrzahl von zweiten Teilschichten 154A, 154N und 154M und mindestens eine dritte Teilschicht 156 umfasst.
  • Bei verschiedenen Ausführungsformen können die ersten Teilschichten 152A, 152N, 152M und 152T jeweils eine Kombination aus einem ersten Metalloxidmaterial und einem zweiten Metalloxidmaterial aufweisen. Das erste Metalloxidmaterial kann aus MOx bestehen, worin M ein Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon ist. Das zweite Metalloxidmaterial kann aus M'Ox bestehen, worin M' ein Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination davon ist. Bei verschiedenen Ausführungsformen können die ersten Teilschichten 152A, 152N, 152M und 152T jeweils eine Kombination aus InOx und GaOx aufweisen. Bei einigen Ausführungsformen können die ersten Teilschichten 152A, 152N, 152M und 152T der Kanalschicht 150 identische Zusammensetzungen haben. Bei anderen Ausführungsformen können die ersten Teilschichten 152A, 152N, 152M und 152T unterschiedliche Zusammensetzungen haben. Zum Beispiel kann ein Verhältnis M : M' in mindestens einer der ersten Teilschichten 152A, 152N, 152M und 152T von dem Verhältnis M : M' in mindestens einer der anderen der ersten Teilschichten 152A, 152N, 152M und 152T verschieden sein. Alternativ oder zusätzlich können das eine oder die mehreren metallischen Materialien M und/oder M' in mindestens einer der ersten Teilschichten 152A, 152N, 152M und 152T von dem einen oder den mehreren metallischen Materialien M und/oder M' in mindestens einer der anderen ersten Teilschichten 152A, 152N, 152M und 152T verschieden sein.
  • Bei verschiedenen Ausführungsformen kann jede der zweiten Teilschichten 154A, 154N und 154M der Kanalschicht 150 Zinkoxid (ZnOx) aufweisen. Wie in 9 gezeigt ist, kann die Kanalschicht 150 einen ersten Wechselstapel 151 aus ersten und zweiten Teilschichten 152 und 154 aufweisen, die eine Gruppe von ersten Teilschichten 152A ... 152N, die jeweils eine Kombination aus einem ersten Metalloxidmaterial und einem zweiten Metalloxidmaterial (z. B. InOx und GaOx) enthalten, und eine Gruppe von zweiten Teilschichten 154A ... 154N umfassen, die Zinkoxid enthalten. Bei einigen Ausführungsformen kann der erste Wechselstapel 151 aus Teilschichten mindestens zwei Teilschichten 152 und 154 aufweisen, wie etwa mindestens vier Teilschichten 152 und 154 (z. B. acht oder mehr Teilschichten 152 und 154). Die ersten und die zweiten Teilschichten 152 und 154 können sich abwechseln, sodass jede erste Teilschicht 152 des ersten Wechselstapels 151 mindestens eine zweite Teilschicht 154 des ersten Wechselstapels 151 kontaktieren kann und jede zweite Teilschicht 154 des ersten Wechselstapels 151 mindestens eine erste Teilschicht 152 des ersten Wechselstapels 151 kontaktieren kann. Bei verschiedenen Ausführungsformen kann die oberste Teilschicht des ersten Wechselstapels 151 von Teilschichten eine zweite Teilschicht 154N sein, die Zinkoxid enthält. Alternativ kann die oberste Teilschicht des ersten Wechselstapels 151 von Teilschichten eine erste Teilschicht 152N sein, die eine Kombination aus einem ersten und einem zweiten Metalloxidmaterial (z. B. InOx und GaOx) enthält.
  • Bleiben wir bei 9. Über der obersten Schicht des ersten Wechselstapels 151 aus ersten und zweiten Teilschichten 152 und 154 kann eine dritte Teilschicht 156 abgeschieden werden. Bei einigen Ausführungsformen kann die dritte Teilschicht 156 eine Kombination aus einem ersten Metalloxidmaterial (MOx), einem zweiten Metalloxidmaterial (M'Ox) und Zinkoxid (ZnOx) aufweisen. Das erste Metalloxidmaterial kann aus MOx bestehen, worin M ein Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon sein kann. Das zweite Metalloxidmaterial kann aus M'Ox bestehen, worin M' ein Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination davon sein kann. Bei verschiedenen Ausführungsformen kann die dritte Teilschicht 156 eine Kombination aus InOx, GaOx und ZnOx aufweisen. Die dritte Teilschicht 156 kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden. Bei verschiedenen Ausführungsformen kann die dritte Teilschicht 156 durch ALD abgeschieden werden.
  • Bleiben wir bei 9. Die Kanalschicht 150 kann weiterhin einen zweiten Wechselstapel 153 aus ersten und zweiten Teilschichten 152 und 154 aufweisen, der über der dritten Teilschicht 156 angeordnet ist. Der zweite Wechselstapel 153 aus den ersten und den zweiten Teilschichten 152 und 154 kann eine Gruppe von ersten Teilschichten 152M ... 152T, die jeweils eine Kombination aus einem ersten Metalloxidmaterial und einem zweiten Metalloxidmaterial (z. B. InOx und GaOx) enthalten, und eine Gruppe von zweiten Teilschichten 154M umfassen, die Zinkoxid enthalten. Bei einigen Ausführungsformen kann der zweite Wechselstapel 153 von Teilschichten 152 und 154 mindestens zwei Teilschichten 152 und 154 aufweisen, wie etwa mindestens vier Teilschichten 152 und 154 (z. B. acht oder mehr Teilschichten 152 und 154). Die ersten und die zweiten Teilschichten 152 und 154 können sich abwechseln, sodass jede erste Teilschicht 152 des zweiten Wechselstapels 153 mindestens eine zweite Teilschicht 154 des zweiten Wechselstapels 153 kontaktieren kann und jede zweite Teilschicht 154 des zweiten Wechselstapels 153 mindestens eine erste Teilschicht 152 des zweiten Wechselstapels 153 kontaktieren kann. Bei verschiedenen Ausführungsformen kann die unterste Teilschicht des zweiten Wechselstapels 153, die die dritte Teilschicht 156 kontaktiert, eine zweite Teilschicht 154M sein, die Zinkoxid enthält. Alternativ kann die unterste Teilschicht des zweiten Wechselstapels 153 eine erste Teilschicht 152M sein, die eine Kombination aus einem ersten und einem zweiten Metalloxidmaterial (z. B. InOx und GaOx) enthält.
  • Bei verschiedenen Ausführungsformen kann die oberste Teilschicht des zweiten Wechselstapels 153 von Teilschichten eine erste Teilschicht 152T sein, die eine Kombination aus einem ersten und einem zweiten Metalloxidmaterial (z. B. InOx und GaOx) enthält. Alternativ kann die oberste Teilschicht des zweiten Wechselstapels 153 eine zweite Teilschicht 154 sein, die Zinkoxid enthält.
  • Bei verschiedenen Ausführungsformen kann die Kanalschicht 150 eine symmetrische Struktur haben, die Folgendes aufweist: einen ersten Wechselstapel 151 aus ersten und zweiten Teilschichten 152 und 154; eine dritte Teilschicht 156 über dem ersten Wechselstapel 151; und einen zweiten Wechselstapel 153 aus ersten und zweiten Teilschichten 152 und 154 über der dritten Teilschicht 156. Bei einigen Ausführungsformen können der erste Wechselstapel 151 und der zweite Wechselstapel 153 identische Anzahlen von Teilschichten 152 und 154 haben. Bei einigen Ausführungsformen können die unterste Teilschicht 152A und die oberste Teilschicht 152T der Kanalschicht 150 erste Teilschichten 152 sein, die eine Kombination aus einem ersten und einem zweiten Metalloxidmaterial (z. B. InOx und GaOx) enthalten. Die dritte Teilschicht 156 kann eine Kombination aus einem ersten Metalloxidmaterial, einem zweiten Metalloxidmaterial und Zinkoxid aufweisen. An ihrer Unter- und Oberseite kann die dritte Teilschicht 156 von zweiten Teilschichten 154N und 154M, die Zinkoxid enthalten, kontaktiert werden.
  • Bei verschiedenen Ausführungsformen kann die Kanalschicht 150, die den ersten Wechselstapel 151 aus ersten und zweiten Teilschichten 152 und 154, die mindestens eine dritte Teilschicht 156 und den zweiten Wechselstapel 153 aus ersten und zweiten Teilschichten 152 und 154 aufweist, eine Gesamtdicke von 1 nm bis 100 nm (z. B. von 2 nm bis 70 nm) haben, aber es können auch kleinere und größere Dicken verwendet werden. Die Kanalschicht 150 kann aus einem Oxidhalbleitermaterial, wie etwa MxM'yZnzO, bestehen, worin 0 < (x, y, z) < 1 ist. M kann ein erstes Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon sein, und M' kann ein zweites Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination davon sein. Bei einigen Ausführungsformen kann die Kanalschicht 150 amorphes Indiumgalliumzinkoxid (a-IGZO) sein.
  • 10A ist ein Diagramm, das eine Impulsfolge 900 für ein ALD-System zeigt, das gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung zum Herstellen einer amorphen Oxidhalbleiter-Kanalschicht 150 (AOS-Kanalschicht 150) aus einer Mehrzahl von Teilschichten 152, 154 und 156 verwendet werden kann. In 10A ist eine Folge von ALD-Vorläuferimpulsen, die in eine ALD-Reaktionskammer eingebracht werden, in Abhängigkeit von der Zeit t schematisch dargestellt. Ein erster Impuls 901-a kann ein Vorläufergemisch aus Vorläufern sein, die ein erstes Metall M und ein zweites Metall M' enthalten. Das erste Metall M kann ein Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon sein. Das zweite Metall M' kann ein Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination davon sein. In einem nicht-beschränkenden Beispiel kann das erste Metall M Indium sein, und der Vorläufer des ersten Metalls M kann Trimethylindium (TMIn) sein. Das zweite Metall M' kann Gallium sein, und der Vorläufer des zweiten Metalls M' kann Triethylgallium Ga(C2H5)3 (TEG/TEGa) sein. Andere geeignete Vorläufer liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. Bei verschiedenen Ausführungsformen kann das Vorläufergemisch ein Gemisch (das auch als ein „Cocktail“ bezeichnet werden kann) aus festen Vorläufern sein, die Metalle M und M' enthalten. Der „Cocktail“ aus festen Vorläufern kann unter Verwendung eines Niederdruckkessels (LPV) verdampft werden, und das resultierende verdampfte Vorläufergemisch kann in eine ALD-Reaktionskammer (impulsweise) eingeleitet werden, die eine Zwischenstruktur enthält, wie sie in 7 gezeigt ist. Das Vorläufergemisch kann mit dem dielektrischen Gatematerial (d. h., mit der optionalen Isolierschicht 145 von 7 oder mit der FE-Materialschicht 140 bei Ausführungsformen, bei denen die optionale Isolierschicht 145 nicht vorhanden ist) zur Reaktion gebracht werden, um das erste Metall M und das zweite Metall M' auf dem dielektrischen Gatematerial abzuscheiden.
  • Bleiben wir bei 10A. Nach dem Einbringen des ersten Impulses 901-a kann die ALD-Reaktionskammer optional mit einem inerten Gas (z. B. N2, Ar usw.) gereinigt werden, und dann kann ein zweiter Impuls 902, der einen Gegenreaktandvorläufer enthält, in die ALD-Reaktionskammer eingebracht werden. Bei verschiedenen Ausführungsformen kann der Gegenreaktandvorläufer ein Sauerstoffvorläufer sein, wie etwa Wasserdampf (H2O), Sauerstoffgas (02) oder Ozon (O3). Der Gegenreaktandvorläufer kann mit dem ersten Metall M und dem zweiten Metall M' zur Reaktion gebracht werden, um eine erste Kanalteilschicht 152A herzustellen, die eine Kombination aus einem ersten Metalloxidmaterial und einem zweiten Metalloxidmaterial (z. B. InOx und GaOx) enthält.
  • Nach dem Einbringen des zweiten Impulses 902 kann die ALD-Reaktionskammer optional mit einem inerten Gas gereinigt werden, und dann kann ein dritter Impuls 903-a in die ALD-Reaktionskammer eingebracht werden. Der dritte Impuls 903-a kann ein Zinkvorläufer sein. Bei einigen Ausführungsformen kann der Zinkvorläufer Diethylzink [(C2H5)2Zn (DEZ)] und/oder Dimethylzink [Zn(CH3)2 (DMZ)] umfassen. Andere geeignete Vorläufer liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. Der Zinkvorläufer kann mit den Metalloxidmaterialien der ersten Kanalteilschicht 152A zur Reaktion gebracht werden, um Zink auf der ersten Kanalteilschicht 152A abzuscheiden. Anschließend kann die ALD-Reaktionskammer erneut optional mit einem inerten Gas gereinigt werden, und dann kann ein Impuls 902, der einen Gegenreaktandvorläufer (z. B. einen Sauerstoffvorläufer, wie etwa H2O) enthält, in die ALD-Reaktionskammer eingebracht werden. Der Gegenreaktandvorläufer kann mit dem Zink zur Reaktion gebracht werden, um eine zweite Kanalteilschicht 154A herzustellen, die Zinkoxid enthält.
  • Diese Sequenz kann dann dadurch wiederholt werden, dass ein weiterer Impuls 901 mit dem Vorläufergemisch, das Metalle M und M' enthält (z. B. ein Impuls 901-n), ein anschließender Impuls 902 mit dem Gegenreaktandvorläufer, ein Impuls 903 mit dem Zinkvorläufer (z. B. ein Impuls 903-n) und ein Impuls 902 mit dem Gegenreaktandvorläufer und so weiter eingebracht werden, um einen ersten Wechselstapel 151 von Teilschichten 152A, 154A ... 152N, 154N der Halbleiterkanalschicht 150 herzustellen.
  • Nach dem Abscheiden des ersten Wechselstapels 151 kann die ALD-Reaktionskammer optional mit einem inerten Gas gereinigt werden, und dann kann ein weiterer Impuls 904 in die ALD-Reaktionskammer eingebracht werden. Der weitere Impuls 904 kann ein Vorläufergemisch mit Vorläufern sein, die ein erstes Metall M, ein zweites Metall M' und Zink enthalten. Das erste Metall M kann ein Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon sein. Das zweite Metall M' kann ein Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination davon sein. In einem nicht-beschränkenden Beispiel kann das erste Metall M Indium sein, und der Vorläufer des ersten Metalls kann Trimethylindium (TMIn) sein. Das zweite Metall M' kann Gallium sein, und der Vorläufer des zweiten Metalls kann Triethylgallium [Ga(C2H5)3 (TEG/TEGa)] sein. Der Zinkvorläufer kann Diethylzink [(C2H5)2Zn (DEZ)] und/oder Dimethylzink [Zn(CH3)2 (DMZ)] umfassen. Andere geeignete Vorläufer liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. Bei verschiedenen Ausführungsformen kann das Vorläufergemisch ein Gemisch (das auch als ein „Cocktail“ bezeichnet wird) aus festen Vorläufern sein, die Metalle M und M' und Zink enthalten. Der „Cocktail“ aus festen Vorläufern kann unter Verwendung eines Niederdruckkessels (LPV) verdampft werden, und das resultierende verdampfte Vorläufergemisch kann (impulsweise) in die ALD-Reaktionskammer eingeleitet werden. Das Vorläufergemisch kann mit der obersten Teilschicht 154N des ersten Wechselstapels 151 zur Reaktion gebracht werden, um das erste Metall M, das zweite Metall M' und Zink auf der Teilschicht 154N abzuscheiden.
  • Anschließend kann die ALD-Reaktionskammer erneut optional mit einem inerten Gas gereinigt werden, und dann kann ein Impuls 902, der einen Gegenreaktandvorläufer (z. B. einen Sauerstoffvorläufer, wie etwa H2O) enthält, in die ALD-Reaktionskammer eingebracht werden. Der Gegenreaktandvorläufer kann mit dem ersten Metall M, dem zweiten Metall M' und Zink zur Reaktion gebracht werden, um eine Teilschicht 156 herzustellen, die eine Kombination aus einem ersten Metalloxidmaterial (z. B. InOx), einem zweiten Metalloxidmaterial (z. B. GaOx) und Zinkoxid (ZnOx) enthält.
  • Anschließend kann die ALD-Reaktionskammer erneut optional mit einem inerten Gas gereinigt werden, und dann kann ein weiterer Impuls 903-m des Zinkvorläufers in die ALD-Reaktionskammer eingebracht werden, und anschließend können ein Impuls 902 des Gegenreaktandvorläufers, ein Impuls 901-m des Vorläufergemisches, das die Metalle M und M' enthält, und ein Impuls 902 des Gegenreaktandvorläufers eingebracht werden. Diese Sequenz kann dann ein- oder mehrmals wiederholt werden, um einen zweiten Wechselstapel 153 von Teilschichten 154M, 152M ... 154T, 152T der Halbleiterkanalschicht 150 herzustellen.
  • 10B ist ein Diagramm, das eine alternative Impulsfolge 906 für ein ALD-System zeigt, das gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung zum Herstellen einer amorphen AOS-Kanalschicht 150 aus einer Mehrzahl von Teilschichten 152, 154 und 156 verwendet werden kann. In 10B ist die Impulsfolge 906 bei dieser Ausführungsform der in 10A gezeigten Impulsfolge 900 ähnlich, mit der Ausnahme, dass das ALD-System in einem Co-Impuls-Modus betrieben werden kann, in dem ein erster Vorläuferimpuls 905-a und ein zweiter Vorläuferimpuls 907-a gleichzeitig in die ALD-Reaktionskammer eingebracht werden können, statt nur einen Impuls 901-a eines Vorläufergemisches mit Vorläufern, die ein erstes Metall M und ein zweites Metall M' enthalten, einzubringen. Der erste Vorläuferimpuls 905-a kann einen Vorläufer umfassen, der das erste Metall M enthält, und der zweite Vorläuferimpuls 907-a kann einen Vorläufer umfassen, der das zweite Metall M' enthält. Die jeweiligen Vorläufer können sich in der ALD-Reaktionskammer miteinander vermischen und können mit dem dielektrischen Gatematerial zur Reaktion gebracht werden, um das erste Metall M und das zweite Metall M' auf dem dielektrischen Gatematerial abzuscheiden. Dann kann ein Impuls 902 eines Gegenreaktandvorläufers (z. B. eines Sauerstoffvorläufers, wie etwa H2O) in die ALD-Reaktionskammer eingebracht werden und kann mit dem ersten Metall M und dem zweiten Metall M' zur Reaktion gebracht werden, um eine Kanalteilschicht 152A herzustellen, die eine Kombination aus einem ersten Metalloxidmaterial und einem zweiten Metalloxidmaterial (z. B. InOx und GaOx) enthält. Der Prozess kann ähnlich wie bei dem Prozess weitergehen, der vorstehend unter Bezugnahme auf 10A beschrieben worden ist, und zwar Einbringen eines Impulses 903 des Zinkvorläufers (z. B. eines Impulses 903-a) und eines Impulses 902 des Gegenreaktandvorläufers, anschließendes gleichzeitiges Einbringen von Impulsen 905 und 907 der Vorläufer des ersten Metalls M und des zweiten Metalls M', anschließendes Einbringen eines weiteren Impulses 902 des Gegenreaktandvorläufers, und so weiter, um einen ersten Wechselstapel 151 mit den Teilschichten 152A, 154A ... 152N, 154N der Halbleiterkanalschicht 150 herzustellen.
  • In 10B können nach dem Herstellen des ersten Wechselstapels 151 ein erster Vorläuferimpuls 905-i, ein zweiter Vorläuferimpuls 907-i und ein dritter Vorläuferimpuls 903-i gleichzeitig in die ALD-Reaktionskammer eingebracht werden. Der erste Vorläuferimpuls 905-i kann einen Vorläufer umfassen, der das erste Metall M enthält; der zweite Vorläuferimpuls 907-i kann einen Vorläufer umfassen, der das zweite Metall M' enthält; und der dritte Vorläuferimpuls 903-i kann einen Vorläufer umfassen, der Zink enthält. Die Vorläuferimpulse 905-i, 907-i und 903-i können mit der obersten Teilschicht 154N des ersten Wechselstapels 151 zur Reaktion gebracht werden, um das erste Metall M, das zweite Metall M' und Zink auf der Teilschicht 154N abzuscheiden. Dann kann ein Impuls 902 eines Gegenreaktandvorläufers (z. B. eines Sauerstoffvorläufers, wie etwa H2O) in die ALD-Reaktionskammer eingebracht werden und kann mit dem ersten Metall M, dem zweiten Metall M' und Zink zur Reaktion gebracht werden, um eine Teilschicht 156 herzustellen, die eine Kombination aus einem ersten Metalloxidmaterial (z. B. InOx), einem zweiten Metalloxidmaterial (z. B. GaOx) und Zinkoxid (ZnOx) enthält. Dann kann ein zweiter Wechselstapel 153 aus Teilschichten 154M, 152M ... 154T, 152T über der Teilschicht 156 mit einem ähnlichen Prozess hergestellt werden, der zum Herstellen des ersten Wechselstapels 151 verwendet worden ist.
  • 11 ist eine vertikale Schnittansicht einer beispielhaften Struktur, die eine optionale zweite Isolierschicht 245 zeigt, die über der Oberseite der Kanalschicht 150 abgeschieden wird. In 11 kann die optionale zweite Isolierschicht 245 (die auch als eine „Blockierschicht“ bezeichnet wird) eine Schicht aus einem dielektrischen Material, wie etwa einem der dielektrischen Materialien für die optionale Isolierschicht 145, sein, die vorstehend unter Bezugnahme auf 7 genannt worden sind. Andere geeignete dielektrische Materialien liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung. Bei einigen Ausführungsformen kann die optionale zweite Isolierschicht 245 aus denselben Materialien wie die optionale Isolierschicht 145 bestehen. Alternativ kann die optionale zweite Isolierschicht 245 aus einem oder mehreren anderen Materialien als die optionale Isolierschicht 145 bestehen. Die optionale zweite Isolierschicht 245 kann mit geeigneten Abscheidungsverfahren abgeschieden werden, die vorstehend genannt worden sind. Bei verschiedenen Ausführungsformen kann die optionale zweite Isolierschicht 245 durch ALD abgeschieden werden. Eine Dicke der optionalen zweiten Isolierschicht 245 kann 0,1 nm bis 10 nm betragen, aber es können auch kleinere und größere Dicken verwendet werden.
  • Die optionale zweite Isolierschicht 245 kann als eine Sperre zwischen der Kanalschicht 150 und einer FE-Materialschicht 140 funktionieren, die später über der zweiten Isolierschicht 245 hergestellt werden kann. Die optionale zweite Isolierschicht 245 kann dazu beitragen, die Oberflächenzustandsdichte (Dit) zu reduzieren und eine Injektion von Trägern (d. h., Elektronen und/oder Löchern) aus der Halbleiterkanalschicht 150 zu verhindern. Bei verschiedenen Ausführungsformen kann das Material der optionalen zweiten Isolierschicht 245 einen größeren Bandabstand Eg als das der Halbleiterkanalschicht 150 haben. Wenn die Halbleiterkanalschicht 150 zum Beispiel amorphes InGaZnO4 (a-IGZO) ist, das einen Bandabstand Eg von ~3,16 eV hat, so kann das Material der optionalen zweiten Isolierschicht 245 einen größeren Bandabstand haben, wie etwa Eg ≥ 3,5 eV, z. B. Eg ≥ 5,0 eV. Außerdem können der Leitungsbandversatz ECBO und der Valenzbandversatz EVBO zwischen dem Material der optionalen zweiten Isolierschicht 245 und der Halbleiterkanalschicht 150 so groß sein (z. B. ECBO > 1 eV, EVBO > 1 eV), dass sie ein Injizieren von Ladungsträgern, die Elektronen und Löcher umfassen, in die optionale zweite Isolierschicht 245 blockieren und dadurch einen Stromverlust aus der Halbleiterkanalschicht 150 minimieren. Bei verschiedenen Ausführungsformen kann die optionale zweite Isolierschicht 245 Silizium-dotiertes Hafniumoxid aufweisen, wie etwa Hf1-xSixOy, worin x > 0,1 ist.
  • 12 ist eine vertikale Schnittansicht einer beispielhaften Struktur, die eine optionale zweite Seedschicht 235 zeigt, die über einer Oberseite der optionalen zweiten Isolierschicht 245 abgeschieden wird. Bei Ausführungsformen, bei denen die optionale zweite Seedschicht 235 nicht vorhanden ist, kann die optionale zweite Seedschicht 235 über der Oberseite der Halbleiterkanalschicht 150 abgeschieden werden. Die optionale zweite Seedschicht 235 (die auch als eine ferroelektrische Unterstützungsschicht bezeichnet wird) kann ein Material aufweisen, das so konfiguriert ist, dass es die Herstellung einer gewünschten Kristallstruktur in einer FE-Materialschicht unterstützt, die später darauf hergestellt wird. Zum Beispiel kann die optionale zweite Seedschicht 235 die Herstellung von kubischen (c-), tetragonalen (t-) und/oder orthorhombischen (o-)Kristallphasen gegenüber monoklinen Kristallphasen (m-Phasen) in der später hergestellten FE-Materialschicht unterstützen, und sie kann außerdem die Umwandlung von t-Phasen-Kristallstrukturen in m-Phasen-Kristallstrukturen in der FE-Materialschicht verhindern. Dadurch kann eine FE-Materialschicht entstehen, die verbesserte ferroelektrische Eigenschaften hat, wie etwa eine verbesserte remanente Polarisation Pr.
  • Bei verschiedenen Ausführungsformen kann die optionale zweite Seedschicht 235 ein Metalloxidmaterial aufweisen, wie etwa eines der Materialien für die optionale Seedschicht 135, die vorstehend unter Bezugnahme auf 5 genannt worden sind. Andere geeignete Materialien für die optionale zweite Seedschicht 235 liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. Bei einigen Ausführungsformen kann die optionale zweite Seedschicht 235 aus denselben Materialien wie die optionale Seedschicht 135 bestehen. Alternativ kann die optionale zweite Seedschicht 235 aus einem oder mehreren anderen Materialien als die optionale Seedschicht 135 bestehen. Die optionale zweite Seedschicht 235 kann eine einzelne Schicht aus einem Metalloxidmaterial sein, oder sie kann mehrere Schichten aus Metalloxidmaterialien aufweisen, die unterschiedliche Zusammensetzungen haben können. Bei verschiedenen Ausführungsformen kann das Material der Seedschicht eine Kristallstruktur haben, die kubische, tetragonale und/oder orthorhombische Kristallphasen hat.
  • Die optionale zweite Seedschicht 235 kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden. Bei verschiedenen Ausführungsformen kann die optionale zweite Seedschicht 235 durch ALD oder PLD abgeschieden werden. Bei einigen Ausführungsformen kann die optionale zweite Seedschicht 235 für 30 s bis 10 min bei Temperaturen von 300 °C bis 700 °C thermisch getempert werden, um ihre Kristallinität zu erhöhen. Alternativ oder zusätzlich kann die optionale zweite Seedschicht 235 als ein quasi-einkristallines Metalloxidmaterial mit einem geeigneten Abscheidungsverfahren (z. B. PLD) abgeschieden werden. Eine Dicke der optionalen zweiten Seedschicht 235 kann 0,1 nm bis 5 nm betragen, aber es können auch kleinere und größere Dicken verwendet werden.
  • 13 ist eine vertikale Schnittansicht einer beispielhaften Struktur, die eine zweite FE-Materialschicht 240, die über einer Oberseite der zweiten optionalen zweiten Seedschicht 235 abgeschieden wird, und eine optionale dritte Seedschicht 237 zeigt, die über einer Oberseite der zweiten FE-Materialschicht 240 abgeschieden wird. Bei Ausführungsformen, bei denen die optionale zweite Seedschicht 235 nicht vorhanden ist, kann die zweite FE-Materialschicht 240 über der Oberseite der optionalen zweiten Isolierschicht 245 abgeschieden werden. Bei Ausführungsformen, bei denen weder die optionale zweite Seedschicht 235 noch die optionale zweite Isolierschicht 245 vorhanden sind, kann die zweite FE-Materialschicht 240 über der Oberseite der Halbleiterkanalschicht 150 abgeschieden werden. Bei einigen Ausführungsformen kann die zweite FE-Materialschicht 240 aus denselben Materialien wie die FE-Materialschicht 140 bestehen. Alternativ kann die zweite FE-Materialschicht 240 aus einem oder mehreren anderen Materialien als die FE-Materialschicht 140 bestehen.
  • Bei einigen Ausführungsformen kann die zweite FE-Materialschicht 240 eine einzelne Schicht aus einem FE-Material sein, oder sie kann mehrere Schichten aus FE-Materialien aufweisen, die unterschiedliche Zusammensetzungen haben können. Bei verschiedenen Ausführungsformen kann die zweite FE-Materialschicht 240 eine Kristallstruktur haben, die kubische, tetragonale und/oder orthorhombische Kristallphasen hat. Bei einigen Ausführungsformen kann die zweite FE-Materialschicht 240 ein Hafniumoxid-basiertes ferroelektrisches Material wie HfxZrx-1Oy aufweisen, und sie kann eine solche Struktur haben, dass ein Volumen des FE-Materials, das eine kubische, tetragonale und/oder orthorhombische Kristallstruktur hat, um mehr als 50 % größer als ein Volumen des FE-Materials ist, das eine monokline Kristallstruktur hat.
  • Die zweite FE-Materialschicht 240 kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden. Bei verschiedenen Ausführungsformen kann die zweite FE-Materialschicht 240 durch ALD abgeschieden werden. Eine Dicke der zweiten FE-Materialschicht 240 kann 0,1 nm bis 100 nm betragen, aber es können auch kleinere und größere Dicken verwendet werden.
  • Bleiben wir bei 13. Über einer Oberseite der zweiten FE-Materialschicht 240 kann eine optionale dritte Seedschicht 237 abgeschieden werden. Die optionale dritte Seedschicht 237 (die auch als eine ferroelektrische Unterstützungsschicht bezeichnet wird) kann ein Material aufweisen, das so konfiguriert ist, dass es die Bildung und Aufrechterhaltung einer gewünschten Kristallstruktur in der darunter befindlichen zweiten FE-Materialschicht 240 unterstützt. Zum Beispiel kann die optionale dritte Seedschicht 237 die Bildung und/oder Aufrechterhaltung von kubischen (c-), tetragonalen (t-) und/oder orthorhombischen (o-)Kristallphasen gegenüber monoklinen Kristallphasen (m-Phasen) in der zweiten FE-Materialschicht 240 unterstützen, und sie kann außerdem die Umwandlung von t-Phasen-Kristallstrukturen in m-Phasen-Kristallstrukturen in der zweiten FE-Materialschicht 240 verhindern. Dadurch kann eine FE-Materialschicht entstehen, die verbesserte ferroelektrische Eigenschaften hat, wie etwa eine verbesserte remanente Polarisation Pr.
  • Bei verschiedenen Ausführungsformen kann die optionale dritte Seedschicht 237 ein Metalloxidmaterial aufweisen, wie etwa eines der Materialien für die optionale Seedschicht 135, die vorstehend unter Bezugnahme auf 5 genannt worden sind. Andere geeignete Materialien für die optionale dritte Seedschicht 237 liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. Bei einigen Ausführungsformen kann die optionale dritte Seedschicht 237 aus denselben Materialien wie die optionale Seedschicht 135 und/oder die optionale zweite Seedschicht 235 bestehen. Alternativ kann die optionale dritte Seedschicht 237 aus einem oder mehreren anderen Materialien als die optionale Seedschicht 135 und/oder die optionale zweite Seedschicht 235 bestehen. Die optionale dritte Seedschicht 237 kann eine einzelne Schicht aus einem Metalloxidmaterial sein, oder sie kann mehrere Schichten aus Metalloxidmaterialien aufweisen, die unterschiedliche Zusammensetzungen haben können. Bei verschiedenen Ausführungsformen kann das Material der Seedschicht eine Kristallstruktur haben, die kubische, tetragonale und/oder orthorhombische Kristallphasen hat.
  • Die optionale dritte Seedschicht 237 kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden. Bei verschiedenen Ausführungsformen kann die optionale dritte Seedschicht 237 durch ALD oder PLD abgeschieden werden. Bei einigen Ausführungsformen kann die optionale dritte Seedschicht 237 für 30 s bis 10 min bei Temperaturen von 300 °C bis 700 °C thermisch getempert werden, um ihre Kristallinität zu erhöhen. Alternativ oder zusätzlich kann die optionale dritte Seedschicht 237 als ein quasi-einkristallines Metalloxidmaterial mit einem geeigneten Abscheidungsverfahren (z. B. PLD) abgeschieden werden. Eine Dicke der optionalen dritten Seedschicht 237 kann 0,1 nm bis 5 nm betragen, aber es können auch kleinere und größere Dicken verwendet werden.
  • 14 ist eine vertikale Schnittansicht einer beispielhaften Struktur, die eine dielektrische Materialschicht 180 zeigt, die über der optionalen dritten Seedschicht 237 abgeschieden wird. Bei Ausführungsformen, bei denen die optionale dritte Seedschicht 237 nicht vorhanden ist, kann die dielektrische Materialschicht 180 über der Oberseite der zweiten FE-Materialschicht 240 abgeschieden werden. In 14 kann die dielektrische Materialschicht 180 aus einem geeigneten dielektrischen Material bestehen, wie etwa Aluminiumoxid oder Siliziumoxid. Andere Materialien liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. Bei einigen Ausführungsformen kann die dielektrische Materialschicht 180 ein dielektrisches Low-k-Material sein. Die Materialschicht 180 kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden, die vorstehend genannt worden sind.
  • 15 ist eine vertikale Schnittansicht einer beispielhaften Struktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine strukturierte Maske 170 über einer Oberseite der dielektrischen Materialschicht 180 zeigt. Die strukturierte Maske 170 kann durch Fotolithografie strukturiert werden, um Teile des Maskenmaterials zu entfernen und Bereiche 171 und 172 der Oberseite der dielektrischen Materialschicht 180 freizulegen. Die freigelegten Bereiche 171 und 172 der dielektrischen Materialschicht 180 können den Positionen eines Source- bzw. Drainbereichs entsprechen, die später erzeugt werden können.
  • 16 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Öffnungen 174 und 175 zeigt, die durch die dielektrische Materialschicht 180, die optionale dritte Seedschicht 237, die zweite FE-Materialschicht 240, die optionale zweite Seedschicht 235 und die optionale zweite Isolierschicht 245 erzeugt werden, um die Oberseite der Kanalschicht 150 freizulegen. In 16 kann die beispielhafte Zwischenstruktur durch die strukturierte Maske 170 geätzt werden, um Teile der dielektrischen Materialschicht 180, der optionalen dritten Seedschicht 237, der zweiten FE-Materialschicht 240, der optionalen zweiten Seedschicht 235 und der optionalen zweiten Isolierschicht 245 zu entfernen und die Oberseite der Kanalschicht 150 freizulegen. Die Bereiche der Kanalschicht 150, die durch die Öffnungen 174 und 175 freigelegt werden, können einem Source- bzw. einem Drainbereich der FeFET-Vorrichtung entsprechen. Nach dem Ätzprozess kann die strukturierte Maske 170 mit einem geeigneten Verfahren entfernt werden, wie etwa durch Ablösen oder durch Auflösen mit einem Lösungsmittel.
  • 17 ist eine vertikale Schnittansicht einer beispielhaften Struktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine Plasmabehandlung von Source- und Drainbereichen 176 und 177 der Kanalschicht 150 zeigt. In 17 können die Source- und Drainbereiche 176 und 177 der Kanalschicht 150 eine Plasmabehandlung durchlaufen (die schematisch durch Pfeile 161 und 162 dargestellt ist). Bei einigen Ausführungsformen kann die Plasmabehandlung eine Helium(He)-Plasmabehandlung sein. Die Plasmabehandlung der Source- und Drainbereiche 176 und 177 der Kanalschicht 150 kann für 5 s bis 5 min, z. B. 30 s bis 120 s (z. B. ~60 s) durchgeführt werden. Die Plasmabehandlung kann mit einer Stromdichte von mehr als 0,3 W/cm2, z. B. von 0,8 W/cm2 bis 1,2 W/cm2 (z. B. ~0,98 W/cm2) durchgeführt werden.
  • Bei einigen Ausführungsformen kann durch die Plasmabehandlung ein Kontaktwiderstand in den Source- und Drainbereichen 176 und 177 gesenkt werden. Bei verschiedenen Ausführungsformen können durch die Plasmabehandlung Bereiche entstehen, die vergleichsweise reich an dem ersten Metall M des Kanalbereichs 150 (z. B. In) sind, was eine Reduzierung des Kontaktwiderstands unterstützen kann. Durch die Plasmabehandlung können außerdem Bereiche 178 und 179 der Kanalschicht 150 unter den Source- und Drainbereichen 176 und 177 entstehen, die vergleichsweise reich an Sauerstoff-Leerstellen sein können. Bei einigen Ausführungsformen können sich die Bereiche 176 und 177, die reich an Sauerstoff-Leerstellen sind, in einer Tiefe von mindestens 0,5 nm unter einer Oberseite 159 der Kanalschicht 150 befinden, und sie können sich bis zu einer Tiefe maximal etwa 70 nm unter der Oberseite 159 der Kanalschicht 150 erstrecken. Bei verschiedenen Ausführungsformen kann eine Konzentration von Sauerstoff-Leerstellen in den Bereichen 178 und 179 unter den Source- und Drainbereichen 176 und 177 größer als eine Konzentration von Sauerstoff-Leerstellen in einem mittleren Bereich 163 der Kanalschicht 150 sein, der sich zwischen den Bereichen 178 und 179 befindet. Die Sauerstoff-Leerstellen-reichen Bereiche 176 und 177 der Kanalschicht 150 können den Source-Gate- und den Drain-Gate-Widerstand der Kanalschicht 150 reduzieren.
  • 18 ist eine vertikale Schnittansicht einer beispielhaften Struktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Source- und Drain-Elektroden 190 und 191 zeigt, die über dem Sourcebereich 176 und dem Drainbereich 177 der Kanalschicht 150 hergestellt werden. In 18 können die Source-Elektrode 190 und die Drain-Elektrode 191 ein geeignetes elektrisch leitfähiges Material aufweisen, wie etwa Titannidrid (TiN), Molybdän (Mo), Kupfer (Cu), Aluminium (AI), Zirconium (Zr), Titan (Ti), Wolfram (W), Tantal (Ta), Tantalnitrid (TaN), Ruthenium (Ru), Palladium (Pd), Platin (Pt), Cobalt (Co), Nickel (Ni), Iridium (Ir), Eisen (Fe), Beryllium (Be), Chrom (Cr), Antimon (Sb), Osmium (Os), Thorium (Th), Vanadium (V), Legierungen davon oder Kombinationen davon. Andere geeignete Elektrodenmaterialien liegen ebenfalls innerhalb des Schutzumfangs der Erfindung. Die Source- und Drain-Elektroden 190 und 191 können den Sourcebereich 176 bzw. den Drainbereich 177 der Kanalschicht 150 elektrisch kontaktieren. Die Source-Elektrode 190 und die Drain-Elektrode 191 können mit einem geeigneten Abscheidungsverfahren abgeschieden werden, wie etwa PVD, Sputtern, CVD, ALD, PECVD oder Kombinationen davon. Bei einigen Ausführungsformen können die Source-Elektrode 190 und die Drain-Elektrode 191 durch ALD abgeschieden werden. Bei verschiedenen Ausführungsformen können die Source-Elektrode 190 und die Drain-Elektrode 191 dadurch hergestellt werden, dass eine Schicht aus einem elektrisch leitfähigen Material über der Oberseite der dielektrischen Materialschicht 180 und in den Öffnungen 174 und 175 durch die dielektrische Materialschicht 180, die optionale dritte Seedschicht 237, die zweite FE-Materialschicht 240, die optionale zweite Seedschicht 235 und die optionale zweite Isolierschicht 245 abgeschieden wird. Dann können mit einem Planarisierungsprozess, wie etwa einem CMP-Prozess (CMP: chemisch-mechanische Polierung), Teile des elektrisch leitfähigen Materials über der Oberseite der dielektrischen Materialschicht 180 entfernt werden, und diskrete Source- und Drain-Elektroden 190 und 191 können bereitgestellt werden, die die Oberseite der Kanalschicht 150 kontaktieren. Bei einigen Ausführungsformen können die Oberseiten der Source- und Drain-Elektroden 190 und 191 koplanar mit der Oberseite der dielektrischen Materialschicht 180 sein. Bei einigen Ausführungsformen können die Source-Elektrode 190 und die Drain-Elektrode 191 eine Dicke zwischen den Unter- und Oberseiten der Source-Elektrode 190 und der Drain-Elektrode 191 von etwa 50 nm bis etwa 1000 nm haben.
  • 19 ist eine vertikale Schnittansicht einer beispielhaften Struktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine strukturierte Maske 185 über den Oberseiten der dielektrischen Materialschicht 180 und der Source- und Drain-Elektroden 190 und 191 zeigt. Die strukturierte Maske 185 kann durch Fotolithografie strukturiert werden, um Teile des Maskenmaterials zu entfernen und einen Teil der Oberseite der dielektrischen Materialschicht 180 freizulegen. Der freigelegte Teil der Oberseite der dielektrischen Materialschicht 180 kann der Position einer oberen Gateelektrode entsprechen, die später hergestellt werden kann.
  • 20 ist eine vertikale Schnittansicht einer beispielhaften Struktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine Öffnung 193 zeigt, die durch die dielektrische Materialschicht 180 erzeugt wird, um die Oberseite der optionalen dritten Seedschicht 237 freizulegen. In 20 kann die beispielhafte Zwischenstruktur durch die strukturierte Maske 185 geätzt werden, um Teile der dielektrischen Materialschicht 180 zu entfernen und die Oberseite der optionalen dritten Seedschicht 237 freizulegen. Bei Ausführungsformen, bei denen die optionale dritte Seedschicht 237 nicht vorhanden ist, kann durch den Ätzprozess die Oberseite der zweiten FE-Materialschicht 240 freigelegt werden. Nach dem Ätzprozess kann die strukturierte Maske 185 mit einem geeigneten Verfahren entfernt werden, wie etwa durch Ablösen oder durch Auflösen mit einem Lösungsmittel.
  • 21 ist eine vertikale Schnittansicht einer beispielhaften Struktur einer FeFET-Vorrichtung 200, die eine obere Gateelektrode 220 aufweist, die in einer Öffnung in der dielektrischen Materialschicht 180 hergestellt wird. Die obere Gateelektrode 220 kann aus einem geeigneten elektrisch leitfähigen Material bestehen, wie etwa einem der elektrisch leitfähigen Materialien für die untere Gateelektrode 120, die vorstehend unter Bezugnahme auf 3 genannt worden sind. Andere geeignete Materialien für die obere Gateelektrode 220 liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. Bei einigen Ausführungsformen kann die obere Gateelektrode 220 aus denselben Materialien wie die untere Gateelektrode 120 bestehen. Alternativ kann die obere Gateelektrode 220 aus einem oder mehreren anderen Materialien als die untere Gateelektrode 120 bestehen.
  • Bei einigen Ausführungsformen kann das Material der oberen Gateelektrodenschicht 220 optional einen niedrigeren Wärmeausdehnungskoeffizienten (WAK) als die zweite FE-Materialschicht 240 haben. Bei Ausführungsformen, bei denen die zweite FE-Materialschicht 240 zum Beispiel Hafnium-Zirconiumoxid (HZO) aufweist, das einen WAK von 14 × 10-6/K hat, kann die obere Gateelektrodenschicht 220 ein oder mehrere Materialien aufweisen, die einen WAK haben, der niedriger als 14 × 10-6/K ist. Geeignete elektrisch leitfähige Materialien, die einen vergleichsweise niedrigeren WAK haben, sind unter anderem Platin (Pt), Titan (Ti), Titannidrid (TiN), Wolfram (W), Tantal (Ta), Tantalnitrid (TaN), Eisen (Fe), Nickel (Ni), Beryllium (Be), Chrom (Cr), Cobalt (Co), Antimon (Sb), Iridium (Ir), Molybdän (Mo), Osmium (Os), Thorium (Th), Vanadium (V), Legierungen davon und Kombinationen davon. Bei verschiedenen Ausführungsformen kann eine Zugverspannung in der zweiten FE-Materialschicht 240 dadurch induziert werden, dass die in 21 gezeigte Struktur einem Temperprozess, in dem die Struktur für 30 s bis 5 min bei einer Temperatur von 400 °C bis 700 °C getempert wird, unterzogen wird und anschließend abgekühlt wird. Während des Abkühlens kann die zweite FE-Materialschicht 240 aufgrund der WAK-Diskrepanz stärker als die obere Gateelektrodenschicht 220 schrumpfen. Dadurch kann die zweite FE-Materialschicht 240 gestreckt werden, sodass sie dauerhaft zugverspannt wird.
  • Die obere Gateelektrode 220 kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden, wie etwa PVD, Sputtern, CVD, ALD, PECVD oder Kombinationen davon. Bei verschiedenen Ausführungsformen kann die obere Gateelektrodenschicht 220 dadurch hergestellt werden, dass eine Schicht aus einem elektrisch leitfähigen Material über den Oberseiten der dielektrischen Materialschicht 180, der Source-Elektrode 190 und der Drain-Elektrode 191 sowie in der Öffnung 193 in der dielektrischen Materialschicht 180 abgeschieden wird. Dann können mit einem Planarisierungsprozess, wie etwa einem CMP-Prozess, Teile des elektrisch leitfähigen Materials über den Oberseiten der dielektrischen Materialschicht 180, der Source-Elektrode 190 und der Drain-Elektrode 191 entfernt werden, und eine diskrete obere Gateelektrode 220 kann bereitgestellt werden. Bei einigen Ausführungsformen kann die dielektrische Materialschicht 180 Seitenflächen der oberen Gateelektrodenschicht 220 kontaktieren, und sie kann die obere Gateelektrodenschicht 220 seitlich von der Source-Elektrode 190 und der Drain-Elektrode 191 trennen, die auf gegenüberliegenden Seiten der oberen Gateelektrode 220 angeordnet sind. Bei einigen Ausführungsformen können die Oberseiten der Source-Elektrode 190, der Drain-Elektrode 191 und der dielektrischen Materialschicht 180 koplanar mit der Oberseite der oberen Gateelektrode 220 sein. Bei einigen Ausführungsformen kann die obere Gateelektrode 220 eine Dicke zwischen der Unter- und der Oberseite der oberen Gateelektrode 220 von etwa 50 nm bis etwa 1000 nm haben.
  • Die in 21 gezeigte beispielhafte FeFET-Vorrichtung 200 hat eine Double-Gate-Struktur, die eine untere Gateelektrode 120, die auf einer ersten Seite eines Halbleiterkanals 150 angeordnet ist, und eine obere Gateelektrode 220 aufweist, die auf einer zweiten Seite des Halbleiterkanals 150 angeordnet ist. Eine erste FE-Materialschicht 140 ist zwischen der unteren Gateelektrode 120 und dem Halbleiterkanal 150 angeordnet, und eine zweite FE-Materialschicht 240 ist zwischen der oberen Gateelektrode 220 und dem Halbleiterkanal 150 angeordnet. Source- und Drain-Elektroden 190 und 191 kontaktieren die Oberseite des Halbleiterkanals 150.
  • 22 ist eine vertikale Schnittansicht einer alternativen beispielhaften Struktur einer Double-Gate-FeFET-Vorrichtung 300 mit einer optionalen vierten Seedschicht 137, die zwischen der FE-Materialschicht 140 und der optionalen Isolierschicht 145 angeordnet ist. Die in 22 gezeigte alternative beispielhafte Struktur kann durch Abscheiden der optionalen vierten Seedschicht 137 über der Oberseite der FE-Materialschicht 140 von der in 6 gezeigten beispielhaften Zwischenstruktur abgeleitet werden. Die optionale vierte Seedschicht 137 kann dieselbe oder eine ähnliche Zusammensetzung und Struktur wie die optionale Seedschicht 135, die optionale zweite Seedschicht 235 und/oder die optionale dritte Seedschicht 237 haben, die vorstehend beschrieben worden sind. Die optionale vierte Seedschicht 137 kann ein Material aufweisen, das so konfiguriert ist, dass es die Bildung und Aufrechterhaltung einer gewünschten Kristallstruktur in der darunter befindlichen FE-Materialschicht 140 unterstützt. Die optionale vierte Seedschicht 137 kann mit einem vorstehend genannten geeigneten Abscheidungsverfahren abgeschieden werden. Nach dem Abscheiden der optionalen vierten Seedschicht 137 können die Prozessschritte, die vorstehend unter Bezugnahme auf die 7 bis 21 beschrieben worden sind, durchgeführt werden, um die in 22 gezeigte FeFET-Vorrichtung 300 bereitzustellen.
  • 23 ist ein Schaltbild, das eine FeFET-Vorrichtung 200 und eine FeFET-Vorrichtung 300 mit einer Double-Gate-Struktur schematisch darstellt, die in einem gemeinsamen Gate-Steuermodus betrieben werden. In 23 können die untere Gateelektrode 120 und die obere Gateelektrode 220 mit einer gemeinsamen Versorgungsleitung verbunden werden, sodass an die untere Gateelektrode 120 und die obere Gateelektrode 220 dieselbe Spannung angelegt werden kann. Die FE-Materialschichten 140 und 240 können als Gate-Isolierschichten zwischen der unteren Gateelektrode 120 bzw. der oberen Gateelektrode 220 und der Halbleiterkanalschicht 150 funktionieren. Durch Vorsehen der Gate-Elektroden 120 und 220 und der FE-Materialschichten 140 und 240 auf zwei gegenüberliegenden Seiten des Halbleiterkanals 150 können die Polarisation, das Speicherfenster und der Durchlassstrom Ion der Double-Gate-FeFET-Vorrichtungen 200 und 300 gegenüber denen einer FeFET-Vorrichtung erhöht/vergrößert werden, die nur eine Gateelektrode und nur eine FE-Materialschicht auf nur einer Seite des Halbleiterkanals 150 aufweist (d. h., einer Single-Gate-FeFET-Struktur).
  • Die 24 bis 37 sind sequentielle vertikale Schnittansichten einer beispielhaften Struktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung gemäß einer alternativen Ausführungsform der vorliegenden Erfindung. Die FeFET-Vorrichtung gemäß der alternativen Ausführungsform der 24 bis 37 kann eine Double-Gate-Struktur aufweisen, wie sie in den 21 und 22 für die FeFET-Vorrichtungen 200 und 300 gezeigt ist. Außerdem kann die FeFET-Vorrichtung gemäß der alternativen Ausführungsform der 24 bis 37 ein erstes Paar Source- und Drain-Elektroden, die eine erste Seite des Halbleiterkanals kontaktieren, und ein zweites Paar Source- und Drain-Elektroden aufweisen, die eine zweite Seite des Halbleiterkanals kontaktieren. Dadurch kann eine FeFET-Vorrichtung mit einer Double-Gate-Struktur bereitgestellt werden, die in einem getrennten Gate-Steuermodus betrieben werden kann, wie nachstehend näher beschrieben wird.
  • 24 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Folgendes aufweist: ein Substrat 100; eine erste dielektrische Schicht 110 über dem Substrat 100; eine untere Gateelektrode 120, die in die erste dielektrische Schicht 110 eingebettet ist; eine optionale Verspannungsschicht 130 über der ersten dielektrischen Schicht 110 und der unteren Gateelektrode 120; eine optionale Seedschicht 135 über der optionalen Verspannungsschicht 135; eine FE-Materialschicht 140 über der optionalen Seedschicht 135; und eine optionale Isolierschicht 145 über der FE-Materialschicht 140. Die in 24 gezeigte beispielhafte Zwischenstruktur kann von der in 7 gezeigten beispielhaften Zwischenstruktur abgeleitet werden, und daher werden die Strukturen und die Einzelheiten des Substrats 100, der ersten dielektrischen Schicht 110, der unteren Gateelektrode 120, der optionalen Verspannungsschicht 130, der optionalen Seedschicht 135, der FE-Materialschicht 140 und der optionalen Isolierschicht 145 nicht nochmals erörtert. Bei einigen Ausführungsformen kann eine weitere Seedschicht (in 24 nicht dargestellt) über der FE-Materialschicht 140 angeordnet werden, wie etwa die in 22 gezeigte optionale vierte Seedschicht 137, die zwischen der FE-Materialschicht 140 und der optionalen Isolierschicht 145 angeordnet wird.
  • 25 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine strukturierte Maske 301 über der Oberseite der optionalen Isolierschicht 145 zeigt. Bei Ausführungsformen, bei denen die optionale Isolierschicht 145 nicht vorhanden ist, kann die strukturierte Maske 301 über der Oberseite der FE-Materialschicht 140 hergestellt werden, oder wenn die optionale Isolierschicht 145 vorhanden ist, kann die Maske 301 über einer optionalen Seedschicht hergestellt werden, die sich über der FE-Materialschicht 140 befindet. Die strukturierte Maske 301 kann durch Fotolithografie strukturiert werden, um Teile des Maskenmaterials zu entfernen und Teile der optionalen Isolierschicht 145 freizulegen. Die Öffnungen durch die strukturierte Maske 301 können den Positionen der unteren Source- und Drain-Elektroden entsprechen, die später hergestellt werden können.
  • 26 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Öffnungen 302 und 303 zeigt, die durch die optionale Isolierschicht 145, die FE-Materialschicht 140, die optionale Seedschicht 135 und die optionale Verspannungsschicht 130 erzeugt werden und sich in die erste dielektrische Materialschicht 110 erstrecken. In 26 kann die beispielhafte Zwischenstruktur durch die strukturierte Maske 301 geätzt werden, um Teile der optionalen Isolierschicht 145, der FE-Materialschicht 140, der optionalen Seedschicht 135, der optionalen Verspannungsschicht 130 und der ersten dielektrischen Materialschicht 110 zu entfernen, um die Öffnungen 302 und 303 zu erzeugen. Die Öffnungen 302 und 303 können den Positionen der unteren Source- und Drain-Elektroden entsprechen, die später hergestellt werden können. Nach dem Ätzprozess kann die strukturierte Maske 301 mit einem geeigneten Verfahren, wie etwa durch Ablösen oder durch Auflösen mit einem Lösungsmittel, entfernt werden.
  • 27 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die untere Source- und Drain-Elektroden 304 und 305 aufweist, die in den Öffnungen 302 und 303 hergestellt werden. In 27 können die unteren Source- und Drain-Elektroden 304 und 305 ein geeignetes elektrisches leitfähiges Material aufweisen, wie etwa Titannidrid (TiN), Molybdän (Mo), Kupfer (Cu), Aluminium (AI), Zirconium (Zr), Titan (Ti), Wolfram (W), Tantal (Ta), Tantalnitrid (TaN), Ruthenium (Ru), Palladium (Pd), Platin (Pt), Cobalt (Co), Nickel (Ni), Iridium (Ir), Eisen (Fe), Beryllium (Be), Chrom (Cr), Antimon (Sb), Osmium (Os), Thorium (Th), Vanadium (V), Legierungen davon oder Kombinationen davon. Andere geeignete Elektrodenmaterialien liegen ebenfalls innerhalb des Schutzumfangs der Erfindung. Die unteren Source- und Drain-Elektroden 304 und 305 können mit einem geeigneten Abscheidungsverfahren abgeschieden werden, wie etwa PVD, Sputtern, CVD, ALD, PECVD oder Kombinationen davon. Bei verschiedenen Ausführungsformen können die unteren Source- und Drain-Elektroden 304 und 305 dadurch hergestellt werden, dass eine Schicht aus einem elektrisch leitfähigen Material über der Oberseite der optionalen Isolierschicht 145 und in den Öffnungen 302 und 303 durch die optionale Isolierschicht 145, die FE-Materialschicht 140, die optionale Seedschicht 135 und die optionale Verspannungsschicht 130 und in die erste dielektrische Materialschicht 110 abgeschieden wird. Dann können mit einem Planarisierungsprozess, wie etwa einem CMP-Prozess, Teile des elektrisch leitfähigen Materials über der Oberseite der optionalen Isolierschicht 145 entfernt werden, und diskrete untere Source- und Drain-Elektroden 304 und 305 können bereitgestellt werden. Wie in 27 gezeigt ist, können sich die unteren Source- und Drain-Elektroden 304 und 305 in die erste dielektrische Materialschicht 110 erstrecken und können von der in die erste dielektrische Materialschicht 110 eingebetteten unteren Gateelektrode 120 seitlich beabstandet werden. Bei verschiedenen Ausführungsformen können Oberseiten der unteren Source- und Drain-Elektroden 304 und 305 koplanar mit der Oberseite der optionalen Isolierschicht 145 sein. Bei Ausführungsformen, bei denen die optionale Isolierschicht 145 nicht vorhanden ist, können die Oberseiten der unteren Source- und Drain-Elektroden 304 und 305 koplanar mit der Oberseite der FE-Materialschicht 140 sein.
  • 28 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Folgendes aufweist: eine Kanalschicht 150 über den Oberseiten der optionalen Isolierschicht 145 und den unteren Source- und Drain-Elektroden 304 und 305; eine optionale zweite Isolierschicht 245 über der Kanalschicht 150; eine optionale zweite Seedschicht 235 über der optionalen zweiten Isolierschicht 245; eine zweite FE-Materialschicht 240 über der optionalen zweiten Seedschicht 245; und eine optionale dritte Seedschicht 237 über der zweiten FE-Materialschicht 240. Die in 28 gezeigte beispielhafte Zwischenstruktur kann von der in 13 gezeigten beispielhaften Zwischenstruktur abgeleitet werden, und daher werden die Strukturen und die Einzelheiten der Kanalschicht 150, der optionalen zweiten Isolierschicht 245, der optionalen zweiten Seedschicht 235, der zweiten FE-Materialschicht 240 und der optionalen dritten Seedschicht 235 nicht nochmals erörtert. In 28 können die untere Source-Elektrode 304 und die untere Drain-Elektrode 305 die Unterseite der Kanalschicht 150 kontaktieren. Bei verschiedenen Ausführungsformen kann die Kanalschicht 150 eine Oxidhalbleiter-Kanalschicht sein, wie sie vorstehend unter Bezugnahme auf die 8 bis 10B beschrieben worden ist.
  • 29 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine strukturierte Maske 306 über der Oberseite der optionalen dritten Seedschicht 237 zeigt. Bei Ausführungsformen, bei denen die optionale dritte Seedschicht 237 nicht vorhanden ist, kann die strukturierte Maske 306 über der Oberseite der zweiten FE-Materialschicht 240 hergestellt werden. Die strukturierte Maske 306 kann durch Fotolithografie strukturiert werden, um Teile des Maskenmaterials zu entfernen und Teile der optionalen dritten Seedschicht 237 freizulegen. Die strukturierte Maske 306 kann die optionale dritte Seedschicht 237 in einem Bereich bedecken, der sich über der unteren Gateelektrode 120 und den unteren Source- und Drain-Elektroden 304 und 305 befindet.
  • 30 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, der sich an einen Ätzprozess anschließt, in dem eine Mehrschichtstruktur 307 über einer ersten dielektrischen Materialschicht 110 hergestellt wird. In 30 kann ein Ätzprozess durch die strukturierte Maske 306 durchgeführt werden, um Teile der optionalen dritten Seedschicht 237, der zweiten FE-Materialschicht 240, der optionalen zweiten Seedschicht 235, der optionalen zweiten Isolierschicht 245, der Kanalschicht 150, der optionalen Isolierschicht 145, der FE-Materialschicht 140, der optionalen Seedschicht 135 und der optionalen Verspannungsschicht 130 zu entfernen. Nach dem Ätzprozess können die verbliebenen Teile der optionalen dritten Seedschicht 237, der zweiten FE-Materialschicht 240, der optionalen zweiten Seedschicht 235, der optionalen zweiten Isolierschicht 245, der Kanalschicht 150, der optionalen Isolierschicht 145, der FE-Materialschicht 140, der optionalen Seedschicht 135 und der optionalen Verspannungsschicht 130 eine Mehrschichtstruktur 307 bilden. Bei einigen Ausführungsformen kann durch den Ätzprozess eine Mehrzahl von diskreten Mehrschichtstrukturen 307 über der ersten dielektrischen Materialschicht 110 entstehen. Die Oberseite der ersten dielektrischen Materialschicht 110 kann zwischen jeweiligen Mehrschichtstrukturen 307 freiliegen. Jede Mehrschichtstruktur 307 kann eine untere Source-Elektrode 304/305 und eine untere Drain-Elektrode 305/304 aufweisen. Eine untere Gateelektrode 120 kann in der ersten dielektrischen Materialschicht 110 unter jeder Mehrschichtstruktur 307 und zwischen jeweiligen Source- und Drain-Elektroden 304 und 305 angeordnet werden. Nach dem Ätzprozess kann die strukturierte Maske 306 mit einem geeigneten Verfahren entfernt werden, wie etwa durch Ablösen oder durch Auflösen mit einem Lösungsmittel.
  • 31 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine zweite dielektrische Materialschicht 310 aufweist, die über einer Oberseite und Seitenflächen der Mehrschichtstruktur 307 und über der freigelegten Oberseite der ersten dielektrischen Materialschicht 110 hergestellt ist. Die zweite dielektrische Materialschicht 310 kann aus einem geeigneten dielektrischen Material wie Siliziumoxid, Aluminiumoxid usw. bestehen. Andere Materialien liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. Bei einigen Ausführungsformen kann die zweite dielektrische Materialschicht 310 ein dielektrisches Low-k-Material sein. Die dielektrische Materialschicht 310 kann mit einem geeigneten vorgenannten Abscheidungsverfahren abgeschieden werden.
  • 32 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine strukturierte Maske 170 über der Oberseite der zweiten dielektrischen Materialschicht 310 aufweist. Die strukturierte Maske 170 kann durch Fotolithografie strukturiert werden, um Teile des Maskenmaterials zu entfernen und Bereiche 171 und 172 der Oberseite der zweiten dielektrischen Materialschicht 310 freizulegen. Die freigelegten Bereiche 171 und 172 der zweiten dielektrischen Materialschicht 310 können den Positionen eines oberen Sourcebereichs bzw. eines oberen Drainbereichs entsprechen, die später in der Mehrschichtstruktur 307 erzeugt werden können.
  • 33 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Öffnungen 312 und 313 zeigt, die durch die zweite dielektrische Materialschicht 310, die optionale dritte Seedschicht 237, die zweite FE-Materialschicht 240, die optionale zweite Seedschicht 235 und die optionale zweite Isolierschicht 245 erzeugt werden, um die Oberseite der Kanalschicht 150 freizulegen. In 33 kann die beispielhafte Zwischenstruktur durch die strukturierte Maske 170 geätzt werden, um Teile der zweiten dielektrischen Materialschicht 310, der optionalen dritten Seedschicht 237, der zweiten FE-Materialschicht 240, der optionalen zweiten Seedschicht 235 und der optionalen zweiten Isolierschicht 245 zu entfernen und die Oberseite der Kanalschicht 150 freizulegen. Die Bereiche der Kanalschicht 150, die durch die Öffnungen 312 und 313 freigelegt werden, können einem Source- bzw. einem Drainbereich der FeFET-Vorrichtung entsprechen. Nach dem Ätzprozess kann die strukturierte Maske 170 mit einem geeigneten Verfahren entfernt werden, wie etwa durch Ablösen oder durch Auflösen mit einem Lösungsmittel.
  • Bleiben wir bei 33. Der Sourcebereich 176 und der Drainbereich 177 der Kanalschicht 150 können einer Plasmabehandlung unterzogen werden (die schematisch durch Pfeile 161 und 162 dargestellt ist). Bei einigen Ausführungsformen kann die Plasmabehandlung der Plasmabehandlung entsprechen, die vorstehend unter Bezugnahme auf 17 beschrieben worden ist. Daher wird die Plasmabehandlung nicht nochmals beschrieben. Bei einigen Ausführungsformen kann durch die Plasmabehandlung der Kontaktwiderstand in dem Sourcebereich 176 und dem Drainbereich 177 gesenkt werden. Bei verschiedenen Ausführungsformen können durch die Plasmabehandlung außerdem Bereiche 178 und 179 der Kanalschicht 150 unter dem Sourcebereich 176 und dem Drainbereich 177 entstehen, die vergleichsweise reich an Sauerstoff-Leerstellen sein können. Der Sauerstoff-Leerstellen-reiche Sourcebereich 176 und der Sauerstoff-Leerstellen-reiche Drainbereich 177 der Kanalschicht 150 können den Source-Gate- und den Drain-Gate-Widerstand der Kanalschicht 150 reduzieren.
  • 34 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die obere Source- und Drain-Elektroden 314 und 315 aufweist, die über dem Sourcebereich 176 und dem Drainbereich 177 der Kanalschicht 150 hergestellt werden. In 34 können die oberen Source- und Drain-Elektroden 314 und 315 ein geeignetes elektrisch leitfähiges Material aufweisen, wie etwa eines der Materialien für die Source- und Drain-Elektroden 190 und 191, die vorstehend unter Bezugnahme auf 18 beschrieben worden sind. Bei einigen Ausführungsformen können die oberen Source- und Drain-Elektroden 314 und 315 aus denselben Materialien wie die unteren Source- und Drain-Elektroden 304 und 305 bestehen. Alternativ können die oberen Source- und Drain-Elektroden 314 und 315 aus einem oder mehreren anderen Materialien als die unteren Source- und Drain-Elektroden 304 und 305 bestehen.
  • Die oberen Source- und Drain-Elektroden 314 und 315 können mit einem geeigneten Abscheidungsverfahren abgeschieden werden, wie etwa PVD, Sputtern, CVD, ALD, PECVD oder Kombinationen davon. Bei verschiedenen Ausführungsformen können die oberen Source- und Drain-Elektroden 314 und 315 dadurch hergestellt werden, dass eine Schicht aus einem elektrisch leitfähigen Material über der Oberseite der zweiten deshalb Materialschicht 310 und in den Öffnungen 312 und 313 abgeschieden wird. Dann können mit einem Planarisierungsprozess, wie etwa einem CMP-Prozess, Teile des elektrisch leitfähigen Materials über der Oberseite der zweiten dielektrischen Materialschicht 310 entfernt werden, und diskrete obere Source- und Drain-Elektroden 314 und 315 können bereitgestellt werden, die die Source- und Drainbereiche 176 und 177 der Kanalschicht 150 kontaktieren.
  • 35 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine strukturierte Maske 185 über den Oberseiten der zweiten dielektrischen Materialschicht 310 und der oberen Source- und Drain-Elektroden 314 und 315 zeigt. Die strukturierte Maske 185 kann durch Fotolithografie strukturiert werden, um Teile des Maskenmaterials zu entfernen und einen Teil der Oberseite der zweiten dielektrischen Materialschicht 310 freizulegen. Der freigelegte Teil der Oberseite der zweiten dielektrischen Materialschicht 310 kann der Position einer oberen Gateelektrode entsprechen, die später hergestellt werden kann.
  • 36 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine Öffnung 193 zeigt, die durch die zweite dielektrische Materialschicht 310 erzeugt wird, um die Oberseite der optionalen dritten Seedschicht 237 freizulegen. In 36 kann die beispielhafte Zwischenstruktur durch die strukturierte Maske 185 geätzt werden, um Teile der zweiten dielektrischen Materialschicht 310 zu entfernen und die Oberseite der optionalen dritten Seedschicht 237 freizulegen. Bei Ausführungsformen, bei denen die dritte optionale Seedschicht 237 nicht vorhanden ist, kann durch den Ätzprozess die Oberseite der zweiten FE-Materialschicht 240 freigelegt werden. Nach dem Ätzprozess kann die strukturierte Maske 185 mit einem geeigneten Verfahren entfernt werden, wie etwa durch Ablösen oder durch Auflösen mit einem Lösungsmittel.
  • 37 ist eine vertikale Schnittansicht einer beispielhaften Struktur einer FeFET-Vorrichtung 400, die eine obere Gateelektrode 220 aufweist, die in einer Öffnung in der zweiten dielektrischen Materialschicht 310 hergestellt wird. Die obere Gateelektrode 220 kann eine Zusammensetzung und eine Struktur haben, die denen der oberen Gateelektrode 220 entspricht, die vorstehend unter Bezugnahme auf 22 beschrieben worden ist, und sie kann mit demselben Verfahren wie diese hergestellt werden. Daher wird die obere Gateelektrode 220 nicht nochmals beschrieben.
  • Die in 37 gezeigte beispielhafte FeFET-Vorrichtung 400 hat eine Double-Gate-Struktur, die eine untere Gateelektrode 120, die auf einer ersten Seite eines Halbleiterkanals 150 angeordnet ist, und eine obere Gateelektrode 220 aufweist, die auf einer zweiten Seite des Halbleiterkanals 150 angeordnet ist. Eine erste FE-Materialschicht 140 ist zwischen der unteren Gateelektrode 120 und dem Halbleiterkanal 150 angeordnet, und eine zweite FE-Materialschicht 240 ist zwischen der oberen Gateelektrode 220 und dem Halbleiterkanal 150 angeordnet. Obere Source- und Drain-Elektroden 314 und 315 erstrecken sich durch die zweite FE-Materialschicht 240 und kontaktieren die Oberseite des Halbleiterkanals 150. Außerdem erstrecken sich untere Source- und Drain-Elektroden 304 und 305 durch die erste FE-Materialschicht 140, und sie kontaktieren die Unterseite des Halbleiterkanals 150
  • Eine beispielhafte FeFET-Vorrichtung 400 mit einer Double-Gate-Struktur, wie sie in 37 gezeigt ist, kann in einem gemeinsamen Gate-Steuermodus betrieben werden, wie vorstehend unter Bezugnahme auf 23 dargelegt worden ist. Außerdem kann die beispielhafte FeFET-Vorrichtung 400, die eine Double-Gate-Struktur und untere und obere Source- und Drain-Elektroden 304, 305, 314 und 315 hat, auch in einem getrennten Gate-Steuermodus betrieben werden. 38 ist ein Schaltbild, das eine FeFET-Vorrichtung 400 schematisch darstellt, die eine Double-Gate-Struktur und untere und obere Source- und Drain-Elektroden 304, 305, 314 und 315 hat und in einem getrennten Gate-Steuermodus betrieben wird. In den 37 und 38 können die untere Gateelektrode 120 und die obere Gateelektrode 220 mit unterschiedlichen Versorgungsleitungen verbunden werden, sodass an die untere Gateelektrode 120 und die obere Gateelektrode 220 unterschiedliche Spannungen selektiv angelegt werden können. Die FE-Materialschichten 140 und 240 können als Gate-Isolierschichten zwischen der unteren Gateelektrode 120 bzw. der oberen Gateelektrode 220 und der Halbleiterkanalschicht 150 funktionieren. Die unteren Source- und Drain-Elektroden 304 und 305 sind mit einer ersten (d. h., einer unteren) Seite der Kanalschicht 150 elektrisch verbunden, und die oberen Source- und Drain-Elektroden 314 und 315 sind mit einer zweiten (d. h., einer oberen) Seite der Kanalschicht 150 elektrisch verbunden. Bei einigen Ausführungsformen kann die Kombination aus der unteren Gateelektrode 120, der FE-Materialschicht 140, den unteren Source- und Drain-Elektroden 304 und 305 und der Kanalschicht 150 eine erste FeFET-Struktur 401 (z. B. eine FeFET-basierte Speicherzelle) bereitstellen, und die Kombination aus der oberen Gateelektrode 220, der zweiten FE-Materialschicht 240 und den oberen Source- und Drain-Elektroden 314 und 315 kann eine zweite FeFET-Struktur 402 (z. B. eine FeFET-basierte Speicherzelle) bereitstellen. Die erste und die zweite FeFET-Struktur 401 und 402 können durch Anlegen von geeigneten Spannungen und/oder Strömen an Gate-Elektroden 120 und 220 bzw. Source- und Drain-Elektroden 304, 305, 314 und 315 unabhängig voneinander funktionieren. Bei einigen Ausführungsformen kann eine der ersten und der zweiten FeFET-Struktur 401 und 402 als eine primäre Vorrichtung (z. B. eine primäre Speicherzelle) funktionieren, und die jeweils andere der ersten und der zweiten FeFET-Struktur 401 und 402 kann als eine sekundäre oder Backup-Vorrichtung (z. B. eine Backup-Speicherzelle) funktionieren. In Fällen, in denen die primäre Vorrichtung ausfällt oder ihre Funktionalität verliert, kann die sekundäre oder Backup-Vorrichtung genutzt werden (z. B. für Lese-, Schreib- und/oder Löschoperationen). Dadurch kann eine Speichervorrichtung bereitgestellt werden, die eine verbesserte Zuverlässigkeit und Leistung hat.
  • Die 39 bis 43 sind sequentielle vertikale Schnittansichten einer beispielhaften Struktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung gemäß einer weiteren alternativen Ausführungsform der vorliegenden Erfindung. 39 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die Öffnungen 312 und 313 zeigt, die durch eine zweite dielektrische Materialschicht 310, eine optionale dritte Seedschicht 237, eine zweite FE-Materialschicht 240, eine optionale zweite Seedschicht 235 und eine optionale zweite Isolierschicht 245 erzeugt werden, um eine Oberseite einer Kanalschicht 150 freizulegen. Die in 39 gezeigte beispielhafte Zwischenstruktur kann von der in 33 gezeigten beispielhaften Zwischenstruktur abgeleitet werden, und daher werden die Strukturen und die Einzelheiten der in 39 gezeigten beispielhaften Zwischenstruktur nicht nochmals beschrieben. Die in 39 gezeigte beispielhafte Zwischenstruktur weicht von der in 33 gezeigten beispielhaften Zwischenstruktur insofern ab, als sie keine unteren Source- und Drain-Elektroden 304 und 305 aufweist. Es versteht sich jedoch, dass die in den 39 bis 43 gezeigten Verfahrensschritte auch an einer beispielhaften Zwischenstruktur durchgeführt werden können, die untere Source- und Drain-Elektroden 304 und 305 aufweist.
  • 40 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine dielektrische Abstandshaltermaterialschicht 325 zeigt, die über der Oberseite der zweiten dielektrischen Materialschicht 310 und über den Seitenflächen und Unterseiten der Öffnungen 312 und 313 hergestellt wird. In 40 kann die dielektrische Abstandshaltermaterialschicht 325 konform über der Oberseite der zweiten dielektrischen Materialschicht 310, über den Seitenflächen und der Unterseite der Öffnung 312 und über den Seitenflächen und der Unterseite der Öffnung 313 abgeschieden werden. Die dielektrische Abstandshaltermaterialschicht 325 kann aus einem geeigneten dielektrischen Material bestehen, wie etwa Siliziumoxid, Siliziumnitrid und/oder Aluminiumoxid. Bei einigen Ausführungsformen kann die dielektrische Abstandshaltermaterialschicht 325 aus einem dielektrischen Low-k-Material bestehen, wie etwa Fluorsilicatglas (FSG), Wasserstoff-Silsesquioxan (HSQ), Benzocyclobuten (BCB), organischen Polymeren (z. B. SiLK™ der Fa. Dow Chemicals, FLARE™ der Fa. Allied Signal Corp. usw.), Kohlenstoff-dotiertem Siliziumoxid, porösem Siliziumdioxid, Polymerschäumen oder dergleichen. Andere geeignete dielektrische Materialien liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der Erfindung. Die dielektrische Abstandshaltermaterialschicht 325 kann mit einem geeigneten Abscheidungsverfahren abgeschieden werden, die vorstehend genannt worden sind.
  • 41 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, der sich an einen Ätzprozess zum Entfernen von Teilen der dielektrischen Abstandshaltermaterialschicht 235 über der Oberseite der zweiten dielektrischen Materialschicht 310 und den Unterseiten der Öffnungen 312 und 313 anschließt. In 41 können mit einem anisotropen Ätzprozess, wie etwa einem Trockenätzprozess, sich horizontal erstreckende Teile der dielektrischen Abstandshaltermaterialschicht 325 über der Oberseite der zweiten dielektrischen Materialschicht 310 und über den Unterseiten der Öffnungen 312 und 313 entfernt werden, um den Sourcebereich 176 und den Drainbereich 177 der Kanalschicht 150 auf den Unterseiten der Öffnungen 312 und 313 freizulegen. Nach dem Ätzprozess können sich die verbliebenen Teile der dielektrischen Abstandshaltermaterialschicht 325 über den sich vertikal erstreckenden Seitenflächen der Öffnung 312 bzw. 313 befinden.
  • 42 ist eine vertikale Schnittansicht einer beispielhaften Zwischenstruktur während eines Prozesses zum Herstellen einer FeFET-Vorrichtung, die eine Source-Elektrode 314 und eine Drain-Elektrode 315 aufweist, die über dem Sourcebereich 176 bzw. dem Drainbereich 177 der Kanalschicht 150 hergestellt werden. In 42 können die Source-Elektrode 314 und die Drain-Elektrode 315 eine Zusammensetzung und eine Struktur haben, die denen der oberen Source- und Drain-Elektroden 314 und 315 entsprechen, die vorstehend unter Bezugnahme auf 34 beschrieben worden sind, und sie können mit demselben Verfahren wie diese hergestellt werden. Daher werden die Source- und Drain-Elektroden 314 und 315 nicht nochmals beschrieben. Wie in 42 gezeigt ist, können die Source- und Drain-Elektroden 314 und 315 jeweils von einer dielektrischen Abstandshaltermaterialschicht 325 umschlossen werden. Die dielektrische Abstandshaltermaterialschicht 325 kann die jeweiligen Source- und Drain-Elektroden 314 und 315 von der optionalen zweiten Isolierschicht 245, der optionalen zweiten Seedschicht 235, der zweiten FE-Materialschicht 240 und der optionalen dritten Seedschicht 237 trennen.
  • 43 ist eine vertikale Schnittansicht einer beispielhaften Struktur einer FeFET-Vorrichtung 500, die eine obere Gateelektrode 220 aufweist, die in der zweiten dielektrischen Materialschicht 310 hergestellt ist. In 43 kann die obere Gateelektrode 220 eine Zusammensetzung und eine Struktur haben, die denen der oberen Gateelektrode 220 entsprechen, die vorstehend unter Bezugnahme auf die 35 bis 38 beschrieben worden sind, und sie kann mit demselben Verfahren wie diese hergestellt werden. Daher wird die obere Gateelektrode 220 nicht nochmals beschrieben. Wie in 43 gezeigt ist, kann eine dielektrische Abstandshaltermaterialschicht 325 zwischen der oberen Gateelektrode 220 und jeder der Source- und Drain-Elektroden 314 und 315 angeordnet werden.
  • 44 ist eine vertikale Schnittansicht einer alternativen beispielhaften Struktur einer Double-Gate-FeFET-Vorrichtung 600, die dielektrische Abstandshaltermaterialschichten 325 aufweist, die obere Source- und Drain-Elektroden 314 und 315 und untere Source- und Drain-Elektroden 304 und 305 seitlich umschließen. In 44 kann die alternative beispielhafte Struktur der Double-Gate-FeFET-Vorrichtung 600 von der in 26 gezeigten beispielhaften Zwischenstruktur dadurch abgeleitet werden, dass eine dielektrische Abstandshaltermaterialschicht 325 konform über der optionalen Isolierschicht 145 und über den Seitenflächen und den Unterseiten der Öffnungen 302 und 303 abgeschieden wird und ein anisotroper Ätzprozess durchgeführt wird, um sich horizontal erstreckende Teile der dielektrischen Abstandshaltermaterialschicht 325 über der optionalen Isolierschicht 145 und über den Unterseiten der Öffnungen 302 und 303 zu entfernen, sodass sich die verbliebenen Teile der dielektrischen Abstandshaltermaterialschicht 325 über den sich horizontal erstreckenden Seitenflächen der jeweiligen Öffnungen 302 und 303 erstrecken. Dann können die in den 27 bis 33 und 39 bis 43 gezeigten Verfahrensschritte durchgeführt werden, um die in 44 gezeigte Double-Gate-FeFET-Vorrichtung 600 bereitzustellen.
  • 45 ist eine vertikale Schnittansicht einer alternativen beispielhaften Struktur einer Double-Gate-FeFET-Vorrichtung 700, die dielektrische Abstandshaltermaterialschichten 325 aufweist, die eine obere Gateelektrode 220, obere Source- und Drain-Elektroden 314 und 315, eine untere Gateelektrode 120 und untere Source- und Drain-Elektroden 304 und 305 seitlich umschließen. Die dielektrische Abstandshaltermaterialschicht 325, die die obere Gateelektrode 220 und die untere Gateelektrode 120 seitlich umschließt, kann mit dem Verfahren hergestellt werden, das vorstehend unter Bezugnahme auf die 39 bis 44 beschrieben worden ist.
  • 46 ist ein Ablaufdiagramm, das Schritte eines Verfahrens 800 zum Herstellen einer FeFET-Vorrichtung, wie etwa der in den 21, 22, 37, 43, 44 und 45 gezeigten FeFET-Vorrichtungen 200, 300, 400, 500, 600 und 700, gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung zeigt. In den 3 und 46 kann in einem Schritt 801 eine erste Gateelektrode 120 hergestellt werden. Die erste Gateelektrode 120 kann eine vergrabene Elektrode sein, die in eine dielektrische Schicht eingebettet ist. Bei einigen Ausführungsformen kann die erste Gateelektrode 120 aus einem elektrisch leitfähigen Material hergestellt werden, wie etwa Kupfer (Cu), Aluminium (AI), Zirconium (Zr), Titan (Ti), Titannidrid (TiN), Wolfram (W), Tantal (Ta), Tantalnitrid (TaN), Molybdän (Mo), Ruthenium (Ru), Palladium (Pd), Platin (Pt), Cobalt (Co), Nickel (Ni), Iridium (Ir), Eisen (Fe), Beryllium (Be), Chrom (Cr), Antimon (Sb), Osmium (Os), Thorium (Th), Vanadium (V), Legierungen davon oder Kombinationen davon.
  • Die erste Gateelektrode 120 kann mit einem geeigneten Abscheidungsverfahren hergestellt werden. Geeignete Abscheidungsverfahren sind zum Beispiel PVD, Sputtern, CVD, ALD, PECVD oder Kombinationen davon.
  • In den 6 und 46 kann in einem Schritt 802 eine erste FE-Materialschicht 140 über der ersten Gateelektrode 120 hergestellt werden. Bei einigen Ausführungsformen kann die erste FE-Materialschicht 140 direkt auf der ersten Gateelektrode 120 hergestellt werden. Bei anderen Ausführungsformen können eine oder mehrere Zwischenschichten 130 und 135 zwischen der ersten FE-Materialschicht 140 und der ersten Gateelektrode 120 angeordnet werden. Bei verschiedenen Ausführungsformen kann die erste FE-Materialschicht 140 ein Hafniumoxid-basiertes ferroelektrisches Material sein, wie etwa HfxZrx-1Oy, worin 0 ≤ x ≤ 0 und y > 0 sind (z. B. Hf0,5Zr0,5O2), HfO2, HfSiO, HfLaO und dergleichen. Bei verschiedenen Ausführungsformen kann die erste FE-Materialschicht 140 Hafnium-Zirconiumoxid (HZO) sein, das mit Atomen dotiert ist, die einen größeren Ionendurchmesser als Hafnium haben (z. B. Al, Si usw.), und/oder das mit Atomen dotiert ist, die einen kleineren Ionendurchmesser als Hafnium haben (z. B. La, Sc, Ca, Ba, Gd, Y, Sr usw.). Die erste FE-Materialschicht 140 kann mit einem geeigneten Abscheidungsverfahren wie ALD abgeschieden werden.
  • In den 8, 9, 10A, 10B, 28 und 46 kann in einem Schritt 803 eine Halbleiterkanalschicht 150 über der ersten FE-Materialschicht 140 hergestellt werden. Bei einigen Ausführungsformen kann die Halbleiterkanalschicht 150 direkt auf der ersten FE-Materialschicht 140 hergestellt werden. Bei anderen Ausführungsformen können eine oder mehrere Zwischenschichten 137 und 145 zwischen der ersten FE-Materialschicht 140 und der ersten Gateelektrode 120 angeordnet werden. Bei einigen Ausführungsformen kann die Halbleiterkanalschicht 150 aus einem Oxidhalbleitermaterial bestehen.
  • Der Schritt 803 zum Herstellen der Halbleiterkanalschicht 150 kann Folgendes umfassen: Herstellen eines ersten Wechselstapels 151 mit einer Gruppe von ersten Teilschichten 152, die jeweils eine Kombination aus einem ersten Metalloxidmaterial MOx und einem zweiten Metalloxidmaterial M'Ox aufweisen, und einer Gruppe von zweiten Teilschichten 154, die Zinkoxid aufweisen. Bei einigen Ausführungsformen kann M Indium (In) und/oder Zinn (Sn) sein, und M' kann mindestens ein Element aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination davon sein.
  • Bei verschiedenen Ausführungsformen kann der Schritt 803 zum Herstellen der Halbleiterkanalschicht 150 weiterhin ein Herstellen einer dritten Teilschicht 156 über dem ersten Wechselstapel 151 mit den ersten und den zweiten Teilschichten 152 und 154 umfassen. Die dritte Teilschicht 156 kann eine Kombination aus einem ersten Metalloxidmaterial MOx, einem zweiten Metalloxidmaterial M'Ox und Zinkoxid aufweisen, wobei M Indium (In) und/oder Zinn (Sn) ist und M' mindestens ein Element aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination davon ist.
  • Bei verschiedenen Ausführungsformen kann der Schritt 803 zum Herstellen der Halbleiterkanalschicht 150 weiterhin ein Herstellen eines zweiten Wechselstapels 153 aus ersten und zweiten Teilschichten 152 und 154 über der dritten Teilschicht 156 umfassen. Der zweite Wechselstapel 153 kann eine Gruppe von ersten Teilschichten 152, die jeweils eine Kombination aus einem ersten Metalloxidmaterial MOx und einem zweiten Metalloxidmaterial M'Ox enthalten, und eine Gruppe von zweiten Teilschichten 154 umfassen, die Zinkoxid enthalten. Bei einigen Ausführungsformen kann M Indium (In) und/oder Zinn (Sn) sein, und M' kann mindestens ein Element aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination davon sein.
  • Bei verschiedenen Ausführungsformen können die obersten und die untersten Teilschichten der Halbleiterkanalschicht 150 erste Teilschichten 152 sein, die eine Kombination aus einem ersten Metalloxidmaterial MOx und einem zweiten Metalloxidmaterial M'Ox enthalten. Die dritte Teilschicht 156 kann eine zweite Teilschicht 154, die Zinkoxid enthält, auf Ober- und Unterseiten der dritten Teilschicht 156 kontaktieren.
  • In den 13, 28 und 46 kann in einem Schritt 804 eine zweite FE-Materialschicht 240 über der Halbleiterkanalschicht 150 hergestellt werden. Bei einigen Ausführungsformen kann die zweite FE-Materialschicht 240 direkt auf der Halbleiterkanalschicht 150 hergestellt werden. Bei anderen Ausführungsformen können eine oder mehrere Zwischenschichten 245 und 235 zwischen der zweiten FE-Materialschicht 240 und der Halbleiterkanalschicht 150 angeordnet werden. Bei verschiedenen Ausführungsformen kann die zweite FE-Materialschicht 240 ein Hafniumoxid-basiertes ferroelektrisches Material sein, wie etwa HfxZrx-1Oy, worin 0 ≤ x ≤ 0 und y > o sind (z. B. Hf0,5Zr0,5O2), HfO2, HfSiO, HfLaO und dergleichen. Bei verschiedenen Ausführungsformen kann die zweite FE-Materialschicht 240 Hafnium-Zirconiumoxid (HZO) sein, das mit Atomen dotiert ist, die einen größeren Ionendurchmesser als Hafnium haben (z. B. Al, Si usw.), und/oder das mit Atomen dotiert ist, die einen kleineren Ionendurchmesser als Hafnium haben (z. B. La, Sc, Ca, Ba, Gd, Y, Sr usw.). Die zweite FE-Materialschicht 240 kann mit einem geeigneten Abscheidungsverfahren wie ALD abgeschieden werden.
  • In den 15 bis18, 25 bis 27, 32 bis 34, 39 bis 42 und 46 können in einem Schritt 805 Source- und Drain-Elektroden 190, 191, 314, 315, 304 und 305 so hergestellt werden, dass sie die Halbleiterkanalschicht 150 kontaktieren. Bei einigen Ausführungsformen können die Source- und Drain-Elektroden 190, 191, 314, 315, 304 und 305 aus einem elektrisch leitfähigen Material hergestellt werden, wie etwa Titannidrid (TiN), Molybdän (Mo), Kupfer (Cu), Aluminium (AI), Zirconium (Zr), Titan (Ti), Wolfram (W), Tantal (Ta), Tantalnitrid (TaN), Ruthenium (Ru), Palladium (Pd), Platin (Pt), Cobalt (Co), Nickel (Ni), Iridium (Ir), Eisen (Fe), Beryllium (Be), Chrom (Cr), Antimon (Sb), Osmium (Os), Thorium (Th), Vanadium (V), Legierungen davon oder Kombinationen davon. Die Source- und Drain-Elektroden 190, 191, 314, 315, 304 und 305 können mit einem geeigneten Abscheidungsverfahren wie ALD abgeschieden werden.
  • Bei einigen Ausführungsformen können die Source- und Drain-Elektroden obere Source- und Drain-Elektroden 190, 191, 314 und 315 umfassen, die eine Oberseite der Halbleiterkanalschicht 150 kontaktieren. Die oberen Source- und Drain-Elektroden 190, 191, 314 und 315 können sich durch die zweite FE-Materialschicht 240 erstrecken. Alternativ oder zusätzlich können die Source- und Drain-Elektroden untere Source- und Drain-Elektroden 304 und 305 umfassen, die eine Unterseite der Halbleiterkanalschicht 150 kontaktieren.
  • Bei einigen Ausführungsformen können die Source- und Drain-Elektroden 190, 191, 314, 315, 304 und 305 seitlich von einer dielektrischen Abstandshaltermaterialschicht 325 umschlossen werden.
  • Bei einigen Ausführungsformen können die Source- und Drain-Elektroden obere Source- und Drain-Elektroden 190, 191, 314 und 315 umfassen, die Source- und Drainbereiche 176 und 177 der Halbleiterkanalschicht 150 kontaktieren. Die Source- und Drainbereiche 176 und 177 der Halbleiterkanalschicht 150 können vor dem Herstellen der oberen Source- und Drain-Elektroden 190, 191, 314 und 315 einer Helium-Plasmabehandlung unterzogen werden.
  • In den 19 bis 21, 35 bis 37, 43 und 46 kann in einem Schritt 806 eine zweite Gateelektrode 220 über der zweiten FE-Materialschicht 240 hergestellt werden. Bei einigen Ausführungsformen kann die zweite Gateelektrode 220 direkt auf der zweiten FE-Materialschicht 240 hergestellt werden. Bei anderen Ausführungsformen können eine oder mehrere Zwischenschichten 237 zwischen der zweiten Gateelektrode 220 und der zweiten FE-Materialschicht 240 angeordnet werden. Bei einigen Ausführungsformen kann die zweite Gateelektrode 220 aus einem elektrisch leitfähigen Material hergestellt werden, wie etwa Kupfer (Cu), Aluminium (AI), Zirconium (Zr), Titan (Ti), Titannidrid (TiN), Wolfram (W), Tantal (Ta), Tantalnitrid (TaN), Molybdän (Mo), Ruthenium (Ru), Palladium (Pd), Platin (Pt), Cobalt (Co), Nickel (Ni), Iridium (Ir), Eisen (Fe), Beryllium (Be), Chrom (Cr), Antimon (Sb), Osmium (Os), Thorium (Th), Vanadium (V), Legierungen davon oder Kombinationen davon.
  • Die zweite Gateelektrode 220 kann mit einem geeigneten Abscheidungsverfahren hergestellt werden. Geeignete Abscheidungsverfahren sind zum Beispiel PVD, Sputtern, CVD, ALD, PECVD oder Kombinationen davon.
  • In allen Zeichnungen und gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung weist eine Halbleiterstruktur 200, 300, 400, 500, 600 oder 700 jeweils Folgendes auf: eine erste Gateelektrode 120; eine erste ferroelektrische Materialschicht 140 über der ersten Gateelektrode 120; eine Halbleiterkanalschicht 150 über der ersten ferroelektrischen Materialschicht 140; Source- und Drain-Elektroden 190, 191, 304, 305, 314 und 315, die die Halbleiterkanalschicht 150 kontaktieren; eine zweite ferroelektrische Materialschicht 240 über der Halbleiterkanalschicht 150; und eine zweite Gateelektrode 220 über der zweiten ferroelektrischen Materialschicht 240.
  • Bei einer Ausführungsform umfassen die Source- und Drain-Elektroden eine obere Source-Elektrode 190 bzw. 314, die sich durch die zweite ferroelektrische Materialschicht 240 erstreckt und eine Oberseite der Halbleiterkanalschicht 150 kontaktiert; und eine obere Drain-Elektrode 191 bzw. 315, die sich durch die zweite ferroelektrische Materialschicht 240 erstreckt und die Oberseite der Halbleiterkanalschicht 150 kontaktiert.
  • Bei einer weiteren Ausführungsform sind die obere Source-Elektrode 190 bzw. 314 und die obere Drain-Elektrode 191 bzw. 315 jeweils seitlich von einer dielektrischen Abstandshaltermaterialschicht 325 umschlossen.
  • Bei einer weiteren Ausführungsform weist die Halbleiterstruktur weiterhin Folgendes auf: eine erste dielektrische Materialschicht 110, die unter der ersten ferroelektrischen Materialschicht 140 angeordnet ist und die erste Gateelektrode 120 seitlich umschließt; und eine zweite dielektrische Materialschicht 180 bzw. 310, die über der zweiten ferroelektrischen Materialschicht 240 angeordnet ist und die zweite Gateelektrode 220, die obere Source-Elektrode 190 bzw. 314 und die obere Drain-Elektrode 191 bzw. 315, die sich durch die zweite dielektrische Materialschicht 180 bzw. 310 erstrecken, seitlich umschließt.
  • Bei einer weiteren Ausführungsform umfassen die Source- und Drain-Elektroden weiterhin Folgendes: eine untere Source-Elektrode 304, die sich von der ersten dielektrischen Materialschicht 110 durch die erste ferroelektrische Materialschicht 140 erstreckt und eine Unterseite der Halbleiterkanalschicht 150 kontaktiert; und eine untere Drain-Elektrode 305, die sich von der ersten dielektrischen Materialschicht 110 durch die erste ferroelektrische Materialschicht 140 erstreckt und die Unterseite der Halbleiterkanalschicht 150 kontaktiert.
  • Bei einer weiteren Ausführungsform sind die untere Source-Elektrode 304 und die untere Drain-Elektrode 305 jeweils seitlich von einer dielektrischen Abstandshaltermaterialschicht 325 umschlossen.
  • Bei einer weiteren Ausführungsform weist die Halbleiterkanalschicht 150 ein Oxidhalbleitermaterial mit der Formel MxM'yZnzO auf, worin o < (x, y, z) < 1 ist, M ein erstes Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon ist und M' ein zweites Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination davon ist.
  • Bei einer weiteren Ausführungsform weisen die erste ferroelektrische Materialschicht 140 und die zweite ferroelektrische Materialschicht 240 Hafniumoxid-basierte ferroelektrische Materialien auf.
  • Bei einer weiteren Ausführungsform sind eine Seedschicht 135 und/oder eine Verspannungsschicht 130 zwischen der ersten Gateelektrode 120 und einer Unterseite der ersten ferroelektrischen Materialschicht 140 angeordnet.
  • Bei einer weiteren Ausführungsform sind eine Seedschicht 137 und/oder eine Isolierschicht 145 zwischen einer Oberseite der ersten ferroelektrischen Materialschicht 140 und einer Unterseite der Halbleiterkanalschicht 150 angeordnet, und eine Isolierschicht 245 und/oder eine Seedschicht 235 sind zwischen einer Oberseite der Halbleiterkanalschicht 150 und einer Unterseite der zweiten ferroelektrische Materialschicht 240 angeordnet.
  • Bei einer weiteren Ausführungsform kann eine Seedschicht 237 zwischen einer Oberseite der zweiten ferroelektrischen Materialschicht 240 und einer Unterseite der zweiten Gateelektrode 220 angeordnet sein.
  • Bei einer weiteren Ausführungsform sind in einem gemeinsamen Gate-Steuermodus die erste Gateelektrode 120 und die zweite Gateelektrode 220 mit einer gemeinsamen Spannung verbunden.
  • Bei einer weiteren Ausführungsform sind in einem getrennten Gate-Steuermodus die erste Gateelektrode 120 und die zweite Gateelektrode 220 mit unterschiedlichen Spannungen verbunden.
  • Eine weitere Ausführungsform betrifft eine Halbleiterstruktur, die eine Gateelektrode 120 bzw. 220; und eine Halbleiterkanalschicht 150 aufweist, wobei die Halbleiterkanalschicht 150 Folgendes aufweist: einen ersten Wechselstapel 151 aus ersten und zweiten Teilschichten 152 und 154, wobei die ersten Teilschichten 152 eine andere Zusammensetzung als die zweiten Teilschichten 154 haben, eine dritte Teilschicht 156 über dem ersten Wechselstapel 151 aus den ersten und zweiten Teilschichten 152 und 154, wobei die dritte Teilschicht 156 eine andere Zusammensetzung als die ersten Teilschichten 152 und die zweiten Teilschichten 154 hat, und einen zweiten Wechselstapel 153 aus den ersten und den zweiten Teilschichten 152 und 154 über der dritten Teilschicht 156, wobei jede der ersten Teilschichten 152 des ersten Wechselstapels 151 und des zweiten Wechselstapels 153 eine Kombination aus einem ersten Metalloxidmaterial MOx und einem zweiten Metalloxidmaterial M'Ox enthält und jede zweite Teilschicht 154 des ersten Wechselstapels 151 und des zweiten Wechselstapels 153 Zinkoxid enthält und die dritte Teilschicht 156 eine Kombination aus dem ersten Metalloxidmaterial MOx, dem zweiten Metalloxidmaterial M'Ox und Zinkoxid enthält, wobei M ein erstes Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon ist und M' ein zweites Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination ist. Die Halbleiterstruktur weist weiterhin eine ferroelektrische Materialschicht 140 bzw. 240 auf, die zwischen der Gateelektrode 120 bzw. 220 und einer Oberfläche der Halbleiterkanalschicht 150 angeordnet ist; und Source- und Drain-Elektroden 190, 191, 304, 305, 314 und 315, die die Halbleiterkanalschicht 150 kontaktieren.
  • Bei einer Ausführungsform ist eine unterste Teilschicht der Halbleiterkanalschicht 150 eine erste Teilschicht 152 des ersten Wechselstapels 151 aus ersten Teilschichten 152 und zweiten Teilschichten 154, und eine oberste Teilschicht der Halbleiterkanalschicht 150 ist eine erste Teilschicht 152 des zweiten Wechselstapels 153 aus ersten Teilschichten 152 und zweiten Teilschichten 154, wobei die dritte Teilschicht 156 von einer zweiten Teilschicht 154 des zweiten Wechselstapels 153 aus ersten Teilschichten 152 und zweiten Teilschichten 154 auf einer Oberseite der dritten Teilschicht 156 kontaktiert wird und von einer zweiten Teilschicht 154 des ersten Wechselstapels 151 aus ersten Teilschichten 152 und zweiten Teilschichten 154 auf einer Unterseite der dritten Teilschicht 156 kontaktiert wird.
  • Bei einer weiteren Ausführungsform ist die Gateelektrode eine erste Gateelektrode 120, und die ferroelektrische Materialschicht ist eine erste ferroelektrische Materialschicht 140, die zwischen der ersten Gateelektrode 120 und einer ersten Oberfläche der Halbleiterkanalschicht 150 angeordnet ist, wobei die Halbleiterstruktur weiterhin eine zweite Gateelektrode 220 und eine zweite ferroelektrische Materialschicht 240 aufweist, die zwischen der zweiten Gateelektrode 220 und einer zweiten Oberfläche der Halbleiterkanalschicht 150 angeordnet ist.
  • Eine weitere Ausführungsform betrifft ein Verfahren zum Herstellen einer Halbleiterstruktur mit den folgenden Schritten: Herstellen einer ersten Gateelektrode 120; Herstellen einer ersten ferroelektrischen Materialschicht 140 über der ersten Gateelektrode 120; Herstellen einer Halbleiterkanalschicht 150 über der ersten ferroelektrischen Materialschicht 140; Herstellen von Source- und Drain-Elektroden 190, 191, 304, 305, 314 und 315 so, dass sie die Halbleiterkanalschicht 150 kontaktieren; Herstellen einer zweiten ferroelektrischen Materialschicht 240 über der Halbleiterkanalschicht 150; und Herstellen einer zweiten Gateelektrode 220 über der zweiten ferroelektrischen Materialschicht 240.
  • Bei einer Ausführungsform umfasst das Herstellen von Source- und Drain-Elektroden in Kontakt mit der Halbleiter-Kanalschicht 150 Folgendes: Herstellen von oberen Source- und Drain-Elektroden 190, 191, 314 und 315 so, dass sie eine Oberseite der Halbleiterkanalschicht 150 kontaktieren; und Herstellen von unteren Source- und Drain-Elektroden 304 und 305 so, dass sie eine Unterseite de Halbleiter-Kanalschicht 150 kontaktieren.
  • Bei einer weiteren Ausführungsform umfasst das Verfahren weiterhin ein Herstellen von dielektrischen Abstandshaltermaterialschichten 325 so, dass sie mindestens eine der oberen Source- und Drain-Elektroden 190, 191, 314 und 315 und der unteren Source- und Drain-Elektroden 304 und 305 seitlich umschließen.
  • Bei einer weiteren Ausführungsform umfasst das Herstellen der Halbleiterkanalschicht 150 Folgendes: Herstellen eines ersten Wechselstapels 151 aus ersten und zweiten Teilschichten 152 und 154, die eine Gruppe von ersten Teilschichten 152, die jeweils eine Kombination aus einem ersten Metalloxidmaterial MOx und einem zweiten Metalloxidmaterial M'Ox enthalten, und eine Gruppe von zweiten Teilschichten 154 umfassen, die jeweils Zinkoxid enthalten; Herstellen einer dritten Teilschicht 156 über dem ersten Wechselstapel 151, wobei die dritte Teilschicht 156 eine Kombination aus einem ersten Metalloxidmaterial MOx, einem zweiten Metalloxidmaterial M'Ox und Zinkoxid enthält; und Herstellen, über der dritten Teilschicht 156, eines zweiten Wechselstapels 153 aus ersten und zweiten Teilschichten 152 und 154, die eine Gruppe von ersten Teilschichten 152, die jeweils eine Kombination aus einem ersten Metalloxidmaterial MOx und einem zweiten Metalloxidmaterial M'Ox enthalten, und eine Gruppe von zweiten Teilschichten 154 umfassen, die jeweils Zinkoxid enthalten, wobei M ein erstes Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon ist und M' ein zweites Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination ist.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/180245 [0001]

Claims (20)

  1. Halbleiterstruktur mit: einer ersten Gateelektrode; einer ersten ferroelektrischen Materialschicht über der ersten Gateelektrode; einer Halbleiterkanalschicht über der ersten ferroelektrischen Materialschicht; Source- und Drain-Elektroden, die die Halbleiterkanalschicht kontaktieren; einer zweiten ferroelektrischen Materialschicht über der Halbleiterkanalschicht; und einer zweiten Gateelektrode über der zweiten ferroelektrischen Materialschicht.
  2. Halbleiterstruktur nach Anspruch 1, wobei die Source- und Drain-Elektroden Folgendes aufweisen: eine obere Source-Elektrode, die sich durch die zweite ferroelektrische Materialschicht erstreckt und eine Oberseite der Halbleiterkanalschicht kontaktiert; und eine obere Drain-Elektrode, die sich durch die zweite ferroelektrische Materialschicht erstreckt und die Oberseite der Halbleiterkanalschicht kontaktiert.
  3. Halbleiterstruktur nach Anspruch 2, wobei die obere Source-Elektrode und die obere Drain-Elektrode jeweils seitlich von einer dielektrischen Abstandshaltermaterialschicht umschlossen sind.
  4. Halbleiterstruktur nach Anspruch 2 oder 3, die weiterhin Folgendes aufweist: eine erste dielektrische Materialschicht, die unter der ersten ferroelektrischen Materialschicht angeordnet ist und die erste Gateelektrode seitlich umschließt; und eine zweite dielektrische Materialschicht, die über der zweiten ferroelektrischen Materialschicht angeordnet ist und die zweite Gateelektrode, die obere Source-Elektrode und die obere Drain-Elektrode, die sich durch die zweite dielektrische Materialschicht erstrecken, seitlich umschließt.
  5. Halbleiterstruktur nach Anspruch 4, wobei die Source- und Drain-Elektroden weiterhin Folgendes aufweisen: eine untere Source-Elektrode, die sich von der ersten dielektrischen Materialschicht durch die erste ferroelektrische Materialschicht erstreckt und eine Unterseite der Halbleiterkanalschicht kontaktiert; und eine untere Drain-Elektrode, die sich von der ersten dielektrischen Materialschicht durch die erste ferroelektrische Materialschicht erstreckt und die Unterseite der Halbleiterkanalschicht kontaktiert.
  6. Halbleiterstruktur nach Anspruch 5, wobei die untere Source-Elektrode und die untere Drain-Elektrode jeweils seitlich von einer dielektrischen Abstandshaltermaterialschicht umschlossen sind.
  7. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei die Halbleiterkanalschicht ein Oxidhalbleitermaterial mit der Formel MxM'yZnzO enthält, worin o < (x, y, z) < 1 ist, M ein erstes Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon ist und M' ein zweites Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination davon ist.
  8. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei die erste ferroelektrische Materialschicht und die zweite ferroelektrische Materialschicht Hafniumoxid-basierte ferroelektrische Materialien enthalten.
  9. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei eine Seedschicht und/oder eine Verspannungsschicht zwischen der ersten Gateelektrode und einer Unterseite der ersten ferroelektrischen Materialschicht angeordnet sind.
  10. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei eine Seedschicht und/oder eine Isolierschicht zwischen einer Oberseite der ersten ferroelektrischen Materialschicht und einer Unterseite der Halbleiterkanalschicht angeordnet sind und eine Isolierschicht und/oder eine Seedschicht zwischen einer Oberseite der Halbleiterkanalschicht und einer Unterseite der zweiten ferroelektrische Materialschicht angeordnet sind.
  11. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, die weiterhin eine Seedschicht aufweist, die zwischen einer Oberseite der zweiten ferroelektrischen Materialschicht und einer Unterseite der zweiten Gateelektrode angeordnet ist.
  12. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei in einem gemeinsamen Gate-Steuermodus die erste Gateelektrode und die zweite Gateelektrode mit einer gemeinsamen Spannung verbunden sind.
  13. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei in einem getrennten Gate-Steuermodus die erste Gateelektrode und die zweite Gateelektrode mit unterschiedlichen Spannungen verbunden sind.
  14. Halbleiterstruktur mit: einer Gateelektrode; einer Halbleiterkanalschicht, wobei die Halbleiterkanalschicht Folgendes aufweist: einen ersten Wechselstapel aus ersten und zweiten Teilschichten, wobei die ersten Teilschichten eine andere Zusammensetzung als die zweiten Teilschichten haben, eine dritte Teilschicht über dem ersten Wechselstapel aus ersten und zweiten Teilschichten, wobei die dritte Teilschicht eine andere Zusammensetzung als die ersten Teilschichten und die zweiten Teilschichten hat, und einen zweiten Wechselstapel aus den ersten und den zweiten Teilschichten über der dritten Teilschicht, wobei jede der ersten Teilschichten des ersten Wechselstapels und des zweiten Wechselstapels eine Kombination aus einem ersten Metalloxidmaterial MOx und einem zweiten Metalloxidmaterial M'Ox enthält und jede zweite Teilschicht des ersten Wechselstapels und des zweiten Wechselstapels Zinkoxid enthält und die dritte Teilschicht eine Kombination aus einem ersten Metalloxidmaterial MOx, einem zweiten Metalloxidmaterial M'Ox und Zinkoxid enthält, wobei M ein erstes Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon ist und M' ein zweites Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination ist; einer ferroelektrischen Materialschicht, die zwischen der Gateelektrode und einer Oberfläche der Halbleiterkanalschicht angeordnet ist; und Source- und Drain-Elektroden, die die Halbleiterkanalschicht kontaktieren.
  15. Halbleiterstruktur nach Anspruch 14, wobei eine unterste Teilschicht der Halbleiterkanalschicht eine erste Teilschicht des ersten Wechselstapels ist, eine oberste Teilschicht der Halbleiterkanalschicht eine erste Teilschicht des zweiten Wechselstapels aus ersten und zweiten Teilschichten ist, und die dritte Teilschicht von einer zweiten Teilschicht des zweiten Wechselstapels auf einer Oberseite der dritten Teilschicht kontaktiert wird und von einer zweiten Teilschicht des ersten Wechselstapels auf einer Unterseite der dritten Teilschicht kontaktiert wird.
  16. Halbleiterstruktur nach Anspruch 14 oder 15, wobei die Gateelektrode eine erste Gateelektrode ist und die ferroelektrische Materialschicht eine erste ferroelektrische Materialschicht ist, die zwischen der ersten Gateelektrode und einer ersten Oberfläche der Halbleiterkanalschicht angeordnet ist, wobei die Halbleiterstruktur weiterhin Folgendes aufweist: eine zweite Gateelektrode; und eine zweite ferroelektrische Materialschicht, die zwischen der zweiten Gateelektrode und einer zweiten Oberfläche der Halbleiterkanalschicht angeordnet ist.
  17. Verfahren zum Herstellen einer Halbleiterstruktur mit den folgenden Schritten: Herstellen einer ersten Gateelektrode; Herstellen einer ersten ferroelektrischen Materialschicht über der ersten Gateelektrode; Herstellen einer Halbleiterkanalschicht über der ersten ferroelektrischen Materialschicht; Herstellen von Source- und Drain-Elektroden so, dass sie die Halbleiterkanalschicht kontaktieren; Herstellen einer zweiten ferroelektrischen Materialschicht über der Halbleiterkanalschicht; und Herstellen einer zweiten Gateelektrode über der zweiten ferroelektrischen Materialschicht.
  18. Verfahren nach Anspruch 17, wobei das Herstellen von Source- und Drain-Elektroden in Kontakt mit der Halbleiter-Kanalschicht Folgendes umfasst: Herstellen von oberen Source- und Drain-Elektroden so, dass sie eine Oberseite der Halbleiterkanalschicht kontaktieren; und Herstellen von unteren Source- und Drain-Elektroden so, dass sie eine Unterseite der Halbleiter-Kanalschicht kontaktieren.
  19. Verfahren nach Anspruch 18, das weiterhin Folgendes umfasst: Herstellen von dielektrischen Abstandshaltermaterialschichten so, dass sie mindestens eine der oberen Source- und Drain-Elektroden und der unteren Source- und Drain-Elektroden seitlich umschließen.
  20. Verfahren nach Anspruch 17, 18 oder 19, wobei das Herstellen der Halbleiterkanalschicht Folgendes umfasst: Herstellen eines ersten Wechselstapels aus ersten Teilschichten und zweiten Teilschichten, die eine Gruppe von ersten Teilschichten, die jeweils eine Kombination aus einem ersten Metalloxidmaterial MOx und einem zweiten Metalloxidmaterial M'Ox enthalten, und eine Gruppe von zweiten Teilschichten umfassen, die jeweils Zinkoxid enthalten; Herstellen einer dritten Teilschicht über dem ersten Wechselstapel, wobei die dritte Teilschicht eine Kombination aus einem ersten Metalloxidmaterial MOx, einem zweiten Metalloxidmaterial M'Ox und Zinkoxid enthält; und Herstellen, über der dritten Teilschicht, eines zweiten Wechselstapels aus ersten und zweiten Teilschichten, die eine Gruppe von ersten Teilschichten, die jeweils eine Kombination aus einem ersten Metalloxidmaterial MOx und einem zweiten Metalloxidmaterial M'Ox enthalten, und eine Gruppe von zweiten Teilschichten umfassen, die jeweils Zinkoxid enthalten, wobei M ein erstes Metall aus der Gruppe Indium (In) und Zinn (Sn) oder eine Kombination davon ist und M' ein zweites Metall aus der Gruppe Gallium (Ga), Hafnium (Hf), Zirconium (Zr), Titan (Ti), Aluminium (AI), Strontium (Sr), Barium (Ba), Scandium (Sc), Magnesium (Mg), Lanthan (La), Gadolinium (Gd) oder eine Kombination ist.
DE102022100588.7A 2021-04-27 2022-01-12 Ferroelektrischer double-gate-feldeffekttransistor und verfahren zu dessen herstellung Pending DE102022100588A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163180245P 2021-04-27 2021-04-27
US63/180,245 2021-04-27
US17/480,463 2021-09-21
US17/480,463 US11908936B2 (en) 2021-04-27 2021-09-21 Double gate ferroelectric field effect transistor devices and methods for forming the same

Publications (1)

Publication Number Publication Date
DE102022100588A1 true DE102022100588A1 (de) 2022-10-27

Family

ID=83023831

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022100588.7A Pending DE102022100588A1 (de) 2021-04-27 2022-01-12 Ferroelektrischer double-gate-feldeffekttransistor und verfahren zu dessen herstellung

Country Status (5)

Country Link
US (2) US11908936B2 (de)
KR (1) KR20220147520A (de)
CN (1) CN115000172A (de)
DE (1) DE102022100588A1 (de)
TW (1) TWI805269B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11908936B2 (en) * 2021-04-27 2024-02-20 Taiwan Semiconductor Manufacturing Company Limited Double gate ferroelectric field effect transistor devices and methods for forming the same
US20230145317A1 (en) * 2021-11-11 2023-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Metal layers for increasing polarization of ferroelectric memory device

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6580633B2 (en) * 2000-09-28 2003-06-17 Seiko Epson Corporation Nonvolatile semiconductor memory device
US8362604B2 (en) * 2008-12-04 2013-01-29 Ecole Polytechnique Federale De Lausanne (Epfl) Ferroelectric tunnel FET switch and memory
CN105931967B (zh) * 2011-04-27 2019-05-03 株式会社半导体能源研究所 半导体装置的制造方法
US8907378B2 (en) * 2013-03-15 2014-12-09 Mitsubishi Electric Research Laboratories, Inc. High electron mobility transistor with multiple channels
US9053802B2 (en) * 2013-06-04 2015-06-09 Namlab Ggmbh Ferroelectric memory cell for an integrated circuit
US9559168B2 (en) * 2014-11-17 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistors and methods of forming same
JP6985596B2 (ja) * 2017-11-30 2021-12-22 富士通株式会社 電子デバイス、電子デバイスの製造方法及び電子機器
CN111149212A (zh) * 2017-12-27 2020-05-12 英特尔公司 低电阻场效应晶体管及其制造方法
CN108598170B (zh) * 2018-05-24 2022-07-08 厦门半导体工业技术研发有限公司 纳米线晶体管及其制作方法
US11888034B2 (en) * 2019-06-07 2024-01-30 Intel Corporation Transistors with metal chalcogenide channel materials
US11784251B2 (en) * 2019-06-28 2023-10-10 Intel Corporation Transistors with ferroelectric spacer and methods of fabrication
US11527648B2 (en) * 2020-02-07 2022-12-13 The Board Of Trustees Of The University Of Illinois Transistors with switchable polarity and non-volatile configurations
US11908936B2 (en) * 2021-04-27 2024-02-20 Taiwan Semiconductor Manufacturing Company Limited Double gate ferroelectric field effect transistor devices and methods for forming the same

Also Published As

Publication number Publication date
TW202243028A (zh) 2022-11-01
CN115000172A (zh) 2022-09-02
US20230411522A1 (en) 2023-12-21
KR20220147520A (ko) 2022-11-03
US11908936B2 (en) 2024-02-20
US20220344513A1 (en) 2022-10-27
TWI805269B (zh) 2023-06-11

Similar Documents

Publication Publication Date Title
DE102008024519B4 (de) Ferroelektrische Speicherzelle, Herstellungsverfahren und integrierte Schaltung mit der ferroelektrischen Speicherzelle
DE102017115340B4 (de) Halbleiterbauelement mit Speicher- und Logikschaltkreisen und Herstellungsverfahren dafür
DE102015213529B4 (de) Vorrichtung mit einer Floating-Gate-Elektrode und einer Schicht aus ferroelektrischem Material und Verfahren zu ihrer Herstellung
DE102015015854B4 (de) Integrierte Schaltung mit einer ferroelektrischen Speicherzelle und Verwendung der integrierten Schaltung
DE102015216902B4 (de) Verfahren, das einen Austausch einer Dummy-Gate-Struktur durch eine Gate-Struktur, die ein ferroelektrisches Material enthält, umfasst und zugehörige Halbleiterstruktur
DE202019005959U1 (de) Dreidimensionale ferroelektrische Speichervorrichtungen
DE102016015010A1 (de) Integrierte Schaltung, die eine ferroelektrische Speicherzelle enthält, und ein Herstellungsverfahren dafür
DE112011101181B4 (de) Steuerung der Ferroelektrizität in dielektrischen Dünnschichten durch prozessinduzierte monoaxiale Spannungen
DE102022100588A1 (de) Ferroelektrischer double-gate-feldeffekttransistor und verfahren zu dessen herstellung
DE102019220521A1 (de) Halbleiterbauelement und verfahren zum herstellen desselben
DE102021112578A1 (de) Speicherbauelement und verfahren zum bilden davon
DE102019127079B4 (de) Tunnelkontaktselektor-MRAM und Verfahren zu dessen Herstellung
US20230378354A1 (en) Ferroelectric memory devices having improved ferroelectric properties and methods of making the same
DE102021119950A1 (de) Ferroelektrische speichervorrichtung und verfahren zu deren herstellung
DE102021120227A1 (de) Dotierter seitenwand-abstandshalter / dotierte ätzstoppschicht für speicher
DE102021115692A1 (de) Grenzflächenstruktur einer unteren elektrode für einen speicher
DE102018209597A1 (de) Transistorelement mit einer vergrabenen isolierenden schicht mit erweiterter funktion
US11817498B2 (en) Ferroelectric field effect transistor devices and methods for forming the same
DE102020119801A1 (de) Ferroelektrische direktzugriffspeichervorrichtung mit keimschicht
DE102020134634A1 (de) Ferroelektrischer direktzugriffsspeicher (feram) mit gestapelter ferroelektrischer film und verfahren zum ausbilden desselben
DE102022100364A1 (de) Dünnschichttransistor mit einem gatedielektrikum mitabgestufter zusammensetzung und verfahren zu dessenherstellung
DE102004011432A1 (de) Halbleiterspeicherzelle, Verfahren zu deren Herstellung und Halbleiterspeichereinrichtung
DE102014217874B3 (de) Verfahren zur Herstellung einer Halbleiterstruktur, bei dem eine Gatestruktur mit einem Gatedielektrikumsmaterial für einen ferroelektrischen Transistor gebildet wird
US20230247841A1 (en) Double gate metal-ferroelectric-metal-insulator-semiconductor field-effect transistor (mfmis-fet) structure
DE112018008060B4 (de) Halbleitereinheit

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027115850

Ipc: H10B0051000000

R016 Response to examination communication