DE102007052220A1 - Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation - Google Patents

Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation Download PDF

Info

Publication number
DE102007052220A1
DE102007052220A1 DE102007052220A DE102007052220A DE102007052220A1 DE 102007052220 A1 DE102007052220 A1 DE 102007052220A1 DE 102007052220 A DE102007052220 A DE 102007052220A DE 102007052220 A DE102007052220 A DE 102007052220A DE 102007052220 A1 DE102007052220 A1 DE 102007052220A1
Authority
DE
Germany
Prior art keywords
width
component
implantation
sidewall spacer
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102007052220A
Other languages
English (en)
Other versions
DE102007052220B4 (de
Inventor
Anthony Mowry
Markus Lenski
Guido Koerner
Ralf Otterbach
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102007052220.9A priority Critical patent/DE102007052220B4/de
Priority to US12/108,992 priority patent/US7977179B2/en
Publication of DE102007052220A1 publication Critical patent/DE102007052220A1/de
Priority to US13/152,350 priority patent/US8507351B2/en
Application granted granted Critical
Publication of DE102007052220B4 publication Critical patent/DE102007052220B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Abstract

Durch selektives Modifizieren der Abstandshalterbreite, beispielsweise durch Reduzieren der Abstandsbatterie auf der Grundlage von Implantationsmasken, wird eine individuelle Anpassung von Dotierstoffprofilen ohne großen Aufwand im Hinblick auf die Gesamtprozesskomplexität erreicht. Beispielsweise werden in modernen integrierten Schaltungen Leistungseigenschaften von Transistoren der gleichen oder unterschiedlichen Leitfähigkeitsart individuell eingestellt, indem unterschiedliche Seitenwandabstandshalterbreiten auf der Grundlage eines geeigneten Maskierungsschemas vorgesehen werden.

Description

  • Gebiet der vorliegenden Offenbarung
  • Die vorliegende Offenbarung betrifft im Allgemeinen die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung modernster Schaltungselemente, etwa MOS-Transistorstrukturen, in denen ein anspruchsvolles laterales und vertikales Dotierstoffprofil erforderlich ist.
  • Beschreibung des Stands der Technik
  • Der Fertigungsprozess für integrierte Schaltungen wird auf vielfältige Weisen verbessert auf Grund des ständigen Bestrebens, die Strukturgrößen der einzelnen Schaltungselemente zu reduzieren. Ein wesentlicher Punkt bei der Entwicklung integrierter Schaltungen mit erhöhter Packungsdichte und verbessertem Leistungsverhalten ist die Größenreduzierung der Transistorelemente, etwa der MOS-Transistorelemente, um eine größere Anzahl an Transistorelementen bereitzustellen, was für das Herstellen moderner CPU's und Speicherbauelemente erforderlich sein kann. Ein wichtiger Aspekt bei der Herstellung von Feldeffekttransistoren mit reduzierten Abmessungen ist die Verringerung der Länge der Gateelektrode, die das Ausbilden eines leitenden Kanals steuert, der das Source-Gebiet und das Drain-Gebiet des Transistors trennt. Das Source-Gebiet und das Drain-Gebiet des Transistors sind leitende Halbleitergebiete mit Dotiermitteln mit einer inversen Leitfähigkeitsart im Vergleich zu dem Dotiermittel in dem umgebenden kristallinen aktiven Gebiet, d. h. einem Substrat oder einem Wannen- bzw. Potentialtopfgebiet.
  • Obwohl die Verringerung der Gatelänge notwendig ist, um kleinere und schnellere Transistorelemente zu erhalten, zeigt sich, dass zusätzlich eine Vielzahl von Problemen auftreten, um ein geeignetes Transistorverhalten bei einer reduzierten Gatelänge beizubehalten. z. B. können sogenannte Kurzkanaleffekte für stark größenreduzierte Transistorelemente auftreten, woraus sich eine geringere Steuerbarkeit des Kanalgebiets ergibt, was schließlich zu erhöhten Leckströmen und im Allgemeinen zu einer beeinträchtigten Transistorleistung führt. Eine herausfordernde Aufgabe in dieser Hinsicht ist daher das Bereistellen geeignet gestalteter Übergangsgebiete in Form von flachen pn-Übergängen zumindest im Bereich des Kanalgebiets, d. h. in den Source- und Drain-Erweiterungsgebieten, die dennoch eine moderat hohe Leitfähigkeit aufweisen, um damit den Widerstrand bei der Leitung von Ladungsträgern von dem Kanal zu einem entsprechenden Kontaktbereich der Drain- und Source-Gebiete auf einem relativ kleinen Wert zu halten, wobei dennoch die parasitäre Drain/Source-Kapazität und das elektrische Feld am Randgebiet geeignet eingestellt sind. Das Erfordernis für flache pn-Übergänge mit einer relativ hohen Leitfähigkeit bei gleichzeitig adäquater Kanalsteuerung wird häufig erfüllt, indem eine Ionenimplantationssequenz auf der Grundlage einer Abstandshalterstruktur ausgeführt wird, um damit eine hohe Dotierstoffkonzentration mit einem Profil zu erhalten, das lateral und in der Tiefe variiert. Das Einführen einer hohen Dosis an Dotierstoffen in ein kristallines Substratgebiet erzeugt jedoch schwere Schäden in der Kristallstruktur, und daher sind ein oder mehrere Ausheizzyklen typischerweise erforderlich, um die Dotiermittel zu aktivieren, d. h. Dotieratome an Kristallplätzen anzuordnen, und um die schweren Kristallschäden auszuheilen. Jedoch ist die elektrisch wirksame Dotierstoffkonzentration durch die Eigenschaften der Ausheizzyklen begrenzt, die Dotiermittel elektrisch zu aktivieren. Dieses Aktivierungsvermögen ist wiederum durch die Festkörperlöslichkeit der Dotiermittel in dem Siliziumkristall und der Temperatur und der Dauer des Ausheizprozesses begrenzt, die mit dem Prozesserfordernissen kompatibel sein müssen. Neben der Dotierstoffaktivierung und dem Ausheilen des Kristallschadens kann auch eine Dotierstoffdiffusion während des Ausheizens auftreten, was zu einem „Verschmieren" des Dotierstoffprofils führen kann, was zum Definieren kritischer Transistoreigenschaften vorteilhaft sein kann, etwa in die Form der Überlappung zwischen den Erweiterungsgebieten und der Gateelektrode. Daher sind für modernste Transistoren die Positionierung, die Formbildung und das Beibehalten eines gewünschten Dotierstoffprofils wichtige Eigenschaften, um das endgültige Leistungsverhalten des Bauelements zu definieren, da der Gesamtreihenwiderstand des leitenden Pfads zwischen den Drain- und Source-Kontakten sowie die Steuerbarkeit des Kanalgebiets wichtige Aspekte zum Bestimmen des Transistorleistungsverhaltens repräsentieren.
  • Die Definition der effektiven Kanallänge und das Einstellen des Dotierstoffprofils, um dem Kurzkanalverhalten Rechnung zu tragen, auf der Grundlage konventioneller gut etablierter Ausheiz- und Implantationsverfahren kann zu einer Abstandshalterbreite führen, die für unterschiedliche Bauteiltypen ein Kompromiss ist, wie dies nachfolgend mit Bezug zu den 1a bis 1e erläutert ist.
  • 1a zeigt schematisch eine Querschnittsansicht eines Transistorbauelements 100 in einer fortgeschrittenen Fertigungsphase. Der Transistor 100 repräsentiert eine beliebige Art eines modernen Feldeffekttransistors, wie er typischerweise in modernen integrierten Schaltungen Anwendung findet, etwa in Mikroprozessoren, Speicherchips und dergleichen. Der Transistor 100 umfasst ein Substrat 101, das ein beliebiges geeignetes Trägermaterial ist, um darüber eine geeignete Halbleiterschicht 102 zu bilden, in und über der entsprechende Schaltungskomponenten, etwa für Transistor 100, herzustellen sind. Beispielsweise repräsentiert das Substrat 101 ein Siliziumsubstrat oder ein anderes geeignetes Material, um eine SOI-(Silizium-auf-Isolator-)Konfiguration zu bilden, wobei in diesem Falle eine isolierende Schicht 103 unter der Halbleiterschicht vorgesehen ist. Ferner ist eine Gateelektrode 105, die beispielsweise aus Polysilizium aufgebaut ist, über der Halbleiterschicht 102 ausgebildet und davon durch eine Gateisolationsschicht 104 getrennt. In dieser Fertigungsphase sind entsprechende Versatzabstandshalter 107, die aus Siliziumdioxid, Siliziumoxinitrid und dergleichen aufgebaut sein können, mit einer geeigneten Dicke 107t vorgesehen, die wiederum so ausgewählt ist, dass ein gewünschter Abstand entsprechender Erweiterungsgebiete 108e festgelegt ist, die durch eine entsprechende Dotierstoffsorte einer spezifizierten Leitfähigkeitsart gemäß der Gestaltung des Transistorbauelements 100 definiert sind. Beispielsweise enthalten für einen n-Kanaltransistor die Erweiterungsgebiete 108e eine n-Dotierstoffsorte.
  • Es sollte beachtet werden, dass die Länge eines Kanalgebiets 106, d. h. in 1a der Abstand zwischen den Erweiterungsgebieten 108e in der horizontalen Richtung, von der Länge der Gateelektrode 105 und der Abstandshalterbreite 107t abhängt, wobei die tatsächlich wirksame Kanallänge schließlich durch entsprechende pn-Übergänge bestimmt ist, die durch die Erweiterungsgebiete 108e mit dem Kanalgebiet 106 gebildet sind. D. h., die effektive Kanallänge kann durch einen gesteuerten Diffusionsprozess eingestellt werden, wie dies zuvor erläutert ist.
  • Das Transistorbauelement 100, das in 1a gezeigt ist, kann auf der Grundlage der folgenden gut etablierten Prozesse hergestellt werden. Nach dem Vorsehen des Substrats 101 mit der darauf ausgebildeten vergrabenen isolierenden Schicht 103 und der Halbleiterschicht 102 werden entsprechende Isolationsstrukturen (nicht gezeigt), etwa flache Grabenisolationen (STI) und dergleichen gebildet, um geeignet dimensionierte aktive Bereiche innerhalb der Halbleiterschicht 102 zu definieren, in denen ein oder mehrere Schaltungskomponenten gebildet werden, etwa der Transistor 100. Zu diesem Zweck werden anspruchsvolle Lithographie-, Ätz-, Abscheide- und Einebnungstechniken eingesetzt. Nachfolgend wird die Dotierung des Kanalgebiets 106 entsprechend den Transistorerfordernissen eingestellt. Danach werden geeignete Materialien für die Gateelektrode 105 und die Gateisolationsschicht 104 vorgesehen, beispielsweise durch Oxidation und/oder Abscheidung für die Gateisolationsschicht 104 und durch Abscheiden des Materials der Gateelektrode 105, woran sich anspruchvolle Lithographie- und Ätzverfahren anschließen, um in geeigneter Weise die lateralen Abmessungen der Gateelektrode 105 zu definieren. In anspruchsvollen Anwendungen ist die Gatelänge, die auch die effektive Kanallänge beeinflusst, im Bereich von ungefähr 50 nm oder weniger für sehr moderne Halbleiterbauelement. Als nächstes wird der Versatzabstandshalter 107 auf Grundlage konformer Abscheidetechniken und/oder Oxidationsprozesse gebildet, woran sich ein Ätzprozess anschließt, wobei die anfängliche Schichtdicke und die jeweiligen Ätzbedingungen im Wesentlichen die Breite 107t bestimmen. Es wird ein Implantationsprozess 110 ausgeführt, um die erforderliche Dotierstoffsorte für die Bildung der Erweiterungsgebiete 108e einzuführen, wobei ein entsprechender Abstand zu der Gateelektrode 105 durch die Versatzabstandshalter 107 gewährleistet ist. Es sollte beachtet werden, dass andere Implantationsprozesse ausgeführt werden können, etwa eine Voramorphisierungsimplanation, eine Halo-Implantation und dergleichen, abhängig von den Bauteilerfordernissen.
  • 1b zeigt schematisch das Transistorbauelement 100 in einer weiter fortgeschrittenen Herstellungsphase. Wie gezeigt, ist ein weiteres Abstandselement 111 vorgesehen, um damit in Verbindung mit dem Versatzabstandshalter 107 und einer entsprechenden Ätzstoppschicht 112, falls diese erforderlich ist, eine Abstandshalterstruktur 113 zu bilden. Die Abstandshalterstruktur 113 kann ferner zusätzliche einzelne Abstandshalterelemente (nicht gezeigt) in Abhängigkeit von den entsprechenden Prozesserfordernissen aufweisen. Das Abstandshalterelement 111 ist aus einem geeigneten Material aufgebaut, etwa Siliziumnitrid, und besitzt eine Breite, die ausgewählt ist, um tiefe Drain- und Source-Bereiche 108d zu bilden, die durch einen entsprechenden Implantationsprozess 114 hergestellt werden. Um die tiefen Drain- und Source-Gebiete 108d bis zu einer gewünschten Tiefe voranzutreiben, beispielsweise in Richtung auf die vergrabene isolierende Schicht 103 zu, muss die entsprechende laterale Diffusion auch in der Abstandshalterbreite 111w berücksichtigt werden. Somit ist die Gesamtbreite der Abstandshalterstruktur 113 mit der Gesamtkonfiguration der Drain- und Source-Gebiete 108, die die Erweiterungsgebiete 108e und die tiefen Drain- und Source-Gebiete 108d enthalten, korreliert, wobei auch die Abstandshalterbreite 111w und die Dicke 107t in Beziehung stehen, um damit eine gewünschte effektive Kanallänge und ein geeignetes Dotierstoffprofil für die beabsichtigten Leistungseigenschaften nach einem entsprechenden Ausheizprozess zu erhalten.
  • 1c zeigt schematisch das Transistorbauelement während eines entsprechenden Ausheizprozesses 115, wobei Prozessparameter, d. h. die effektive Ausheiztemperatur und die Dauer des Prozesses so festgelegt sind, dass gewünschte laterale und vertikale Profile der Drain- und Source-Gebiete 108 erhalten werden.
  • Jedoch weisen modernste Halbleiterbauelemente typischerweise eine Vielzahl unterschiedlicher Schaltungselemente auf, die in einer gut etablierten gemeinsamen Fertigungssequenz gebildet werden, wobei das endgültige Leistungsverhalten der Schaltungselemente sich deutlich voneinander unterscheiden kann, so dass während der oben beschriebenen Prozesssequenz erhaltene Dotierstoffprofil unter Umständen nicht zu einem optimalen Leistungsverhalten für jedes der unterschiedlichen Schaltungselemente führt. Beispielsweise kann das Anwenden der oben beschriebenen konventionellen Strategie zum Erstellen eines geeigneten Profils für Transistorelemente, etwa PMOS-Transistoren und NMOS-Transistoren oder zum Erhalten von Dotierstoffprofilen für unterschiedliche Schaltungsarchitekturen, etwa analoge Schaltungen oder digitale Schaltungen, es erforderlich machen, die jeweiligen Prozessparameter und Abmessungen von Bauteilstrukturelementen so zu wählen, dass ein Dotierstoffprofil erreicht wird, das die Erfordernisse für jedes dieser unterschiedlichen Transistorelemente erfüllt. Beispielsweise kann das Einstellen gewisser Transistoreigenschaften, etwa eine hohe Schwellwertspannung oder eine geringe Schwellwertspannung, die Art der verwendeten Dotierstoffe, um damit die geeignete Leitfähigkeitsart zu erhalten, und dergleichen auf der Grundlage diverser Maskierungs- und Implantationssequenzen erreicht werden, wobei jedoch die grundlegende Positionierung der Dotiermittel nicht wirksam in der zuvor beschriebenen konventionellen Prozessstrategie geändert werden kann. In einer jüngeren Technologieentwicklung wird das Transistorleistungsverhalten moderner CMOS-Bauelemente verbessert, indem eine geeignete Halbleiterlegierung, etwa Silizium/Germanium in den Drain- und Source-Gebieten der Transistoren vorgesehen wird, um damit eine gewünschte Art an Verformung in dem benachbarten Kanalgebiet hervorzurufen. Auf Grund der hervorgerufenen Verformung kann die Ladungsträgerbeweglichkeit in dem Kanalgebiet erhöht werden, wodurch eine gewisse Beweglichkeitsbeeinträchtigung kompensiert wird, die hervorgerufen werden kann, in dem Versuch, Kurzkanaleffekte zu reduzieren. Ferner kann auf der Grundlage einer spezifizierten Kanallänge das Gesamttransistorleistungsverhalten für einen gegebenen Technologiestandard verbessert werden, da eine erhöhte Ladungsträgerbeweglichkeit sich direkt in einem erhöhten Durchlassstrom und damit einer größeren Arbeitsgeschwindigkeit des Transistors austritt. Jedoch kann das Vorsehen einer Silizium/Germanium-Legierung in einem wesentlichen Anteil der Drain- und Source-Gebiete eines p-Kanaltransistors einen deutlichen Einfluss auf das schließlich erhaltene Dotierstoffprofil ausüben, da beispielsweise das Diffusionsverhalten gewisser p-Dotiermittel, etwa von Bor, bei Vorhandensein moderat hoher Germaniumkonzentration deutlich geringer ist im Vergleich zu der Bordiffusionsaktivität in einem Siliziummaterial. Wenn folglich p-Kanaltransistoren mit einer im Wesentlichen konventionellen Gestaltung und Hochgeschwindigkeits-p-Kanaltransistoren in dem gleichen Halbleiterbauelement vorzusehen sind, muss die zuvor beschriebene konventionelle Fertigungsprozesstechnik weitere Arten an Transistorbauelementen berücksichtigen, wie dies nachfolgend detaillierter mit Bezug zu den 1d und 1e beschrieben ist.
  • 1d zeigt schematisch das Halbleiterbauelement 100 in diesem zuvor geschilderten Falle. Ein erster Transistor 100a und ein zweiter Transistor 100b sind in unterschiedlichen Bauteilgebieten vorgesehen und repräsentieren p-Kanaltransistoren, die ein unterschiedliches Leistungsverhalten erfordern. Beispielsweise repräsentiert der Transistor 100a einen Transistor in einem Bauteilgebiet, in der ein Verhalten mit geringen Leckströmen erforderlich ist, während seine Schaltgeschwindigkeit nicht so entscheidend ist wie für den zweiten Transistor 100b. Im Prinzip können die Transistoren 100a, 100b die gleiche Konfiguration wie der in den 1a bis 1c gezeigte Transistor 100 aufweisen, mit der Ausnahme, dass eine Silizium/Germanium-Legierung 116 in einem Teil der Halbleiterschicht 102 gebildet ist, in welchem Drain- und Source-Gebiete auf der Grundlage eines entsprechenden Implantationsprozesses 117 zu bilden sind. Wie zuvor erläutert ist, werden die Implanationsparameter in Verbindung mit der Gesamttransistorkonfiguration so eingestellt, dass ein Kompromiss nach dem Implantationsprozess 117 für die Drain- und Source-Gebiete 108 erreicht wird, um damit ein moderat hohes Leistungsverhalten für den Transistor 100a und für den Transistor 100b zu erreichen.
  • 1e zeigt schematisch das Halbleiterbauelement während des Ausheizprozesses 115 zum endgültigen Definieren des Dotierstoffprofils der Drain- und Source-Gebiete 108, beispielsweise im Hinblick auf das Einstellen einer effektiven Kanallänge, wie dies zuvor erläutert ist. Auf Grund der geringeren Diffusionsaktivität von Bor, das während des Implantationsprozesses 117 eingeführt wird, kann die vertikale und die laterale Diffusionslänge in dem Transistor 100b im Vergleich zu dem Bauelement 100a unterschiedlich sein, wodurch sich ein nicht optimales Dotierstoffprofil ergibt oder wodurch sich ein optimiertes Dotierstoffprofil für einen der Transistoren 100a, 100b ergibt, während das Leistungsverhalten für den anderen Transistor 100a, 100b deutlich beeinträchtigt wird. Wie beispielsweise in 1i gezeigt ist, besitzt der Transistor 100a, ein gewünschtes Dotierstoffprofil, um den Gesamtreihenwiderstand des Drain/Source-Gebiets bei einer moderaten parasitären Kapazität zu reduzieren, während der Transistor 100b einen erhöhten Source/Drain-Widerstand aufweist mit dem Vorteil einer geringeren Drain/Source-Kapazität, wodurch möglicherweise zu einem gewissen Maße der Leistungsgewinn aufgehoben wird, der durch das Vorsehen der verformten Silizium/Germanium-Legierung 116 erreicht wurde.
  • Folglich betrifft die vorliegende Offenbarung diverse Techniken, die einige oder alle der zuvor genannten Probleme lösen oder zumindest in ihrer Auswirkung reduzieren können.
  • Überblick über die Offenbarung
  • Im Allgemeinen richtet sich der hierin offenbarte Gegenstand an eine Technik zur Verbesserung des Leistungsverhaltens von Schaltungselementen in unterschiedlicher Weise für unterschiedliche Arten von Schaltungselementen, etwa für Feldeffekttransistoren der gleichen oder unterschiedlichen Leiffähigkeitsart, kapazitive oder Widerstandsbauelemente, die auf einem Halbleitergebiet in einer gemeinsamen Fertigungssequenz mit Transistorelementen hergestellt werden, indem in geeigneter Weise eine laterale Abmessung eines Strukturelements eingestellt wird, das als eine Implantationsmaske dient, um damit in geeigneter Weise die Position des Eindringens von Dotierstoffen für ein betrachtetes Schaltungselemente auszuwählen. D. h., die hierin offenbarten Prinzipien ermöglichen ein individuelles Anpassen von Dotierstoffprofilen durch lokales Variieren des Maskierungseffekts von Bauteilstrukturelementen alternativ oder zusätzlich zum Modifizieren der Implantationsdosis oder Energie, um individuell ein gewünschtes Leistungsverhalten des betrachteten Strukturelements zu erreichen. In einigen anschaulichen hierin offenbarten Aspekten werden Seitenwandabstandshalterstrukturen unterschiedlich dimensioniert entsprechend einem Prozessablauf, der mit der Implantation einer gewünschten Dotierstoffsorte verknüpft ist, wodurch der Bedarf für zusätzliche Prozess vermieden oder zumindest deutlich verringert wird im Vergleich zu guten etablierten konventionellen Strategien, indem z. B. eine Implantationsmaske gleichzeitig zum Einstellen der abschirmenden Wirkung eines Strukturelements, etwa einer Gateelektrodenstruktur, verwendet wird. Folglich kann in einigen anschaulichen Beispielen eine gegebene Parametereinstellung einer Implantationssequenz gemeinsam für unterschiedliche Bauteilstrukturelemente verwendet werden, wobei dennoch individuell das schließlich erreichte Dotierstoffprofil eingestellt wird. In anderen anschaulichen Fällen wird zusätzlich zum geeigneten Einstellen von Implantationsparametern durch das Einstellen der lateralen abschirmenden Wirkung eines Bauteilstrukturelements, etwa einer Gateelektrode mit einer Seitenwandabstandshalterstruktur, ein weiterer Steuerungsmechanismus bereitgestellt, um individuell das Gesamtbauteilverhalten zu verbessern.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Bilden einer ersten Implantationsmaske über einem ersten Bauteilgebiet und einem zweiten Bauteilgebiet eines Halbleiterbauelements, wobei die erste Implantationsmaske das zweite Bauteilgebiet abdeckt und das erste Bauteilgebiet freilässt. Das Verfahren umfasst ferner das Ausführen eines ersten Implantationsprozesses auf der Grundlage einer ersten Parametereinstellung, um ein erstes Dotierstoffprofil lateral benachbart zu einem ersten Bauteilstrukturelement zu bilden, das über dem ersten Bauteilgebiet ausgebildet ist. Eine zweite Implantationsmaske wird über dem ersten und dem zweiten Bauteilgebiet gebildet, wobei die zweite Implantationsmaske das erste Bauteilgebiet abdeckt und das zweite Bauteilgebiet freilässt. Zusätzlich wird eine laterale Ausdehnung eines zweiten Bauteilstrukturelements, das über dem zweiten Bauteilgebiet ausgebildet ist, verringert, indem die zweite Implantationsmaske als eine Ätzmaske verwendet wird. Schließlich umfasst das Verfahren das Ausführen eines zweiten Implantationsprozesses auf der Grundlage einer zweiten Parametereinstellung, um ein zweites Dotierstoffprofil lateral benachbart zu dem zweiten Bauteilstrukturelement zu schaffen.
  • Ein weiteres hierin offenbartes anschauliches Verfahren umfasst das Bilden eines ersten Bauteilstrukturelements und eines zweiten Bauteilstrukturelements über einer Halbleiterschicht eines Halbleiterbauelements. Eine erste Seitenwandabstandshalterstruktur wird an Seitenwänden des ersten Bauteilstrukturelements und eine zweite Seitenwandhalterstruktur wird an Seitenwänden des zweiten Bauteilstrukturelements gebildet. Das Verfahren umfasst ferner das Bilden einer ersten Implantationsmaske, die ausgebildet ist, ein erstes Bauteilgebiet mit dem ersten Bauteilstrukturelement freizulegen und ein zweites Bauteilgebiet mit dem zweiten Bauteilstrukturelement abzudecken. Es wird eine erste Dotierstoffsorte in das erste Bauteilgebiet eingeführt und eine Breite der zweiten Seitenwandabstandshalterstruktur wird modifiziert. Ferner wird eine zweite Dotierstoffsorte in das zweite Bauteilgebiet auf der Grundlage einer zweiten Implantationsmaske implantiert, die das erste Bauteilgebiet abdeckt und das zweite Bauteilgebiet freilässt.
  • Ein hierin offenbartes anschauliches Halbleiterbauelement umfasst eine erste Gateelektrodenstruktur mit einer ersten Seitenwandabstandshalterstruktur mit einer ersten Breite, wobei die erste Gateelektrodenstruktur über einem ersten Bauteilgebiet ausgebildet ist, das ein erstes Drain- und Source-Gebiet aufweist. Das Halbleiterbauelement umfasst ferner eine zweite Gatelektrodenstruktur mit einer zweiten Seitenwandabstandshalterstruktur mit einer zweiten Breite, die sich von der ersten Breite unterscheidet, wobei die zweite Gateelektrodenstruktur über einem zweiten Halbleitergebiet ausgebildet ist, das ein zweites Drain- und Source-Gebiet aufweist. Des weiteren umfasst das Halbleiterbauelement eine Halbleiterlegierung, die in dem zweiten Halbleitergebiet gebildet ist.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Offenbarung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1c schematisch Querschnittsansichten eines Transistorbauelements während diverser Fertigungsphasen bei der Herstellung von Drain- und Source-Gebieten auf Grundlage eines konventionellen Prozessablaufs zeigen, wobei ein oder mehrere Abstandshalterelemente der gleichen Breite in jedem Transistorelement verwendet werden, um die effektive Kanallänge und das Dotierstoffprofil einzustellen;
  • 1d und 1e schematisch Querschnittsansichten eines modernen Halbleiterbauelements mit einem p-Kanaltransistor und einem Hochleistungs-p-Kanaltransistor mit einer Silizium/Germanium-Legierung zeigen, wobei beide Transistoren auf der Grundlage der gleichen Seitenwandabstandshalterstruktur hergestellt werden, um damit ein Dotierstoffprofil in den Drain- und Source-Gebieten gemäß konventioneller Strategien zu erhalten;
  • 2a bis 2d schematisch Querschnittsansichten eines Halbleiterbauelements mit unterschiedlichen Bauteilstrukturelementen, etwa Gateelektrodenstrukturen für unterschiedliche Transistoren, zeigen, in denen ein laterales und vertikales Dotierstoffprofil individuell definiert wird, indem die Breite der Seitenwandabstandshalterstruktur vor einem Implantationsprozess gemäß anschaulicher Ausführungsformen angepasst wird;
  • 3a bis 3d schematisch Querschnittsansichten von Feldeffekttransistoren mit unterschiedlicher Konfiguration zeigen, wobei einer der Transistoren eine Halbleiterlegierung aufweist, um das Transistorleistungsverhalten zu verbessern, während das tatsächliche Dotierstoffprofil in den Drain- und Source-Gebieten individuell eingestellt wird, indem in geeigneter Weise die Abstandshalterbreite gemäß noch weiterer anschaulicher Ausführungsformen angepasst wird;
  • 4a bis 4e schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsstadien zeigen, wobei zwei oder mehr Abstandshalterelemente in geeigneter Weise in der Breite vor dem entsprechenden Implantationsprozessen angepasst werden, um in individueller Weise das Dotierstoffprofil gemäß weiterer anschaulicher Ausführungsformen einzustellen;
  • 5a und 5b schematisch Querschnittsansichten zum individuellen Einstellen einer Abstandshalterbreite in Abhängigkeit von einem entsprechenden Maskierungs- und Implantationsschema gemäß weiterer anschaulicher Ausführungsformen zeigen; und
  • 6a bis 6e schematisch Querschnittsansichten eines Halbleiterbauelements zeigen, in welchem eine Abstandshalterbreite vor der Implantation auf der Grundlage eines Abscheideprozesses gemäß noch weiterer anschaulicher Ausführungsformen angepasst wird.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Offenbarung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Offenbarung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Offenbarung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Der hierin offenbarte Gegenstand betrifft im Allgemeinen Fertigungsverfahren zur Herstellung von Schaltungselementen, etwa Transistorelementen, kapazitiven Strukturen, Widerstandsstrukturen und dergleichen, in welchem ein vertikales und laterales Dotierstoffprofil in einem aktiven Gebiet einer Halbleiterschicht effizient eingestellt werden kann, indem individuell die laterale Abmessung eines Bauteilstrukturelements, etwa einer Gateelektrodenstruktur, von Polysiliziumleitungen oder Gebieten, und dergleichen mittels einer Implantationsmaske angepasst wird, um damit den Eintrittsbereich während eines Implantationsprozesses zu definieren. Zu diesem Zweck werden effiziente Prozessablaufläufe und entsprechende Halbleiterbauelemente offenbart, in denen gut etablierte Maskierungsschemata, wie sie zum geeigneten Bereitstellen von Dotierstoffsorten in diversen Bauteilgebieten erforderlich sind, effizient mit Ätz- und Abscheidetechniken kombiniert werden können, um individuell den Eintrittspunkt einer Dotierstoffsorte in dieser Bauteilgebiete anzupassen. In einigen anschaulichen Aspekten wird die Abstandshalterbreite von Gateelektroden moderner Transistorelementen in geeigneter Weise im Hinblick auf ihre abschirmende Wirkung während einer Implantationssequenz zur Herstellung anspruchsvoller Drain- und Source-Dotierstoffprofile modifiziert, wobei unterschiedliche Eigenschaften im Hinblick auf das Diffusionsverhalten, die Transistorkonfiguration und dergleichen berücksichtigt werden können, indem in geeigneter Weise der Eintrittspunkt der jeweiligen Dotierstoffsorte lateral positioniert wird. Somit können im Gegensatz zur konventionellen Vorgehensweise, in denen eine individuelle Anpassung von Implantationsparametern anspruchsvolle und zusätzliche Lithographieschritte erforderlich machen, die hierin offenbarten Prinzipien eine erhöhte Flexibilität bei der Einstellung der Dotierstoffprofile und damit des Bauteilleistungsverhaltens ermöglichen, wobei das Einführen zusätzlicher Lithographieschritte im Wesentlichen vermieden wird, oder es wird ein zusätzlicher Freiheitsgrad bei der Einstellung der Gesamtbauteileigenschaften ermöglicht, indem zusätzlich zu einem Variieren der Implantationsparameter auch ein individuelles Einstellen des Implantationspunktes möglich ist. In einigen anschaulichen hierin offenbarten Aspekten kann eine unterschiedliche Dimensionierung der Seitenwandabstandshalterstrukturen erreicht werden, indem standardmäßige Lithographiemaskierungsschritte und Ätz- und/oder Abscheideschemata eingesetzt werden, wodurch ein effizienter und kosteneffektiver Gesamtprozessablauf erreicht wird. Gleichzeitig können beide Bauteilstrukturelemente mit einer entsprechenden Seitenwandabstandshalterstruktur in einer gemeinsamen Fertigungssequenz gebildet werden, um damit eine anfänglich ähnliche Größe bereitzustellen, die dann vor dem Einführen der betrachteten Dotierstoffsorte modifiziert werden kann. Somit können wichtige Bauteileigenschaften, etwa ein Überlapp der Drain- und Source-Gebiete mit einer Gateelektrode von Feldeffekttransistoren selbst für sehr unterschiedliche Diffusionseigenschaften von Implantationsstoffen in den diversen Transistoren auf der Grundlage eines sehr effizienten Prozessablaufes eingestellt werden, wobei dennoch ein hohes Maß an Kompatibilität mit konventionellen MOS-Strategien beibehalten wird.
  • Es sollte beachtet werden, dass die hierin offenbarten Prinzipien sehr vorteilhaft im Hinblick auf Halbleiterbauelemente mit Strukturelementen sind, die kritische laterale Abmessungen von ungefähr 50 nm und weniger aufweisen, etwa Gateelektrodenstrutkuren, da hier ausgeprägte Dotierstoffprofile an den pn-Übegängen erforderlich sind, wobei auch eine bauteilspezifische Position der pn-Übergänge zu einem verbesserten Leistungsverhalten führt. Die hierin offenbarten Techniken können auch vorteilhafterweise auf andere Schaltungselemente, etwa Kondensatoren, Widerstände und dergleichen angewendet werden, wenn das Dotierstoffprofil in den jeweiligen aktiven Gebieten eine individuelle Verbesserung des Bauteilverhaltens ermöglicht. Wie zuvor erwähnt ist, können die hierin offenbarten Techniken effizient so eingesetzt werden, dass selbst die Leistungseigenschaften von größeren Bauteilgebieten angepasst werden, etwa von Logikblöcken, analogen Schaltungsblöcken und dergleichen, wobei auch eine individuelle Anpassung in sehr lokaler Weise, beispielsweise für ein komplementäres Transistorpaar, erfolgen kann, wobei zusätzlich zum Variieren der Implantationsparameter auch die Position der Implantation effizient auf der Grundlage eines standardmäßigen Maskierungsschemas für p-Kanaltransistoren und n-Kanaltransistoren eingestellt werden kann, wodurch nahezu kein zusätzlicher Prozessaufwand entsteht. D. h., typischerweise werden mehrere Im plantationsschritte häufig mit speziellen Klassen an Bauelementen in einer Gesamtschaltung ausgeführt, wobei die Implantationsschritte effizient eingesetzt werden, um das resultierende Dotierstoffprofil einzustellen, ohne zusätzlichen Aufwand im Hinblick auf die Maskierung hinzuzufügen. Somit können eine Vielzahl von kritischen Baueilparametern, etwa eine Erhöhung der Arbeitsgeschwindigkeit von Transistoren, die Verringerung der Schwellwertvariabilität, Leckströme, das Steuern von Kurzkanaleffekten, der Source/Drain-Reihenwiderstand und dergleichen individuell in einzelnen Schaltungsstrukturelementen oder in entsprechenden Bauteilgebieten verbessert werden. Daher sollte die vorliegende Offenbarung nicht auf spezielle Bauteilabmessungen und Bauelemente eingeschränkt erachtet werden, sofern derartige Beschränkungen nicht explizit in der Beschreibung oder den angefügten Patentansprüchen dargelegt sind.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200 mit einem Substrat 201, über welchem eine Halbleiterschicht 202 gebildet ist, etwa eine siliziumbasierte Halbleiterschicht, wobei zu beachten ist, dass die Halbleiterschicht 202 aus einem beliebigen geeigneten Material oder einer Materialzusammensetzung aufgebaut ist, die zum Bilden von Schaltungselementen darin und darauf geeignet ist, etwa von Transistoren, Kondensatoren, Widerstandsstrukturen, und dergleichen. Die Halbleiterschicht 202 kann zumindest teilweise als ein aktives Gebiet betrachtet werden, in welchem ein Dotierstoffprofil einzurichten ist, um damit eine gewünschte Art an Leitfähigkeit entsprechend den Bauteilerfordernissen zu erreichen. Das Substrat 201 repräsentiert ein beliebiges geeignetes Trägermaterial um darauf eine Halbleiterschicht 202 herzustellen, wobei das Substrat 201 aus einem im Wesentlichen kristallinen Halbleitermaterial aufgebaut ist, möglicherweise in Verbindung mit einer isolierenden Schicht, die unter der Halbleiterschicht 202 ausgebildet ist, wodurch dann eine SOI-Konfiguration und dergleichen erhalten wird. Beispielsweise können das Substrat 201 und die Halbleiterschicht 202 die gleichen Eigenschaften aufweisen, wie sie zuvor mit Bezug zu dem Bauelement 100 beschrieben sind. Des weiteren weist in dieser Fertigungsphase das Bauelement 200 ein erstes Bauteilgebiet 200a und ein zweites Bauteilgebiet 200b auf, die benachbarte Bauteilgebiete repräsentieren können, beispielsweise, wenn benachbarte Transistorelemente betrachtet werden, oder die voneinander entfernt liegende Bauteilbereiche repräsentieren können, in denen unterschiedliche Arten an Schaltungen und dergleichen vorzusehen sind. Beispielsweise repräsentiert das erste Bauteilgebiet 200a einen Teil einer Analogschaltung, während das zweite Bauteilgebiet 200b einen Teil einer Digitalschaltung repräsentiert, oder das erste und das zweite Gebiet 200a, 200b repräsentieren unterschiedliche digitale Schaltungsbereiche, etwa einen Speicherbereich und einen Logikbereich, die Transistorelemente mit ähnlicher Konfiguration aber dennoch unterschiedlichen Leistungseigenschaften beispielsweise im Hinblick auf Leckströme, Schaltgeschwindigkeit, Schwellwertspannung und dergleichen besitzen. Das erste und das zweite Bauteilgebiet 200a, 200b enthalten jeweils ein Bauteilstrukturelement 205, das über der Halbleiterschicht 202 ausgebildet ist und das ein leitendes, halbleitendes oder isolierendes Gebiet repräsentieren kann, das einen Bereich der Halbleiterschicht 202, der unter dem Bauteilstrukturelement 205 angeordnet ist, abschirmt. In einigen anschaulichen Ausführungsformen repräsentieren die Bauteilstrukturelemente 205 Gateelektrodenstrukturen von Feldeffekttransistoren, wie nachfolgend detaillierter erläutert ist. In anderen Fällen repräsentieren die Bauteilstrukturelemente 205 Leitungen oder leitende Gebiete, etwa Polysiliziumleitungen und dergleichen, oder diese repräsentieren ausgedehnte Halbleitergebiete zur Herstellung kapazitiver Strukturen, während in anderen Fällen die Bauteilstrukturelemente 205 Widerstandsstrukturelemente repräsentieren. Beispielsweise sind die Bauteilstrukturelemente 205 auf einer isolierenden Schicht 204 gebildet, wenn die Bauteilstrukturelemente 205 aus einem leitenden oder einem halbleitenden Material aufgebaut sind, das nicht direkt mit der Halbleiterschicht 202 verbunden ist. Ferner kann in dieser Fertigungsphase eine erste Seitenwandabstandshalterstruktur 213a an Seitenwänden des Bauteilstrukturelements 205 in dem ersten Bauteilgebiet 200a vorgesehen sein, während eine zweite Seitenwandabstandshalterstruktur 213b in dem zweiten Bauteilgebiet 200b vorgesehen ist. In anschaulichen Ausführungsformen sind die Abstandshalterstrukturen 213a, 213b aus einem beliebigen geeigneten Material, etwa Siliziumdioxid, Siliziumnitrid, Siliziumoxinitrid und dergleichen aufgebaut und besitzen im Wesentlichen die gleiche Konfiguration und damit die gleiche Abstandshalterbreite 213w. In der gezeigten Ausführungsform ist der Aufbau der Abstandshalter 213a, 213b so festgelegt, dass dieser mit einem Implantationsprozess 221 verträglich ist, der auf der Grundlage einer Implantationsmaske 220, etwa einer Lackmaske, ausgeführt wird, um damit ein gewünschtes Dotierstoffprofil in der Halbleiterschicht 202 entsprechend dem ersten Bauteilgebiet 200a zu erzeugen. D. h., die Abstandshalter 213a, 213b, die die gleiche Konfiguration besitzen können, sind so gestaltet, d. h., die Abstandshalterbreite 213w ist so eingestellt, dass in Kombination mit den entsprechenden Prozessparametern des Prozessors 221, ein gewünschtes Dotierstoffkonzentrationsprofil in dem ersten Bauteilgebiet 200a erreicht wird, das in der gezeigten Ausführungsform einen größeren Abstand in Bezug auf das Bauteilgebiet 205 des ersten Gebiets 200a im Vergleich zu einem entsprechenden Abstand in dem zweiten Bauteilgebiet 200b erfordert, wie dies nachfolgend detaillierter erläutert ist.
  • Im Hinblick auf die Fertigungssequenz zur Herstellung des Halbleiterbauelements 200 können ähnliche Prozesse eingesetzt werden, wie sie zuvor unter Bezugnahme auf das Bauelement 100 beschrieben wurden, wenn Transistorelemente betrachtet werden. In anderen Fällen werden andere geeignete Fertigungsverfahren entsprechend gut etablierter Prozessstrategien eingesetzt, wobei jedoch im Gegensatz zu konventionellen Vorgehensweisen ein entsprechender Fertigungsprozess zur Herstellung der Abstandshalterstrukturen 213a, 213b so gestaltet ist, dass die Abstandshalterbreite 213w so erhalten wird, dass diese entsprechend den Leistungseigenschaften des Bauteilstrukturelements 205 in dem ersten Gebiet 200a ausgewählt ist. Beispielsweise kann eine geeignete Abstandshalterschicht aus einem geeigneten Material, wie dies zuvor erwähnt ist, abgeschieden und nachfolgend geätzt werden, beispielsweise auf der Grundlage von nasschemischen Ätzrezepten, plasmaunterstützten Ätzrezepten und dergleichen, um die Sollbreite 213w zu erhalten. Danach wird die Implantationsmaske 220 auf der Grundlage gut etablierter Maskierungsschemata gebildet, wobei in einigen anschaulichen Ausführungsformen die Maske 220 gemäß einem konventionellen Prozessablauf gebildet wird, wenn unterschiedliche Implantationsrezepte für das erste und das zweite Gebiet 200a, 200b anzuwenden sind, um damit die gewünschten Bauteileigenschaften zu erhalten. Wenn beispielsweise die Bauteilstrukturelemente 205 Gateelektrodenstrukturen von Transistoren unterschiedlicher Leitfähigkeitsart repräsentieren, wird die Implantationsmaske 220 unter Umständen nur benötigt, um das Eindringen unerwünschter Implantationssorten in das zweite Bauteilgebiet 200b zu verhindern. Folglich werden die Prozessparameter, etwa die Dosis, die Energie, möglicherweise ein Implantationswinkel, die Dotierstoffsorte und dergleichen so gewählt, dass das gewünschte Dotierstoffprofil erhalten wird, wobei zusätzlich die Breite 213w insbesondere einen Sollabstand im Hinblick auf das Bauteilstrukturelement 205 in dem ersten Bauteilgebiet 200a bestimmt.
  • 2b zeigt schematisch das Halbleiterbauelement 200 mit einem Implantationsgebiet 208a mit einem lateralen Abstand zu dem Bauteilstrukturelement 205, der durch die Abstandshalterbreite 213w bestimmt ist. Ferner ist eine weitere Implantationsmaske 222 vorgesehen, um das erste Bauteilgebiet 200a abzudecken, während das zweite Bauteilgebiet 200b frei liegt. Zudem unterliegt das Halbleiterbauelement 200 einem Ätzprozess 223, der so gestaltet ist, dass selektive Material der Abstandshalterstruktur 213b abgetragen wird, um damit eine reduzierte Breite 213r zu erhalten, die entsprechend den Eigenschaften eines nachfolgenden Im plantationsprozessses zum Erzeugen eines gewünschten Dotierstoffprofils in dem zweiten Bauteilgebiet 200b eingestellt ist. Der Ätzprozess 223 kann als ein nasschemischer Ätzprozess und/oder als ein plasmaunterstützter Ätzprozess in Abhängigkeit von den Prozesserfordernissen ausgeführt werden. Beispielsweise sind sehr selektive plasmaunterstützte Ätzprozesse für typische Abstandshaltermaterialien, etwa Siliziumnitrid gut etabliert, wobei eine Siliziumdioxidschicht für eine ausgeprägte Ätzstoppeigenschaft sorgt. In diesem Falle wird in einigen anschaulichen Ausführungsformen eine entsprechende Oxidbschichtung (nicht gezeigt) zwischen dem Bauteilstrukturelement 205 und auch auf horizontalen Oberflächenbereichen der Halbleiterschicht 202 vorgesehen, die selbst während des Implantationsprozesses 221 beibehalten werden kann, um damit die Ätzstoppeigenschaften während des Prozesses 223 zu erhöhen und auch um eine unnötige Schädigung des darunter liegenden Halbleitermaterials zu unterdrücken. In anderen Fällen zeigt der Prozess 223 eine ausreichend hohe Ätzselektivität in Bezug auf das Material der Halbleiterschicht 202, wie es beispielsweise in 2b gezeigt ist. Abhängig von dem Grad an Isotropie des Ätzprozesses 223 kann auch eine Verringerung der Höhe der Abstandshalterstruktur 213b auftreten, wobei eine entsprechende Verringerung weniger kritisch ist, sofern eine ausreichende abschirmende Wirkung während des nachfolgenden Implantationsprozesses erreicht wird. Auch der Ätzprozess 223 kann nasschemische Ätzprozesse, beispielsweise auf der Grundlage einer geeigneten Ätzchemie, etwa heißer Phosphorsäure, beinhalten, wenn der Abstandshalter 213b aus Siliziumnitrid aufgebaut ist. Jedoch kann auch eine andere geeignete Ätzchemie abhängig von der Materialzusammensetzung der Abstandshalterstruktur 213a, 213b verwendet werden.
  • 2c zeigt schematisch das Halbleiterbauelement 200 während eines weiteren Implantationsschrittes 224, der auf der Grundlage der Maske 222 ausgeführt wird, wobei eine gewünschte Dotierstoffsorte in den freiliegenden Bereich der Schicht 202 auf der Grundlage geeignet gewählter Implantationsparameter eingeführt wird, wobei zusätzlich die Breite 213r für den gewünschten Abstand zu dem Bauteilstrukturelement 205 in dem Gebiet 200b sorgt. Somit wird das implantierte Gebiet 208b erhalten, das ein laterales und vertikales Profil aufweist, das eingestellt ist, um das Leistungsverhalten des Bauteilstrukturelements 205 in dem Bauteilgebiet 200b zu verbessern.
  • 2d zeigt schematisch das Halbleiterbauelement 200 nach dem Entfernen der Implantationsmaske 222 und während eines Ausheizprozesses 215 zum Aktivieren der Dotierstoffe in den Gebieten 208a, 208b, wobei auch durch Implantation hervorgerufene Schäden rekristallisiert werden. Der Ausheizprozess 215 kann somit zu einem „endgültigen” Dotierstoffprofil führen, wenn weitere Hochtemperaturprozesse nicht mehr ausgeführt werden, so dass das endgültige Dotierstoffprofil der Gebiete 208a, 208b individuell den gewünschten Dotierstoffprofilen für die Gebiete 200a, 200b entspricht. Wie zuvor erläutert ist, können die Ausheizparameter des Prozesses 215 ebenfalls einen deutlichen Einfluss auf das endgültige Dotierstoffprofil der Gebiete 208a, 208b ausüben, wobei in den gezeigten Ausführungsformen die Implantationsparameter und die Abstandshalterbreiten 213w, 213r geeignet ausgewählt sind, so dass das gewünschte endgültige Profil auf der Grundlage der vordefinierten Parameter des Prozesses 215 erreicht werden.
  • Danach wird die weitere Bearbeitung fortgesetzt, indem beispielsweise die Gesamtleitfähigkeit der Gebiete 208a, 208b und der Bauteilstrukturelemente 205 beispielsweise auf der Grundlage der Herstellung von Metallsilizidgebieten modifiziert wird, wenn die Halbleiterschicht 202 einen deutlichen Anteil an Silizium aufweist und wenn die Bauteilstrukturelemente 205 aus einem siliziumenthaltenden Material aufgebaut sind. In anderen Fällen kann ein wesentlicher Anteil der gesamten Bauteilstrukturelemente 205 durch andere Materialien ersetzt werden, etwa metallenthaltende Materialien und dergleichen, wenn beispielsweise modernste Gateelektrodenstrukturen von anspruchsvollen Feldeffekttransistoren betrachtet werden.
  • Mit Bezug zu den 3a bis 3d werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen Transistorelemente der gleichen oder einer unterschiedlichen Leitfähigkeitsart Implantationsorten auf der Grundlage individuell angepasster Seitenwandabstandshalterstrukturen erhalten, wobei in einigen anschaulichen Ausführungsformen eine oder beide Transistoren speziell gestaltete Halbleitermaterialien aufweisen, zumindest in den Drain- und Source-Bereichen der Transistoren.
  • 3a zeigt schematisch ein Halbleiterbauelement 300 mit einem ersten Transistor 300a und einem zweiten Transistor 300b, die auf unterschiedlichen Bauteilbereichen ausgebildet sind, die benachbarte Bauteilbereiche oder weiter auseinanderliegende Bauteilbereiche repräsentieren können, wie dies auch mit Bezug zu dem Bauelement 200 erläutert ist. In der gezeigten Fertigungsphase enthalten der erste und der zweite Transistor 300a, 300b jeweils eine Gateelektrodenstruktur 305 mit einer Gateisolationsschicht 304. Eine Seitenwandabstandshalterstruktur 313a ist an Seitenwänden der Gateelektrode 305 des ersten Transistors 300a ausgebildet, und eine zweite Seitenwandabstandshalterstruktur 313b ist an Seitenwänden der Gateelektrodenstruktur 305 des zweiten Transistors 300b vorgesehen. Ferner können einer oder beide Transistoren 300a, 300b ein speziell gestaltetes Halbleitermaterial, etwa eine verformte Halbleiterlegierung, beispielsweise in Form von Silizium/Kohlenstoff, Silizium/Germanium, Silizium/Zinn, Silizium/Germanium/Zinn, und dergleichen, aufweisen. Der Einfachheit halber ist ein entsprechend gestaltetes Halbleitermaterial beispielsweise in Form einer Halbleiterlegierung in dem zweiten Transistor 300b als 316 gezeigt, das in einem Bereich angeordnet ist, das dem Drain- und Source-Gebiet entspricht, die noch auf der Grundlage eines Implantationsprozesses herzustellen sind. Beispielsweise repräsentiert das Material 316 eine Silizium/Germanium-Legierung in einem verformten Zustand, wobei der Transistor 300b einen p-Kanaltransistor repräsentiert, der auf einer siliziumbasierten Schicht 302 mit einer standardmäßigen Kristallorientierung gebildet ist. Wie zuvor erläutert ist, kann das selektive Bereitstellen speziell gestalteter Halbleitermaterialien, etwa des Materials 316, zu unterschiedlichen Eigenschaften, beispielsweise im Hinblick auf das Diffusionsverhalten spezieller Dotierstoffsorten im Vergleich zu anderen Bereichen der Halbleiterschicht 302 führen, die das Material 316 nicht aufweisen oder die eine andere Art an Halbleitermaterial aufweisen. Beispielsweise sei angenommen, dass die Transistoren 300a, 300b von der gleichen Leitfähigkeitsart sind, jedoch unterschiedliche Leistungseigenschaften erfordern, z. B. im Hinblick auf Leckströme, Arbeitsgeschwindigkeit und dergleichen, wie dies zuvor mit Bezug zu den 1d und 1e erläutert ist.
  • Das Halbleiterbauelement 300 kann auf der Grundlage gut etablierter Prozesstechniken hergestellt werden, wozu beispielsweise das selektive epitaktische Abscheiden des Materials 316 oder eine andere geeignete Prozesstechnik zur Herstellung des Materials 316 in der Schicht 302 gehören, wobei die Seitenwandabstandshalterstrukturen 313a, 313b in einer gemeinsamen Fertigungssequenz hergestellt werden können, wobei die Prozessparameter so eingestellt werden, dass eine Abstandshalterbreite 313w erhalten wird, um damit das Gesamtleistungsverhalten des Bauelements 300 im Hinblick auf die Drain- und Source-Gebiete 308a zu verbessern, die durch einen Implantationsprozess 321 auf Grundlage einer Implantationsmaske 320 gebildet werden.
  • 3b zeigt schematisch das Bauelement 300 in einem weiter fortgeschrittenen Fertigungssstadium, in welchem eine weitere Implantationsmaske 322 den ersten Transistor 300 abdeckt, während der zweite Transistor 300b freiliegt. Während eines Ätzprozesses 323 wird die Breite der Abstandshalterstruktur 313b auf eine reduzierte Breite 313r eingestellt, die so gewählt ist, dass der Eintrittspunkt der Implantation näher an dem Kanalgebiet 306 liegt, wenn beispielsweise die Diffusionsaktivität der betrachteten Dotierstoffsorte weniger ausgeprägt ist auf Grund des Vorhandenseins des Halbleitermaterials 316.
  • 3c zeigt schematisch das Halbleiterbauelement 300 während eines weiteren Implantationsprozesses 324, um damit Drain- und Source-Gebiete 308b mit einem gewünschten implantierten Profil zu schaffen. Beispielsweise wird der Implantationsprozess 324 auf der Grundlage der gleichen Prozessparameter ausgeführt, wenn die Transistoren 300a, 300b Transistoren der gleichen Leitfähigkeitsart repräsentieren und die vertikale Erstreckung der Drain- und Source-Gebiete 308b nach der Implantation weniger kritisch ist, wodurch die Prozesskomplexität im Hinblick auf die Implantationsprozesse 321 und 324 verringert wird. In anderen Fällen werden die Prozessparameter speziell für das Bauelement 300b eingestellt, wodurch auf Grund einer individuellen Einstellung der Breite 313r zum Erhalten des gewünschten Profils für die Drain- und Source-Gebiete 308b erreicht werden.
  • 3d zeigt schematisch das Halbleiterbauelement 300 während eines Ausheizprozesses 315, um das endgültige Dotierstoffprofil der Drain- und Source-Gebiete 308a, 308b einzustellen, wenn eine nachfolgende Hochtemperaturbehandlung in dem Bauelement 300 nicht mehr ausgeführt wird. Somit kann die effektive Gatelänge sowie die vertikale Erstreckung der Drain- und Source-Gebeite 308a, 308b individuell auf Grund der Möglichkeit geeignet ausgewählter Implantationsprozessparameter sowie der Abstandshalterbreiten 313w und 313r angepasst werden.
  • Es sollte beachtet werden, dass in anderen Fällen die Transistoren 300a, 300b Transistoren mit unterschiedlicher Leitfähigkeitsart repräsentieren, in denen die Implantationsmasken 320 und 322 ohnehin vorzusehen sind, wodurch nicht wesentlich zur Gesamtprozesskomplexität beigetragen wird, wobei dennoch eine individuelle Anpassung der jeweiligen Implantationsprofile ermöglicht wird. In anderen Fällen repräsentieren die Transistoren 300a, 300b Transistoren unterschiedlicher Schaltungsarten, etwa einer analogen Schaltung, einer digitalen Schaltung, und dergleichen, oder repräsentieren unterschiedliche digitale Logikbereiche, etwa Speicherbereiche, CPU-Kerne und dergleichen, die einen unterschiedlichen Parametersatz für die Implantationsprozesse erfordern. Auch in diesem Falle können die entsprechenden Implantationsmasken 320, 322 vorteilhaft für das individuelle Anpassen der Abstandshalterbreite 313w, 313r verwendet werden.
  • Mit Bezug zu den 4a bis 4e werden weitere anschauliche Ausführungsformen beschrieben, in denen sehr komplexe Dotierstoffprofile auf der Grundlage der zuvor beschriebenen Prinzipien erreicht werden, wobei zwei oder mehr Abstandshalterelemente verwendet werden, und eines oder mehrere dieser Abstandshalterelemente nachfolgend individuell in der Abstandshalterbreite angepasst werden, um damit das gewünschte endgültige Dotierstoffprofil für entsprechende Schaltungselemente, etwa Kondensatorstrukturen, Widerstandsstrukturen, Feldeffekttransistoren, und dergleichen zu erhalten.
  • 4a zeigt schematisch ein Halbleiterbauelement 400 mit einem Substrat 401 und einer Halbleiterschicht 402, für die die gleichen Kriterien gelten, wie sie zuvor für die Bauelemente 100, 200 und 300 beschrieben sind. Ein erstes und ein zweites Bauelement 400a, 400b sind vorgesehen, wobei der Einfachheit halber die Bauelemente als ein erster Transistor und ein zweiter Transistor bezeichnet werden, da hier typischerweise sehr anspruchsvolle laterale und vertikale Dotierstoffprofile erforderlich sind. Der erste und der zweite Transistor 400a, 400b weisen jeweils eine Gateelektrodenstruktur 405 auf, die in dieser Fertigungsphase eine geeignete Materialzusammensetzung besitzt. Des weiteren sind entsprechende Gateisolationsschichten 404 vorgesehen. Zusätzlich umfasst der erste Transistor 400a einen ersten Versatzabstandshalter 407a, der an Seitenwänden der Gateelektrode 405 ausgebildet ist, und in ähnlicher Weise besitzt der zweite Transistor 400b einen zweiten Versatzabstandshalter 407d. Die Versatzabstandshalter 407a, 407b besitzen im Wesentlichen die gleiche Konfiguration und somit die gleiche Breite 407t, die in der gezeigten Ausführungsform so gestaltet ist, dass ein gewünschter Abstand in Bezug auf flache Drain- und Source-Gebiete erhalten wird, die auch als Erweiterungsgebiete 407e bezeichnet werden.
  • Das Halbleiterbauelement 400 kann auf der Grundlage von Prozessen hergestellt werden, wie sie zuvor mit Bezug zu dem Bauelement 100 beschrieben sind, wobei jedoch die Breite 407t speziell den Erfordernissen des Transistors 400a angepasst ist. Danach wird das Erweiterungsgebiet 408e auf Grundlage eines geeignet gestalteten Implantationsprozesses 421 unter Anwendung einer Implantationsmaske 420 gebildet. Es sollte beachtet werden, dass andere Implantationsprozesse auf der Grundlage der Maske 420 oder ohne eine Maske 420 ausgeführt worden sein können, um damit die Halbleiterschicht 402 für das Erzeugen eines gewünschten Dotierstoffprofils vorzubereiten. Beispielsweise können Voramorphisierungsimplantationsprozesse, Halo-Implantationen und dergleichen in Abhängigkeit von den Prozesserfordernissen ausgeführt werden.
  • 4b zeigt schematisch das Halbleiterbauelement 400, wobei gemäß einer anschaulichen Ausführungsformen nach dem Entfernen der Implantationsmaske 420 ein Ätzprozess 423 ausgeführt wird auf der Grundlage einer geeigneten Ätztechnik, etwa einer nasschemischen Ätzung, einer plasmaunterstützten Ätzung und dergleichen, wie dies auch zuvor erläutert ist, um damit die Versatzabstandshalter 407a, 407b zu reduzieren, wodurch eine gewünschte reduzierte Breite 407r erhalten wird, die so eingestellt ist, dass ein gewünschter Implantationspunkt für den zweiten Transistor 400b erreicht wird. Somit wird in dieser Ausführungsform der Ätzprozess 423 als ein nicht maskierter Ätzprozess ausgeführt, wodurch auch die reduzierte Breite 407r in dem ersten Transistorelement 400a geschaffen wird. In diesem Falle werden sehr gleichmäßige Prozessbedingungen während der weiteren Bearbeitung des Bauelements 400 erreicht, beispielsweise wenn andere Abstandshalterelemente gebildet werden, wie dies nachfolgend beschrieben ist. In anderen anschaulichen Ausführungsformen wird der Ätzprozess 423 auf der Grundlage einer weiteren Implantationsmaske ausgeführt, wie dies mit Bezug zu den oben beschriebenen Ausführungsformen erläutert ist.
  • 4c zeigt schematisch das Halbleiterbauelement 400 mit einer weiteren Implantationsmaske 422, um den ersten Transistor 400a während eines weiteren Implantationsprozesses 424 zu schützen, wodurch ein Erweiterungsgebiet 408e für den zweiten Transistor 400 geschaffen wird, das durch die Implantationsprozessparameter und die reduzierte Breite 407r bestimmt ist.
  • 4d zeigt schematisch das Halbleiterbauelement 400 in einer weiter fortgeschrittenen Fertigungsphase, wobei eine Abstandshalterstruktur 413a, 413b auf der Grundlage der reduzierten Versatzabstandshalter 407a, 407b möglicherweise in Kombination mit dazwischenliegenden Abstandshalterelementen oder Beschichtungsmaterialien (nicht gezeigt) gebildet ist. Beispielsweise ist in der gezeigten Ausführungsform die Abstandshalterstruktur 413 mit einer Breite 413w so gestaltet, dass ein gewünschter Implantationseintrittspunkt, d. h. ein gewünschter Abstand in Bezug auf die Gateelektrode 405 für den zweiten Transistor 400b erreicht wird. In anderen Fällen ist die Breite 413w so ausgewählt, dass diese zu einem gewünschten Abstand für einen Ionenimplantationsprozess für den Transistor 400a führt. In dem gezeigten Beispiel wird somit eine weitere Implantationsmaske 424 vorgesehen, um den ersten Transistor 400a abzudecken, während der zweite Transistor 400b der Einwirkung eines Implantionsprozesses 426 zur Herstellung tiefer Drain- und Source-Gebiete 408d ausgesetzt ist. Somit wird in dem zweiten Transistor 400b eine moderat große laterale Erstreckung des Erweiterungsgebiets 408e als vorteilhaft erachtet, während in anderen Fällen, wenn die Breite 413w geeignet für den ersten Transistor 400a ausgewählt ist, ein größerer Abstand sowohl des Erweiterungsgebiets 408w als auch eines entsprechenden tiefen Drain- und Source-Gebiets 408d damit erreicht würde (nicht gezeigt).
  • 4e zeigt schematisch das Bauelement 400 mit einer weiteren Implantationsmaske 427, die den zweiten Transistor 400b abdeckt, während der erste Transistor 400a einer Ätzumgebung 428 ausgesetzt ist, um damit eine reduzierte Abstandshalterbreite 413r zu erhalten, die für den ersten Transistor 400a geeignet ist, wobei eine geeignete Ätzchemie und eine Ätztechnik eingesetzt werden können, wie dies zuvor erläutert ist. Anschließend wird ein weiterer Implantationsprozess auf der Grundlage der Maske 427 ausgeführt, um die tiefen Drain- und Source-Gebiete 408d für den ersten Transistor 400a zu erzeugen, wobei in der gezeigten Ausführungsform ein weniger ausgeprägter lateraler Unterschied zwischen den Erweiterungsgebieten 407e und den Tiefen erhalten wird. Es sollte jedoch beachtet werden, dass eine andere Kombination verwendet werden kann, wie dies zuvor erläutert ist, um damit sehr anspruchsvolle laterale und vertikale Dotierstoffprofile zu erreichen, die auf der Grundlage der Implantationsparameter in Verbindung mit der individuell eingestellten Breite der Versatzabstandshalter 407a, 407b und der Abstandshalterstruktur 413 erreicht werden. Danach wird die weitere Bearbeitung fortgesetzt, indem ein Ausheizprozess durchgeführt wird, um das Dotierstoffprofil auf der Grundlage der Gebiete 407e, 408d zu erhalten, wie dies in 4d gezeigt ist. Es sollte beachtet werden, dass die Abstandshalterstrukturen 413a, 413b mehr als zwei Abstandshalterelemente aufweisen können, wovon zumindest einige individuell vor einem entsprechenden Implantationsprozess angepasst werden, um damit das verbesserte Leistungsverhalten für jeden der Transistoren zu erhalten.
  • Mit Bezug zu den 5a und 5b werden weitere anschauliche Ausführungsformen beschrieben, in denen die Sequenz des Einführens von Dotierstoffsorten umgekehrt ist.
  • 5a zeigt schematisch ein Halbleiterbauelement 500 mit einem Substart 501 und einer Halbleiterschicht 502 mit einem ersten Bauteilgebiet 500a und einem zweiten Bauteilgebiet 500b, in denen entsprechende Bauteilstrukturelemente 505 ausgebildet sind. Des weiteren ist eine Implantationsmaske 520 vorgesehen, um das erste Bauteilgebiet 500a abzudecken und um das zweite Bauteilgebiet 500b der Einwirkung einer Ätzumgebung 523 auszusetzen. Die Ätzumgebung 523 ist so gestaltet, dass eine laterale Ausdehnung des Bauteilstrukturelements 505 verringert wird, wobei beispielsweise eine Abstandshalterstruktur vorgesehen ist oder wobei die Bauteilstrukturelemente 505 selbst geätzt werden, um damit eine geringere laterale Größe zu erhalten. Somit besitzt nach dem Ätzprozess 523 das Bauteilstrukturelement 505 weiterhin die anfängliche Dicke 505b, während das Bauteilstrukturelement 505 in dem zweiten Bauteilgebiet 500 die reduzierte Breite 505r aufweist.
  • 5b zeigt schematisch das Bauelement 500 während eines Implantationsprozesses 520, um Implantationsgebiete 508 auf der Grundlage der reduzierten Breite 505r zu bilden, möglicherweise in Verbindung mit individuell eingestellten Implanationsparametern, wie dies zuvor erläutert ist. Danach wird die Implantationsmaske 520 entfernt und es wird eine weitere Implantationsmaske gebildet, um das zweite Bauteilgebiet 500b abzudecken, um somit ein entsprechendes Implantationsgebiet in dem ersten Gebiet 500a auf der Grundalge der anfänglichen Breite 505w zu erzeugen.
  • Es sollte beachtet werden, dass die oben dargestellten Ausführungsformen in beliebiger Weise kombiniert werden können, um selektiv die laterale Erstreckung eines Bauteilstrukturelements vor einem Implantationsprozess zu reduzieren, um damit das gewünschte Dotierstoffprofil zu erhalten.
  • Mit Bezug zu den 6a bis 6e werden weitere anschauliche Ausführungsformen beschrieben, in denen eine individuelle Modifizierung der Breite einer Abstandshalterstruktur durch einen Abscheideprozess erreicht wird, um damit die entsprechende abschirmende Wirkung während eines nachfolgenden Implantationsprozesses zu erhöhen.
  • 6a zeigt schematisch ein Halbleiterbauelement 600 mit einem ersten Schaltungselement 600a, etwa einem Feldeffekttransistor, und einem zweiten Schaltungselement 600b, etwa einem Feldeffekttransistor und dergleichen. Des weiteren sind ein Substrat 601 und eine Halbleiterschicht 602 vorgesehen und besitzen ähnliche Strukturen, wie dies zuvor erläutert ist. Ferner sind in dieser Fertigungsphase Bauteilstrukturelemente 605, möglicherweise in Verbindung mit einer Isolationsschicht 604, vorgesehen, beispielsweise in Form von Gateelektrodenstrukturen, wobei Seitenwandabstandshalterstrukturen 613a, 613b in Form einer Abstandshalterschicht 613 vorgesehen sind, die in der gezeigten Ausführungsform auch horizontale Bereiche der Halbleiterschicht 602 bedeckt. Die Abstandshalterschicht 613 besitzt eine geeignete Dicke, um damit für den gewünschten Abstand eines Implantationsgebiets 608b in Bezug auf das Bauteilstrukturelement 605 in dem Gebiet 600b während eines Implantationsprozesses 621 zu sorgen, der auf der Grundlage einer entsprechenden Implantationsmaske 620 ausgeführt wird.
  • Es sollte beachtet werden, dass das Halbleiterbauelement 600 auf der Grundlage gut etablierter Techniken hergestellt werden kann, um die Bauteilstrukturelemente 605 und die Isolationsschicht 604 zu bilden, beispielsweise auf Grundlage gut etablierter Fertigungsstrategien für Gateelektrodenstrukturen und dergleichen. Anschließend wird die Abstandshalterschicht 613 auf Grundlage gut etablierter Abscheidetechniken hergestellt, etwa plasmaunterstützter CVD (chemische Dampfabscheidung), wobei die Abstandshalterschicht 613 bei Bedarf ein Ätzstoppmaterial (nicht gezeigt) aufweist. Somit kann die Seitenwandabstandshalterstruktur 613a, 613b im Wesentlichen den gleichen Aufbau oder die gleiche Breite oder Dicke in dieser Fertigungsphase aufweisen. In der gezeigten Ausführungsform werden die Prozessparameter des Implantationsprozesses 621 geeignet ausgewählt, beispielsweise im Hinblick auf die Implantationsenergie, um die betrachtete Dotierstoffsorte in dem Gebiet 608b mit einer erforderlichen vertikalen Erstreckung einzubringen.
  • 6b zeigt schematisch das Halbleiterbauelement 600 in einer weiter fortgeschrittenen Fertigungsphase, in der ein Abscheideprozess 623 ausgeführt wird, in welchem das gleiche oder ein anderes Material als Material der Abstandshalterschicht 613 abgeschieden wird, um damit eine größere Breite der Abstandshalterstrukturen 613a, 613b zu erreichen, indem eine Abstandshalterschicht 613e vorgesehen wird. Nach dem Abscheideprozess 623 wird ein geeigneter Ätzprozess, etwa ein anisotroper selektiver Ätzprozess unter Anwendung gut etablierter Rezepte ausgeführt, um die Materialien der Schichten 613, 613e von horizontalen Bauteilbereichen zu entfernen, wobei bei Bedarf eine entsprechende Ätzstoppschicht (nicht gezeigt) für eine verbesserte Steuerung des Ätzprozesses 623 sorgen kann.
  • 6c zeigt schematisch das Halbleiterbauelement 600 nach der zuvor beschriebenen Prozesssequenz, woraus Abstandshalterstrukturen 613a, 613b mit einer erhöhten Abstandshalterbreite 613i resultieren, die so gewählt ist, dass diese mit den Erfordernissen für das erste Schaltungselement 600a während eines weiteren Implantationsprozesses 624 übereinstimmt, der auf der Grundlage einer Implantationsmaske 622 ausgeführt wird, die das zweite Schaltungselement 600b abdeckt. Somit wird ein entsprechendes Implantationsgebiet 608a auf Grundlage der Breites 613i gebildet, wodurch auch eine individuelle Anpassung im Hinblick auf das Bauelement 600a erreicht wird, ähnlich wie dies in den zuvor angegebenen Ausführungsformen beschrieben ist.
  • 6d zeigt schematisch das Halbleiterbauelement 600 gemäß weiterer anschaulicher Ausführungsformen, wobei die Abstandshalterstrukturen 613a, 613b auf der Grundlage gut etablierter Abstandshaltertechniken mit der Breite 613w gebildet sind, wodurch ein besseres Bauteilverhalten für das Schaltungselement 600b erreicht wird. D. h., das Implantationsgebiet 608b kann auf der Grundlage geeignet ausgewählter Implantationsparameter und der Breite 613w gebildet werden.
  • 6e zeigt schematisch das Bauelement 600 in einer weiter fortgeschrittenen Fertigungsphase, in der eine zusätzliche Abstandshalterschicht 613c vorgesehen ist, um damit die Größe der Abstandshalterbreite 613i in der ersten und der zweiten Abstandshalterstruktur 613a, 613b zu erhalten, wobei die Breite 613i so gewählt ist, dsas geeignete Implantationsbedingungen während eines nachfolgenden Implantationsprozesses zur Herstellung eines dotierten Gebiets in dem ersten Schaltungselement 600a geschaffen werden. Während des entsprechenden Implantationsprozesses kann die zusätzliche Abstandshalterschicht 613c unstrukturiert bleiben, wodurch eine Anpassung der Implantationsenergie erforderlich ist, um damit die Dicke der Schicht 613c im Hinblick auf die gewünschte Eindringtiefe in dem ersten Schaltungselement 600a zu berücksichtigen. In anderen anschaulichen Ausführungsformen wird die Abstandshalterschicht 613c strukturiert, beispielsweise auf der Grundlage eines anisotropen Ätzprozesses, um damit das Material davon im Wesentlichen von horizontalen Bauteilbereichen zu entfernen.
  • Es gilt also: die Verfahren und Halbleiterbauelement, die hierin offenbart sind, ermöglichen das individuelle Anpassen des Implantationseintrittpunkts, d. h. das Anpassen eines lateralen Abstands in Bezug auf Bauteilstrukturelemente, etwa Gateelektrodenstrukturen, Leitungen, Kondensatorelektrodenbereiche, und dergleichen, um damit das resultierende Dotierstoffprofil in einem aktiven Halbleitergebiet individuell zu verbessern. Zu diesem Zweck wird die Breite einer entsprechenden Abstandshalterstruktur oder die laterale Erstreckung eines entsprechenden Bauteilstrukturelements individuell in einer maskierten Implantationssequenz reduziert, wodurch auch die Gesamtprozesskomplexität verringert wird, wobei dennoch eine individuelle Anpassung der Dotierstoffprofile möglich ist. Beispielsweise können die Transistoreigenschaften in speziellen Bauteilgebieten auf der Grundlage eines Fertigungsablaufs angepasst werden, der ein hohes Maß an Kompatibilität mit konventionellen Techniken aufweist, indem beispielsweise die Breite einer Abstandshalterstruktur auf der Grundlage einer Implantationsmaske oder vor dem Vorsehen nachfolgender Implantationsmasken reduziert wird, wobei in einigen anschaulichen Aspekten mit Ausnahme des Modifizierungsprozesses für die Abstandshalter keine weiteren Prozesse erforderlich sind, wenn die Implantationsmasken ohnehin auf Grund unterschiedlicher Implantationsparameter, die in unterschiedlichen Bauteilgebieten erforderlich sind, vorzusehen sind. Auf diese Weise kann eine sehr lokale Anpassung von Abstandshalterstrukturen erreicht werden, beispielsweise in komplementären Transistorpaaren, wobei etwa die Abstandshalterbreite von n-Kanaltransistoren und p-Kanaltransistoren individuell eingestellt wird, während in anderen Fällen größere Bauteilbereiche unterschiedlich eingestellte Abstandshalterbreiten erhalten, während dennoch nicht nennenswert zur Gesamtprozesskomplexität beigetragen wird. Es sollte beachtet werden, dass in den zuvor beschriebenen Ausführungsformen auf zwei unterschiedliche Bauteilgebiete Bezug genommen wird, wobei die hierin offenbarten Prinzipien auch eine beliebige Anzahl unterschiedlicher Bauteilgebiete angewendet werden können, indem sequenziell die Abstandshalterbreite reduziert oder erhöht wird in Verbindung mit geeignet ausgewählten Maskierungsschemata. Folglich kann ein hohes Maß an Flexibilität bei der Anpassung des Leistungsverhaltens von Schaltungselementen erreicht werden, wobei in einigen anschaulichen Aspekten keine zusätzlichen Lithographieprozesse erforderlich sind, während in anderen Fällen zusätzliche Maskierungsschemata implementiert werden, wodurch die Freiheit beim Auswählen geeigneter Implantationsbedingungen erhöht wird, da zusätzlich zu unterschiedlichen Implantationsparametern eine variierende laterale Breite der jeweiligen Abstandshalter angewendet werden kann. Wenn die gleichen Implantationsparameter für Bauteilstrukturelemente mit grundsätzlich der gleichen Struktur angewendet werden, etwa für Transistoren der gleichen Leiffähigkeitsart, können unterschiedliche laterale Dotierstoffprofile auf Grund der individuelle angepassten Abstandshalterbreiten verwirklicht werden.
  • Weitere Modifizierungen und Variationen der vorliegenden Offenbarung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Offenbarung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (20)

  1. Verfahren mit: Bilden einer ersten Implantationsmaske über einem ersten Bauteilgebiet und einem zweiten Bauteilgebiet eines Halbleiterbauelements, wobei die erste Implantationsmaske das zweite Bauteilgebiet bedeckt und das zweite Bauteilgebiet freilässt; Ausführen eines ersten Implantationsprozesses auf der Grundlage einer ersten Parametereinstellung, um ein erstes Dotierstoffprofil lateral benachbart zu einem ersten Bauteilstrukturelement, das über dem ersten Bauteilgebiet gebildet ist, zu erzeugen; Bilden einer zweiten Implantationsmaske über dem ersten und dem zweiten Bauteilgebiet, wobei die zweite Implantationsmaske das erste Bauteilgebiet bedeckt und das zweite Bauteilgebiet freilässt; Reduzieren einer lateralen Erstreckung eines zweiten Bauteilstrukturelements, das über dem zweiten Bauteilgebiet ausgebildet ist, indem die zweite Implantationsmaske als eine Ätzmaske verwendet wird; und Ausführen eines zweiten Implantationsprozesses auf der Grundlage einer zweiten Parametereinstellung, um ein zweites Dotierstoffprofil lateral benachbart zu dem zweiten Bauteilstrukturelement zu erzeugen.
  2. Verfahren nach Anspruch 1, das ferner umfasst: Bilden des ersten und des zweiten Bauteilstrukturelements durch Bilden eines ersten Basisstrukturelements und eines zweiten Basisstrukturelements und einer ersten Seitenwandabstandshalterstruktur an Seitenwänden des ersten Basisstrukturelements und einer zweiten Seitenwandabstandshalterstruktur an Seitenwänden des zweiten Basisstrukturelements.
  3. Verfahren nach Anspruch 2, wobei Dotieren einer lateralen Erstreckung des zweiten Bauteilstrukturelements Dotieren einer Breite der zweiten Seitenwandabstandshalterstruktur umfasst.
  4. Verfahren nach Anspruch 2, wobei die erste und die zweite Seitenwandabstandshalterstruktur in einer gemeinsamen Fertigungssequenz hergestellt werden, so dass diese die gleiche Strukturbreite aufweisen.
  5. Verfahren nach Anspruch 1, wobei die erste und die zweite Parametereinstellung sich zumindest in einem Parameterwert voneinander unterscheiden.
  6. Verfahren nach Anspruch 1, wobei das erste und/oder das zweite Bauteilstrukturelement eine Gateelektrodenstruktur eines Feldeffekttransistors repräsentiert.
  7. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer dritten Implantationsmaske derart, dass das erste und das zweite Bauteilstrukturelement abgedeckt werden, während das andere Bauteilstrukturelement freiliegt, Reduzieren einer lateralen Erstreckung des anderen Bauteilstrukturelements unter Anwendung der dritten Implantationsmaske als eine Ätzmaske und Ausführen eines dritten Implantationsprozesses auf der Grundlage der dritten Implantationsmaske.
  8. Verfahren nach Anspruch 1, wobei das erste Bauteilstrukturelement eine Gateelektrodenstruktur eines ersten Feldeffekttransistors und das zweite Bauteilstrukturelement eine Gateelektrodenstruktur eines zweiten Feldeffekttransistors repräsentiert und wobei das Verfahren ferner umfasst: Bilden eines verformungsinduzierenden Halbleitermaterials in dem ersten und/oder dem zweiten Bauteilgebiet.
  9. Verfahren nach Anspruch 8, wobei das verformungsinduzierende Halbleitermaterial in dem zweiten Bauteilgebiet gebildet wird und eine reduzierte Diffusionsaktivität von Dotierstoffen im Vergleich zu Halbleitermaterial in dem ersten Bauteilgebiet hervorruft.
  10. Verfahren mit: Bilden eines ersten Bauteilstrukturelements und eines zweiten Bauteilstrukturelements; Bilden einer ersten Seitenwandabstandshalterstruktur an Seitenwänden des ersten Bauteilstrukturelements und einer zweiten Seitenwandabstandshalterstruktur an Seitenwänden des zweiten Bauteilstrukturelements; Bilden einer ersten Implantionsmaske, die ausgebildet ist, ein erstes Bauteilgebiet, das das erste Bauteilstrukturelement aufweist, freizulassen und ein zweites Bauteilgebiet, das das zweite Bauteilstrukturelement aufweist, abzudecken; Implantieren einer ersten Dotierstoffsorte in das erste Bauteilgebiet; Modifizieren einer Breite der zweiten Seitenwandabstandshalterstruktur; und Implantieren einer zweiten Dotierstoffsorte in das zweite Bauteilgebiet auf der Grundlage einer zweiten Implantationsmaske, die das erste Bauteilgebiet bedeckt und das zweite Bauteilgebiet freilässt.
  11. Verfahren nach Anspruch 10, wobei Modifizieren einer Breite der zweiten Seitenwandabstandshalterstruktur Reduzieren der Breite der zweiten Seitenwandabstandshalterstruktur umfasst.
  12. Verfahren nach Anspruch 10, wobei Modifizieren einer Breite der zweiten Seitenwandabstandshalterstruktur Vergrößern der Breite der zweiten Seitenwandabstandshalterstruktur umfasst.
  13. Verfahren nach Anspruch 12, wobei eine Breite der zweiten Seitenwandabstandshalterstruktur vor dem Bilden der zweiten Implantationsmaske modifiziert wird.
  14. Verfahren nach Anspruch 13, wobei eine Breite der zweiten Seitenwandabstandshalterstruktur nach dem Bilden der zweiten Implantationsmaske modifiziert wird.
  15. Verfahren nach Anspruch 12, wobei Vergrößern der Breite der zweiten Seitenwandabstandshalterstruktur Abscheiden einer Abstandshalterschicht über dem ersten und dem zweiten Bauteilgebiet umfasst.
  16. Verfahren nach Anspruch 15, wobei die zweite Implantationsmaske über der Abstandshalterschicht gebildet wird.
  17. Verfahren nach Anspruch 12, wobei Modifizieren einer Breite der zweiten Seitenwandabstandshalterstruktur und Implantieren der zweiten Dotierstoffsorte ausgeführt wird vor dem Bilden der ersten Implantationsmaske und Implantieren der ersten Dotierstoffsorte.
  18. Verfahren nach Anspruch 10, wobei das erste Bauteilstrukturelement eine Gateelektrodenstruktur eines ersten Feldeffekttransistors und das zweite Bauteilstrukturelement eine Gateelektrodenstruktur eines zweiten Feldeffekttransistors repräsentiert.
  19. Halbleiterbauelement mit: einer ersten Gateelektrodenstruktur mit einer ersten Seitenwandabstandshalterstruktur mit einer ersten Breite, wobei die erste Gateeelektrodenstruktur über einem ersten Halbleitergebiet, das erste Drain- und Source-Gebiete aufweist, ausgebildet ist; einer zweiten Gateelektrodenstruktur mit einer zweiten Seitenwandabstandshalterstruktur mit einer zweiten Breite, die sich von der ersten Breite unterscheidet, wobei die zweite Gateelektrodenstruktur über einem zweiten Halbleitergebiet, das zweite Drain- und Source-Gebiete aufweist, gebildet ist; und einer Halbleiterlegierung, die in dem zweiten Halbleitergebiet gebildet ist.
  20. Halbleiterbauelement nach Anspruch 19, wobei die Halbleiterlegierung eine Silizium/Germanium-Legierung und wobei die zweite Breite kleiner als die erste Breite ist.
DE102007052220.9A 2007-10-31 2007-10-31 Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation Active DE102007052220B4 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE102007052220.9A DE102007052220B4 (de) 2007-10-31 2007-10-31 Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation
US12/108,992 US7977179B2 (en) 2007-10-31 2008-04-24 Dopant profile tuning for MOS devices by adapting a spacer width prior to implantation
US13/152,350 US8507351B2 (en) 2007-10-31 2011-06-03 Dopant profile tuning for MOS devices by adapting a spacer width prior to implantation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102007052220.9A DE102007052220B4 (de) 2007-10-31 2007-10-31 Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation

Publications (2)

Publication Number Publication Date
DE102007052220A1 true DE102007052220A1 (de) 2009-05-07
DE102007052220B4 DE102007052220B4 (de) 2015-04-09

Family

ID=40514273

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102007052220.9A Active DE102007052220B4 (de) 2007-10-31 2007-10-31 Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation

Country Status (2)

Country Link
US (2) US7977179B2 (de)
DE (1) DE102007052220B4 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009021490A1 (de) * 2009-05-15 2010-12-02 Globalfoundries Dresden Module One Llc & Co. Kg Mehrschrittabscheidung eines Abstandshaltermaterials zur Reduzierung der Ausbildung von Hohlräumen in einem dielektrischen Material einer Kontaktebene eines Halbleiterbauelements
DE102018112378A1 (de) * 2018-05-23 2019-11-28 Infineon Technologies Ag Halbleiterbauelemente und Verfahren zum Bilden von Halbleiterbauelementen

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008030856B4 (de) * 2008-06-30 2015-12-03 Advanced Micro Devices, Inc. Verfahren zur Schwellwerteinstellung für MOS-Bauelemente
JP5463811B2 (ja) * 2009-09-09 2014-04-09 富士通セミコンダクター株式会社 半導体装置の製造方法
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8405160B2 (en) * 2010-05-26 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-strained source/drain structures
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8552503B2 (en) * 2010-11-30 2013-10-08 United Microelectronics Corp. Strained silicon structure
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8697557B2 (en) * 2011-06-07 2014-04-15 Globalfoundries Inc. Method of removing gate cap materials while protecting active area
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
KR101891373B1 (ko) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8822295B2 (en) * 2012-04-03 2014-09-02 International Business Machines Corporation Low extension dose implants in SRAM fabrication
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
WO2014071049A2 (en) 2012-10-31 2014-05-08 Suvolta, Inc. Dram-type device with low variation transistor peripheral circuits, and related methods
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8933528B2 (en) 2013-03-11 2015-01-13 International Business Machines Corporation Semiconductor fin isolation by a well trapping fin portion
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9177803B2 (en) * 2013-03-14 2015-11-03 Globalfoundries Inc. HK/MG process flows for P-type semiconductor devices
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US10096523B2 (en) * 2015-11-30 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structure and manufacturing method thereof
CN107731689B (zh) * 2016-08-12 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN115050631A (zh) * 2022-08-15 2022-09-13 合肥晶合集成电路股份有限公司 半导体器件及其制造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050190421A1 (en) * 2004-03-01 2005-09-01 Jian Chen Integrated circuit with multiple spacer insulating region widths
US20060118878A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS device with selectively formed and backfilled semiconductor substrate areas to improve device performance
US20060151776A1 (en) * 2005-01-11 2006-07-13 Fujitsu Limited Semiconductor integrated circuit and fabrication process thereof

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265253B1 (en) * 1999-05-05 2001-07-24 Advanced Micro Devices, Inc. Aluminum disposable spacer to reduce mask count in CMOS transistor formation
US6479350B1 (en) * 1999-08-18 2002-11-12 Advanced Micro Devices, Inc. Reduced masking step CMOS transistor formation using removable amorphous silicon sidewall spacers
US6696334B1 (en) * 2002-09-30 2004-02-24 Advanced Micro Devices, Inc. Method for formation of a differential offset spacer
US6806584B2 (en) * 2002-10-21 2004-10-19 International Business Machines Corporation Semiconductor device structure including multiple fets having different spacer widths
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US7348248B2 (en) * 2005-07-12 2008-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS transistor with high drive current and low sheet resistance
US20070184600A1 (en) * 2006-02-06 2007-08-09 Freescale Semiconductor Stressed-channel CMOS transistors
US7727870B2 (en) * 2007-04-19 2010-06-01 Freescale Semiconductor, Inc. Method of making a semiconductor device using a stressor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050190421A1 (en) * 2004-03-01 2005-09-01 Jian Chen Integrated circuit with multiple spacer insulating region widths
US20060118878A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS device with selectively formed and backfilled semiconductor substrate areas to improve device performance
US20060151776A1 (en) * 2005-01-11 2006-07-13 Fujitsu Limited Semiconductor integrated circuit and fabrication process thereof

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009021490A1 (de) * 2009-05-15 2010-12-02 Globalfoundries Dresden Module One Llc & Co. Kg Mehrschrittabscheidung eines Abstandshaltermaterials zur Reduzierung der Ausbildung von Hohlräumen in einem dielektrischen Material einer Kontaktebene eines Halbleiterbauelements
DE102009021490B4 (de) * 2009-05-15 2013-04-04 Globalfoundries Dresden Module One Llc & Co. Kg Mehrschrittabscheidung eines Abstandshaltermaterials zur Reduzierung der Ausbildung von Hohlräumen in einem dielektrischen Material einer Kontaktebene eines Halbleiterbauelements
US8987103B2 (en) 2009-05-15 2015-03-24 Globalfoundries Inc. Multi-step deposition of a spacer material for reducing void formation in a dielectric material of a contact level of a semiconductor device
DE102018112378A1 (de) * 2018-05-23 2019-11-28 Infineon Technologies Ag Halbleiterbauelemente und Verfahren zum Bilden von Halbleiterbauelementen
US11024502B2 (en) 2018-05-23 2021-06-01 Infineon Technologies Ag Semiconductor devices and methods for forming semiconductor devices

Also Published As

Publication number Publication date
US8507351B2 (en) 2013-08-13
US7977179B2 (en) 2011-07-12
US20110230039A1 (en) 2011-09-22
DE102007052220B4 (de) 2015-04-09
US20090108295A1 (en) 2009-04-30

Similar Documents

Publication Publication Date Title
DE102007052220B4 (de) Verfahren zur Dotierstoffprofileinstellung für MOS-Bauelemente durch Anpassen einer Abstandshalterbreite vor der Implantation
DE102008011814B4 (de) CMOS-Bauelement mit vergrabener isolierender Schicht und verformten Kanalgebieten sowie Verfahren zum Herstellen derselben
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE102008063427B4 (de) Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
DE102005030583B4 (de) Verfahren zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften eines Halbleiterbauelements und Halbleiterbauelement
DE102008026213B3 (de) Verfahren zur Durchlassstromerhöhung in Transistoren durch asymmetrische Amorphisierungsimplantation
DE102006051492B4 (de) Halbleiterbauelement mit NMOS- und PMOS-Transistoren mit eingebettetem Si/Ge-Material zum Erzeugen einer Zugverformung und einer Druckverformung und Verfahren zur Herstellung eines solchen Halbleiterbauelements
DE102009047306B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
DE102008030856B4 (de) Verfahren zur Schwellwerteinstellung für MOS-Bauelemente
DE102008011932B4 (de) Verfahren zur Erhöhung der Eindringtiefe von Drain- und Sourceimplantationssorten für eine gegebene Gatehöhe
DE102009010847B4 (de) Integration von Halbleiterlegierungen in PMOS- und NMOS-Transistoren unter Anwendung eines gemeinsamen Ätzprozesses für Aussparungen
DE102008049725B4 (de) CMOS-Bauelement mit NMOS-Transistoren und PMOS-Transistoren mit stärkeren verformungsinduzierenden Quellen und Metallsilizidgebieten mit geringem Abstand und Verfahren zur Herstellung des Bauelements
DE102008054075B4 (de) Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
DE102005009023A1 (de) Gateelektrodenstruktur und Transistor mit asymmetrischen Abstandselementen und Verfahren zum Herstellen derselben
DE102006019936B4 (de) Halbleiterbauelement mit unterschiedlich verspannten Ätzstoppschichten in Verbindung mit PN-Übergängen unterschiedlicher Gestaltung in unterschiedlichen Bauteilgebieten und Verfahren zur Herstellung des Halbleiterbauelements
DE102010001404B4 (de) Einstellung von Transistoreigenschaften auf der Grundlage einer späten Wannenimplantation
DE102005051994A1 (de) Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
DE102006019937A1 (de) SOI-Transistor mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers und ein Verfahren zur Herstellung des Transistors
DE102005057073A1 (de) Technik zur Verbesserung der mechanischen Spannungsübertragung in Kanalgebieten von NMOS- und PMOS-Transistoren
DE102007004862B4 (de) Verfahren zur Herstellung von Si-Ge enthaltenden Drain/Source-Gebieten in Transistoren mit geringerem Si/Ge-Verlust
DE102008007003B4 (de) Verfahren zum selektiven Erzeugen von Verformung in einem Transistor durch eine Verspannungsgedächtnistechnik ohne Hinzufügung weiterer Lithographieschritte
DE102009039521A1 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
DE102008016426B4 (de) Verfahren zum Erzeugen einer Zugverformung durch Anwenden von Verspannungsgedächtnistechniken in unmittelbarer Nähe zu der Gateelektrode
DE102010063293B3 (de) Verfahren zur Herstellung von Transistoren mit unterschiedlichen Source/Drain-Implantationsabstandshaltern
DE102008011813B4 (de) Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8128 New person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021823800

Ipc: H01L0021823400

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021823800

Ipc: H01L0021823400

Effective date: 20141110

R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE