DE102004039236A1 - System und Verfahren zum Lesen einer Speicherzelle - Google Patents

System und Verfahren zum Lesen einer Speicherzelle Download PDF

Info

Publication number
DE102004039236A1
DE102004039236A1 DE102004039236A DE102004039236A DE102004039236A1 DE 102004039236 A1 DE102004039236 A1 DE 102004039236A1 DE 102004039236 A DE102004039236 A DE 102004039236A DE 102004039236 A DE102004039236 A DE 102004039236A DE 102004039236 A1 DE102004039236 A1 DE 102004039236A1
Authority
DE
Germany
Prior art keywords
memory cell
voltage
coupled
node
state
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102004039236A
Other languages
English (en)
Other versions
DE102004039236B4 (de
Inventor
Frederick A. Palo Alto Perner
Kenneth K. Smith
Corbin L. Pullmann Champion
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Hewlett Packard Development Co LP
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hewlett Packard Development Co LP filed Critical Hewlett Packard Development Co LP
Publication of DE102004039236A1 publication Critical patent/DE102004039236A1/de
Application granted granted Critical
Publication of DE102004039236B4 publication Critical patent/DE102004039236B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/14Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using thin-film elements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B42BOOKBINDING; ALBUMS; FILES; SPECIAL PRINTED MATTER
    • B42DBOOKS; BOOK COVERS; LOOSE LEAVES; PRINTED MATTER CHARACTERISED BY IDENTIFICATION OR SECURITY FEATURES; PRINTED MATTER OF SPECIAL FORMAT OR STYLE NOT OTHERWISE PROVIDED FOR; DEVICES FOR USE THEREWITH AND NOT OTHERWISE PROVIDED FOR; MOVABLE-STRIP WRITING OR READING APPARATUS
    • B42D5/00Sheets united without binding to form pads or blocks
    • B42D5/04Calendar blocks
    • B42D5/042Diaries; Memorandum calendars
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B42BOOKBINDING; ALBUMS; FILES; SPECIAL PRINTED MATTER
    • B42DBOOKS; BOOK COVERS; LOOSE LEAVES; PRINTED MATTER CHARACTERISED BY IDENTIFICATION OR SECURITY FEATURES; PRINTED MATTER OF SPECIAL FORMAT OR STYLE NOT OTHERWISE PROVIDED FOR; DEVICES FOR USE THEREWITH AND NOT OTHERWISE PROVIDED FOR; MOVABLE-STRIP WRITING OR READING APPARATUS
    • B42D1/00Books or other bound products
    • B42D1/003Books or other bound products characterised by shape or material of the sheets
    • B42D1/006Books or other bound products characterised by shape or material of the sheets with at least one foldable or folded sheet
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/14Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using thin-film elements
    • G11C11/15Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using thin-film elements using multiple magnetic layers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B42BOOKBINDING; ALBUMS; FILES; SPECIAL PRINTED MATTER
    • B42DBOOKS; BOOK COVERS; LOOSE LEAVES; PRINTED MATTER CHARACTERISED BY IDENTIFICATION OR SECURITY FEATURES; PRINTED MATTER OF SPECIAL FORMAT OR STYLE NOT OTHERWISE PROVIDED FOR; DEVICES FOR USE THEREWITH AND NOT OTHERWISE PROVIDED FOR; MOVABLE-STRIP WRITING OR READING APPARATUS
    • B42D1/00Books or other bound products
    • B42D1/003Books or other bound products characterised by shape or material of the sheets
    • B42D1/004Perforated or punched sheets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B42BOOKBINDING; ALBUMS; FILES; SPECIAL PRINTED MATTER
    • B42DBOOKS; BOOK COVERS; LOOSE LEAVES; PRINTED MATTER CHARACTERISED BY IDENTIFICATION OR SECURITY FEATURES; PRINTED MATTER OF SPECIAL FORMAT OR STYLE NOT OTHERWISE PROVIDED FOR; DEVICES FOR USE THEREWITH AND NOT OTHERWISE PROVIDED FOR; MOVABLE-STRIP WRITING OR READING APPARATUS
    • B42D3/00Book covers
    • B42D3/10Book covers with locks or closures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B42BOOKBINDING; ALBUMS; FILES; SPECIAL PRINTED MATTER
    • B42FSHEETS TEMPORARILY ATTACHED TOGETHER; FILING APPLIANCES; FILE CARDS; INDEXING
    • B42F13/00Filing appliances with means for engaging perforations or slots
    • B42F13/16Filing appliances with means for engaging perforations or slots with claws or rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Business, Economics & Management (AREA)
  • Educational Administration (AREA)
  • Educational Technology (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)

Abstract

Ein Verfahren zum Durchführen einer Leseoperation von einer ersten magnetischen Direktzugriffsspeicher- (MRAM-) Zelle in einer Speicherzellenfolge, die die erste MRAM-Zelle umfasst, die mit einer zweiten MRAM-Zelle gekoppelt ist. Das Verfahren umfasst ein Bereitstellen einer Spannung an ein erstes Ende der ersten Speicherzellenfolge, das am nächsten an der ersten MRAM-Zelle ist, ein Bereitstellen einer Massequelle an ein zweites Ende der ersten Speicherzellenfolge, das gegenüberliegend von dem ersten Ende ist, und ein Bestimmen, ob eine Spannungsänderung an einem Knoten zwischen der ersten und der zweiten MRAM-Zelle ansprechend auf ein Anlegen eines Schreiberfassungsstroms an die erste MRAM-Zelle aufgetreten ist.

Description

  • Ein Magnet-Direktzugriffsspeicher („MRAM") ist ein nichtflüchtiger Speicher, der zur Langzeitdatenspeicherung geeignet sein kann. MRAM-Vorrichtungen können Lese- und Schreiboperationen schneller als herkömmliche Langzeitspeichervorrichtungen, wie z. B. Festplattenlaufwerke, durchführen. Zusätzlich können MRAM-Vorrichtungen kompakter sein und verbrauchen unter Umständen weniger Leistung als herkömmliche Speichervorrichtungen.
  • Eine typische MRAM-Vorrichtung kann ein Array von Speicherzellen umfassen, bei dem sich Wortleitungen entlang Zeilen der Speicherzellen erstrecken und Bitleitungen entlang Spalten der Speicherzellen erstrecken. Jede Speicherzelle kann sich an einem Kreuzungspunkt einer Wortleitung und einer Bitleitung befinden.
  • Eine Speicherzelle in einer MRAM-Vorrichtung speichert ein Bit Informationen gemäß einer Ausrichtung einer Magnetisierung. Die Magnetisierung einer Speicherzelle nimmt zu einer bestimmten Zeit eine von zwei stabilen Ausrichtungen an. Diese beiden Ausrichtungen sind als parallel und antiparallel bekannt und stellen Logikpegelwerte von „0" bzw. „1" dar.
  • Die Magnetisierungsausrichtung beeinflusst den Widerstandswert einer Speicherzelle, wie z. B. einer Spin-abhängigen Tunnelübergangsvorrichtung. Der Widerstandswert einer Speicherzelle ist z. B. ein erster Wert R, wenn die Magnetisierungsausrichtung parallel ist, wobei der Widerstandswert der Speicherzelle auf einen zweiten Wert (R + ΔR) erhöht wird, wenn die Magnetisierungsausrichtung von parallel zu antiparallel verändert wird. Die Magnetisierungsausrichtung einer ausgewählten Speicherzelle und deshalb der Logikzu stand der Speicherzelle können durch ein Bestimmen des Widerstandszustands der ausgewählten Speicherzelle gelesen werden.
  • Eine der Herausforderungen bei MRAM-Vorrichtungen beinhaltet ein elektrisches Trennen der Schaltungen, die die Speicherzellen aufweisen, während ein ausreichender Pegel an Packdichte beibehalten wird. Obwohl zusätzliche Komponenten, wie z. B. Transistoren, verwendet werden können, um die Trennung von Speicherzellen zu erhöhen, resultiert eine Erhöhung der Anzahl von Komponenten üblicherweise in einem Rückgang der Packdichte der Speicherzellen, d. h. der Anzahl von Speicherzellen pro gegebener Fläche, wobei ein Rückgang der Packdichte im allgemeinen zu erhöhten Kosten führt. Es wäre wünschenswert, in der Lage zu sein, Packdichten zu erhöhen, während die elektrische Trennung von Speicherzellen erhöht wird und während die Leseleistung des MRAM-Speichers verbessert wird.
  • Es ist die Aufgabe der vorliegenden Erfindung, ein Verfahren zum Durchführen einer Leseoperation oder ein System mit verbesserten Charakteristika zu schaffen.
  • Diese Aufgabe wird durch ein Verfahren gemäß Anspruch 1 oder 18 oder ein System gemäß Anspruch 7 gelöst.
  • Bei einem exemplarischen Ausführungsbeispiel liefert die vorliegende Offenbarung ein Verfahren zum Durchführen einer Leseoperation von einer ersten magnetischen Direktzugriffsspeicher- (MRAM-) Zelle in einer Speicherzellenfolge, die die erste MRAM-Zelle umfasst, die mit einer zweiten MRAM-Zelle gekoppelt ist. Das Verfahren umfasst ein Bereitstellen einer Spannung an ein erstes Ende der ersten Speicherzellenfolge, das am nächsten an der ersten MRAM-Zelle ist, ein Bereitstellen einer Massequelle an ein zweites Ende der ersten Speicherzellenfolge, das dem ersten Ende gegenüberliegt, und ein Bestimmen, ob eine Spannungsveränderung an einem Knoten zwischen der ersten und der zweiten MRAM- Speicherzelle ansprechend auf das Anlegen eines Schreiberfassungsstroms an die erste MRAM-Zelle aufgetreten ist.
  • Bevorzugte Ausführungsbeispiele der vorliegenden Erfindung werden nachfolgend Bezug nehmend auf die beigefügten Zeichnungen näher erläutert, wobei die Elemente der Zeichnungen nicht notwendigerweise maßstabsgetreu zueinander sind, und wobei gleiche Bezugszeichen entsprechende ähnliche Teile bezeichnen. Es zeigen:
  • 1 ein Diagramm, das ein Ausführungsbeispiel einer Datenspeichervorrichtung darstellt, die Speicherzellenfolgen umfasst;
  • 2a ein Diagramm, das ein Ausführungsbeispiel einer parallelen Magnetisierungsausrichtung einer MRAM-Speicherzelle darstellt;
  • 2b ein Diagramm, das ein Ausführungsbeispiel einer antiparallelen Magnetisierungsausrichtung einer MRAM-Speicherzelle darstellt;
  • 3 ein Diagramm, das ein Ausführungsbeispiel eines ersten Systems zum Lesen einer Speicherzelle in einer Speicherzellenfolge darstellt;
  • 4 ein Flussdiagramm, das ein Ausführungsbeispiel eines ersten Verfahrens zum Lesen einer Speicherzelle in einer Speicherzellenfolge darstellt;
  • 5 ein Diagramm, das ein Ausführungsbeispiel eines zweiten Systems zum Lesen einer Speicherzelle in einer Speicherzellenfolge darstellt;
  • 6 ein Flussdiagramm, das ein Ausführungsbeispiel eines zweiten Verfahrens zum Lesen einer Speicherzelle in einer Speicherzellenfolge darstellt;
  • 7 ein Diagramm, das ein Ausführungsbeispiel einer MRAM-Vorrichtung darstellt, die mehrere Ebenen umfasst;
  • 8 ein Diagramm, das ein Ausführungsbeispiel eines Systems darstellt, das eine oder mehrere MRAM-Vorrichtungen umfasst;
  • 9a ein Diagramm, das ein Ausführungsbeispiel einer MRAM-Speicherzelle in einem ersten Zustand darstellt;
  • 9b ein erstes Diagramm, das das Anlegen eines Schreiberfassungsstroms an die in 9a gezeigte MRAM-Speicherzelle darstellt;
  • 9c ein Diagramm, das ein Ausführungsbeispiel einer MRAM-Speicherzelle in einem zweiten Zustand darstellt;
  • 9d ein erstes Diagramm, das das Anlegen eines Schreiberfassungsstroms an die in 9c gezeigte MRAM-Speicherzelle darstellt;
  • 10 ein Flussdiagramm, das ein Ausführungsbeispiel eines dritten Verfahrens zum Lesen einer Speicherzelle in einer Speicherzellenfolge darstellt; und
  • 11 ein Flussdiagramm, das ein Ausführungsbeispiel eines vierten Verfahrens zum Lesen einer Speicherzelle in einer Speicherzellenfolge darstellt.
  • Wie in den Figuren zu Darstellungszwecken gezeigt ist, ist die vorliegende Erfindung in einer MRAM-Vorrichtung ausgeführt. Bei einem Ausführungsbeispiel umfasst die MRAM-Vorrichtung ein Array von Speicherzellen und einen Schaltungsaufbau zum zuverlässigen Erfassen von Widerstandszu ständen der Speicherzellen. Das Array von Speicherzellen ist in Speicherzellenfolgen unterteilt, wie hierin beschrieben wird. Um eine Speicherzelle in einer Speicherzellenfolge zu lesen, wird die Ausgabe einer Spannungsteilerschaltung bestimmt, bevor und nachdem die Speicherzelle unter Verwendung einer Spannung in einen ersten Zustand geschrieben wird. Wenn die Spannungsteilerausgabe sich nach einem Schreiben in den ersten Zustand nicht verändert, war die Speicherzelle vor dem Schreiben in den ersten Zustand in dem ersten Zustand. Wenn die Spannungsteilerausgabe sich nach dem Schreiben in den ersten Zustand verändert, war die Speicherzelle vor einem Schreiben in den ersten Zustand in einem zweiten Zustand. In diesem Fall, wird die Speicherzelle in den zweiten Zustand rückgeschrieben.
  • Bei einem weiteren Ausführungsbeispiel umfasst die MRAM-Vorrichtung ein Array von Speicherzellen und einen Schaltungsaufbau zum zuverlässigen Erfassen von Widerstandszuständen der Speicherzellen. Das Array von Speicherzellen ist in Speicherzellenfolgen unterteilt, wie hierin beschrieben ist. Um eine Speicherzelle in einer Speicherzellenfolge zu lesen, wird die Ausgabe einer Spannungsteilerschaltung bestimmt, bevor und nachdem ein Schreiberfassungsstrom, wie unten beschrieben ist, über die Speicherzelle angelegt wird. Wenn die Spannungsteilerausgabe sich nicht verändert, nachdem der Schreibstrom angelegt wurde, befindet sich die Speicherzelle in einem ersten Zustand. Wenn sich die Spannungsteilerausgabe verändert, nachdem der Schreiberfassungsstrom angelegt wurde, befindet sich die Speicherzelle in einem zweiten Zustand.
  • Im folgenden wird Bezug auf 1 genommen, die ein Ausführungsbeispiel einer MRAM-Vorrichtung 8 darstellt, die ein Array 10 von Speicherzellenfolgen 12 umfasst. Jede Speicherzellenfolge umfasst eine Mehrzahl von Speicherzellen, wie in den Ausführungsbeispielen der 3 und 5 gezeigt ist. Die Speicherzellenfolgen 12 sind in Zeilen und Spalten angeordnet, wobei die Zeilen sich entlang einer x- Richtung erstrecken und die Spalten sich entlang einer y-Richtung erstrecken. Nur eine relativ kleine Anzahl von Speicherzellenfolgen 12 ist gezeigt, um die Beschreibung der Erfindung zu vereinfachen. In der Praxis können Arrays jeder Größe mit jeder Anzahl von Speicherzellenfolgen verwendet werden. Die Speicherzellenfolgen können jeweils jede Anzahl von Speicherzellen größer oder gleich zwei umfassen.
  • Leiterbahnen, die als Wortleitungen 14 fungieren, erstrecken sich entlang der x-Richtung in einer Ebene auf einer Seite des Speicherzellarrays 10. Die Wortleitungen 14 aus 1 stellen eine Wortleitung für jede Speicherzelle in den Speicherzellenfolgen 12 dar. Leiterbahnen, die als Schreib- bzw. Lesebitleitung 16w und 16r fungieren, erstrecken sich entlang der y-Richtung in einer Ebene an einer gegenüberliegenden Seite des Speicherzellarrays 10. Jede Speicherzelle in den Speicherzellenfolgen 12 befindet sich an einem Kreuzungspunkt einer entsprechenden Wortleitung 14 und Bitleitungen 16w und 16r.
  • Die Speicherzellen sind auf keinen bestimmten Typ von Vorrichtung eingeschränkt. Die Speicherzellen können z. B. spinabhängige Tunnel- („SDT"-) Übergangsvorrichtungen sein.
  • Bezug nehmend auf die 2a und 2b umfasst eine SDT-Übergangsvorrichtung eine fixierte Schicht 52, die eine Magnetisierung aufweist, die in einer Ebene der fixierten Schicht 52 ausgerichtet ist, jedoch fest ist, um sich bei Vorliegen eines angelegten Magnetfeldes in einem Bereich von Interesse nicht zu drehen. Die SDT-Übergangsvorrichtung umfasst außerdem eine „freie" Schicht 50, die eine Magnetisierungsausrichtung aufweist, die nicht fixiert ist. Vielmehr kann die Magnetisierung in einer von zwei Richtungen entlang einer Achse (der „Vorzugs"-Achse), die in der Ebene der freien Schicht 50 liegt, ausgerichtet sein. Wenn die Magnetisierung der freien und der fixierten Schicht 50 und 52 in der gleichen Richtung ist, spricht man von einer „parallelen" Ausrichtung (wie in 2a durch die Pfeile angezeigt ist). Wenn die Magnetisierung der freien und der fixierten Schicht 50 und 52 in entgegengesetzten Richtungen ist, spricht man von einer „antiparallelen" Ausrichtung (wie in 2b durch die Pfeile angezeigt ist). Die Magnetisierung in der freien Schicht 50 kann durch ein Anlegen von Schreibströmen an Wort- und Bitleitungen 14 und 16, die die Speicherzelle kreuzen, ausgerichtet werden.
  • Die freie und die fixierte Schicht 50 und 52 sind durch eine Isolierungstunnelbarriere 51 getrennt. Die Isolierungstunnelbarriere 51 erlaubt eine quantenmechanische Tunnelbildung zwischen der freien und der fixierten Schicht 50 und 52. Dieses Tunnelbildungsphänomen ist elektronenspinabhängig, was den Widerstandswert der SDT-Übergangsvorrichtung 12 zu einer Funktion der relativen Ausrichtungen der Magnetisierung der freien und der fixierten Schicht 50 und 52 macht. Der Widerstandswert der SDT-Übergangsvorrichtung ist z. B. ein erster Wert R, wenn die Ausrichtung der Magnetisierung der freien und der fixierten Schicht 50 und 52 parallel ist, und ein zweiter Wert (R + ΔR), wenn die Ausrichtung der Magnetisierung antiparallel ist.
  • Bezug nehmend auf 1 umfasst die MRAM-Vorrichtung 8 außerdem eine Zeilendecodierschaltung 18. Während Schreiboperationen legt die Zeilendecodierschaltung 18 einen Schreibstrom an eine ausgewählte Wortleitung 14 an, um zu bewirken, dass eine Speicherzelle in einen erwünschten Zustand geschrieben wird. Während Leseoperationen legt die Zeilendecodierschaltung 18 einen Schreibstrom an eine ausgewählte Wortleitung 14 an, um zu bewirken, dass eine Speicherzelle in einen bekannten Zustand geschrieben wird, und kann einen Schreibstrom an die ausgewählte Wortleitung 14 anlegen, um zu bewirken, dass die Speicherzelle in einen vorherigen Zustand geschrieben wird. Bei einem weiteren Ausführungsbeispiel, das unten Bezug nehmend auf die 9a9d, 10 und 11 beschrieben ist, legt die Zeilendecodierschaltung 18 einen Schreiberfassungsstrom an eine aus gewählte Wortleitung 14 an, um zu bewirken, dass eine Referenzschicht einer Speicherzelle während Leseoperationen in einen bekannten Zustand gesetzt wird.
  • Die MRAM-Vorrichtung 8 umfasst ferner eine Spaltendecodierschaltung 20. Während Schreiboperationen legt die Spaltendecodierschaltung 20 einen Schreibstrom an ausgewählte Bitleitungen 16w an. Während Leseoperationen legt die Spaltendecodierschaltung 20 einen Schreibstrom an eine ausgewählte Bitleitung 16w an, um zu bewirken, dass eine Speicherzelle in einen bekannten Zustand geschrieben wird, und kann einen Schreibstrom an die ausgewählte Bitleitung 16w anlegen, um zu bewirken, dass die Speicherzelle in einen vorherigen Zustand geschrieben wird. Ebenso während Leseoperationen wählt die Spaltendecodierschaltung 20 eine Speicherzellenfolge 12 aus und verbindet die Speicherzellenfolge 12 über die Bitleitung 16r unter Verwendung einer Lenkschaltung 24 mit einer Erfassungsschaltung 26. Bei dem unten Bezug nehmend auf die 9a9d, 10 und 11 beschriebenen Ausführungsbeispiel kann die Spaltendecodierschaltung 20 auch während Leseoperationen einen Schreiberfassungsstrom an die ausgewählten Bitleitungen 16 anlegen. Die Spaltendecodierschaltung 20 wählt außerdem eine Speicherzellenfolge 12 aus und verbindet die Speicherzellenfolge 12 unter Verwendung der Lenkschaltung 24 über eine Bitleitung 16r mit der Erfassungsschaltung 26.
  • Die MRAM-Vorrichtung 8 umfasst ferner eine Leseschaltung 22 zum Erfassen des Widerstandswerts ausgewählter Speicherzellen während Leseoperationen und eine Schreibschaltung (nicht gezeigt) zum Ausrichten der Magnetisierung ausgewählter Speicherzellen während Schreiboperationen.
  • Die Leseschaltung 22 umfasst eine Mehrzahl von Lenkschaltungen 24 und Leseverstärkern 26. Mehrere Bitleitungen 16 sind mit jeder Lenkschaltung 24 verbunden. Jede Lenkschaltung 24 umfasst einen Satz von Schaltern, die eine ausgewählte Bitleitung 16r und eine ausgewählte Speicherzellen folge 12 mit einem Leseverstärker 26 verbinden. Eine Ausgabe des Leseverstärkers 26 wird an ein Datenregister 30 geliefert, das wiederum mit einer I/O-Anschlussfläche 32 der MRAM-Vorrichtung 8 gekoppelt ist. Wenn die MRAM-Vorrichtung 8 mehrere Ebenen von Speicherzellarrays (siehe z. B. 7) aufweist, können Bitleitungen 16r und Speicherzellenfolgen 12 aus den zusätzlichen Ebenen in die Leseverstärker 26 multiplexiert werden.
  • Eine Steuerschaltung 34 liefert Steuersignale, wie z. B. Zeitgebungssignale, an die Zeilendecodierschaltung 18, die Spaltendecodierschaltung 20 und die Leseschaltung 22.
  • 3 stellt ein Ausführungsbeispiel eines Systems 60 zum Lesen einer Speicherzelle 70 in einer Speicherzellenfolge 12 dar. Das System 60 umfasst ein Ausführungsbeispiel einer Speicherzellenfolge 12 und ein Ausführungsbeispiel eines Leseverstärkers 26. Die Speicherzellenfolge 12 ist mit einem Transistor 72 gekoppelt, der Transistor ist mit einer Bitleitung 16r und einer Lenkschaltung 24 gekoppelt und die Lenkschaltung 24 ist mit der Bitleitung 16r und einem Leseverstärker 26 gekoppelt.
  • Die Speicherzellenfolge 12 umfasst Speicherzellen 70a, 70b, 70c und 70d, dargestellt durch Widerstände, die in Serie geschaltet sind. Wortleitungen 14a, 14b, 14c und 14d werden verwendet, um die Speicherzellen 70a, 70b, 70c bzw. 70d in Verbindung mit der Bitleitung 16w zu beschreiben. Eine Spannungsquelle, VCC, ist mit einem Ende der Speicherzellenfolge 12 gekoppelt und das andere Ende der Speicherzellenfolge 12 ist mit einer Massequelle gekoppelt. Der Wert von VCC kann gleich der Lese- bzw. Erfassungsspannung mal der Anzahl von Speicherzellen 70 in der Speicherzellenfolge 12 gesetzt werden. Wenn die Lesespannung 0,5 ist, kann VCC für das Ausführungsbeispiel aus 3 z. B. auf 0,5 V mal 4 oder 2,0 V eingestellt werden. Die Spannung VCC nicht ausgewählter Speicherzellenfolgen 12 wird auf das Massepotential eingestellt. Die Spannungsquelle liefert eine Span nung über die Speicherzellenfolge 12 ansprechend auf Steuersignale, die von einer Zeilendecodierschaltung 18, einer Spaltendecodierschaltung 20 und/oder einer Steuerschaltung 34 empfangen werden. Insbesondere liefert die Spannungsquelle eine Spannung an die Speicherzellenfolge 12 ansprechend auf eine Leseoperation, um es zu ermöglichen, dass eine oder mehrere der Speicherzellen 70a, 70b, 70c und 70d gelesen werden.
  • Bei dem Ausführungsbeispiel aus 3 ist die Gate-Verbindung des Transistors 72 mit dem Knoten zwischen den Speicherzellen 70b und 70c gekoppelt, die Drain-Verbindung des Transistors 72 ist mit einer Spannungsquelle VDD gekoppelt und die Source-Verbindung des Transistors 72 ist mit der Bitleitung 16r gekoppelt. Die Speicherzellen 70a, 70b, 70c und 70d bilden einen Spannungsteiler und ein Knoten VG bildet einen Spannungsabgriff in der Speicherzellenfolge 12. Der Transistor 72 wird als ein Source-Folger betrieben, um die an der Gateverbindung vorliegende Spannung VG der Source-Verbindung ansprechend auf die Spannung VCC, die an die Speicherzellenfolge 12 angelegt wird, zu zeigen. Folglich bewirkt der Transistor 72, dass die Spannung, die an dem Knoten zwischen den Speicherzellen 70b und 70c vorhanden ist, auf der Bitleitung 16r widergespiegelt wird.
  • Jede Speicherzellenfolge 12 in dem Array 10 ist mit einem Transistor 72 gekoppelt, der mit einer Bitleitung 16r gekoppelt ist. Jeder Transistor 72 kann sich physisch nahe an der Speicherzellenfolge 12, mit der derselbe gekoppelt ist, befinden. Durch ein Anlegen einer Spannung VCC an eine ausgewählte Speicherzellenfolge 12, die mit einer Bitleitung 16r gekoppelt ist, bewirkt die Zeilendecodierschaltung 18, dass die Spannung VC von der ausgewählten Speicherzellenfolge 12 auf die Bitleitung 16r widergespiegelt wird, wie unten detaillierter beschrieben ist. Eine Spannung VCC wird während der Zeit, zu der die Spannung VG von der ausgewählten Speicherzellenfolge 12 auf der Bitleitung 16r widerge spiegelt wird, nicht an die weiteren Speicherzellenfolgen 12, die mit der Bitleitung 16r gekoppelt sind, angelegt.
  • Jede Lenkschaltung 24 ist mit 4 bis 256 Bitleitungen 16r gekoppelt. Folglich ist die Lenkschaltung 24 mit der Source-Verbindung des Transistors 72 für jede Bitleitung 16r gekoppelt. Die Lenkschaltung 24 liefert die Spannung von einer ausgewählten Bitleitung 16r, die wiederum über den Spannungsfolgertransistor 72 mit der Speicherzellenfolge 12 gekoppelt ist, an den Leseverstärker 26.
  • Der Leseverstärker 26 ist mit der Bitleitung 16r, einem Knoten zwischen den Speicherzellen 70b und 70c durch den Widerstand 72 und der Lenkschaltung 26 gekoppelt. Der Leseverstärker 26 umfasst eine Schalterschaltung 74, einen Kondensator 76, einen Differenzverstärker 78 und einen Signalverstärker 80.
  • Die Schalterschaltung 74 ist konfiguriert, um selektiv die Bitleitung 16r ansprechend auf ein Steuersignal mit einem der Eingänge des Differenzverstärkers 78 zu koppeln. Das Steuersignal bewirkt, dass die Schalterschaltung 74 die Bitleitung 16r mit dem positiven Eingang des Differenzverstärkers 78 koppelt, um eine erste Spannung V1 zu einer ersten Zeit zu übertragen. Der Kondensator 76 speichert die erste Spannung für eine eingeschränkte Zeit. Zu einer zweiten Zeit bewirkt das Steuersignal, dass die Schalterschaltung 74 die Bitleitung 16r mit dem negativen Eingang des Differenzverstärkers 78 koppelt, um eine zweite Spannung V2 zu übertragen.
  • Ansprechend auf die erste und die zweite Spannung erzeugt der Differenzverstärker 78 eine Differenzausgangsspannung VDIFF, die an den Signalverstärker 80 geliefert wird. Der Signalverstärker 80 verstärkt die Differenzausgangsspannung zu einer Logikausgangsspannung VOUT, die als ein logischer Pegel, d. h. eine „0" oder eine „1", gespeichert werden kann.
  • 4 ist ein Flussdiagramm, das ein Ausführungsbeispiel eines Verfahrens zum Lesen einer Speicherzelle in dem Ausführungsbeispiel der Speicherzellenfolge 12 aus 3 darstellt. In 4 wird eine Spannung VCC an die Speicherzellenfolge 12 geliefert, wie in einem Block 402 angezeigt ist. Eine erste Spannung wird an einem Knoten in der Speicherzellenfolge 12 erfasst, wie in einem Block 404 angezeigt ist. Insbesondere bewirken der Transistor 72 und die Schaltschaltung 74, dass die Spannung, die an dem Knoten VC zwischen den Speicherzellen 70b und 70c zu einem ersten Zeitpunkt vorhanden ist, erfasst und auf dem Kondensator 76 gespeichert wird, wie oben beschrieben ist.
  • Eine ausgewählte Speicherzelle in der Speicherzellenfolge 12, z. B. die Speicherzelle 70b, wird in einen ersten Zustand geschrieben, wie in einem Block 406 angezeigt ist. Bei einem Ausführungsbeispiel kann der erste Zustand antiparallel sein, um einen Logikpegel von „1" darzustellen. Bei weiteren Ausführungsbeispielen kann der erste Zustand parallel sein, um einen Logikpegel „0" darzustellen.
  • Eine zweite Spannung wird an einem Knoten in der Speicherzellenfolge 12 erfasst, wie in einem Block 408 angezeigt ist. Insbesondere bewirken der Transistor 72 und die Schaltschaltung 74, dass die Spannung, die an dem Knoten VG zwischen den Speicherzellen 70b und 70c zu einem zweiten Zeitpunkt vorhanden ist, erfasst wird und an den negativen Eingang des Differenzverstärkers 78 geliefert wird. Die zweite Spannung wird erfasst, nachdem die ausgewählte Speicherzelle, z. B. die Speicherzelle 70b, in den ersten Zustand geschrieben wurde.
  • Eine Bestimmung wird durch den Leseverstärker 26 durchgeführt, ob sich die erste Spannung von der zweiten Spannung unterscheidet, wie in einem Block 410 angezeigt ist. Die Bestimmung wird unter Verwendung des Differenzverstärkers 78 und des Signalverstärkers 80 durchgeführt. Wenn die erste Spannung sich nicht von der zweiten Spannung unterscheidet, wird ein erster Logikpegel, der dem ersten Zustand zugeordnet ist, aus der ausgewählten Speicherzelle, z. B. der Speicherzelle 70b, ausgelesen, wie in einem Block 412 angezeigt ist. Wenn sich die erste Spannung von der zweiten Spannung unterscheidet, wird ein zweiter Logikpegel, der dem zweiten Zustand zugeordnet ist, auf der ausgewählten Speicherzelle, z. B. der Speicherzelle 70b, ausgelesen, wie in einem Block 414 angezeigt ist. Zusätzlich wird die ausgewählte Speicherzelle, z. B. die Speicherzelle 70b, in den zweiten Zustand geschrieben, wie in einem Block 416 angezeigt ist.
  • Der Leseverstärker 26 bewirkt, dass der erste oder der zweite Logikpegel aus der ausgewählten Speicherzelle ausgelesen wird, indem bewirkt wird, dass eine „1" oder eine „0" in dem Register 30 gespeichert und an die I/O-Anschlussfläche 32 geliefert wird.
  • Wie oben angemerkt wurde, bewirken der parallele Zustand und der antiparallele Zustand, dass unterschiedliche Widerstandswerte über eine Speicherzelle gemessen werden. Durch ein Anlegen einer Spannung über die Speicherzellenfolge 12 kann eine Spannung an einem Knoten zwischen Speicherzellen in der Folge erfasst werden, bevor und nachdem eine ausgewählte Speicherzelle in einen bekannten Zustand geschrieben wird. Wenn der bekannte Zustand mit dem vorherigen Zustand der ausgewählten Speicherzelle übereinstimmt, ändert sich der Widerstandswert der Zelle nicht und die Spannung, die an dem Knoten nach dem Schreiben erfasst wird, ist in etwa die gleiche wie die Spannung, die an dem Knoten vor dem Schreiben erfasst wird. Wenn der bekannte Zustand nicht mit dem vorherigen Zustand der ausgewählten Speicherzelle übereinstimmt, verändert sich der Widerstandswert der Zelle und die Spannung, die an dem Knoten nach dem Schreiben erfasst wird, unterscheidet sich von der Spannung, die an dem Knoten vor dem Schreiben erfasst wird. Auf diese Weise fungiert die Speicherzellenfolge 12 wirksam als eine Spannungsteilerschaltung.
  • Wenn das Schreiben in Block 406 bewirkt hat, dass sich der Zustand der ausgewählten Speicherzelle verändert, wird die Speicherzelle in ihren ursprünglichen Zustand überschrieben, wie durch Block 416 dargestellt ist. Wenn das Schreiben in Block 406 nicht bewirkt hat, dass sich der Zustand der ausgewählten Speicherzelle verändert, bleibt die Speicherzelle in ihrem ursprünglichen Zustand und muss nicht überschrieben werden.
  • Die Steuerschaltung 34 liefert geeignete Zeitgebungssignale an die Zeilendecodierschaltung 18, die Schreibdecodierschaltung 20, die Leseschaltung 22, die Lenkschaltung 24 und den Leseverstärker 26, um es zu ermöglichen, dass die in 4 gezeigten Funktionen des Verfahrens durchgeführt werden können.
  • Jede der Speicherzellen 70a, 70b, 70c und 70d kann unter Verwendung des gerade beschriebenen Verfahrens gelesen werden. Obwohl vier Speicherzellen in der Speicherzellenfolge aus 3 gezeigt sind, können andere Speicherzellenfolgen andere Anzahlen von Speicherzellen umfassen, die in Serie geschaltet sind.
  • Obwohl die Gate-Verbindung des Transistors 72 bei dem in 3 gezeigten Ausführungsbeispiel mit dem Knoten zwischen den Speicherzellen 70b und 70c gekoppelt ist, kann die Gate-Verbindung bei anderen Ausführungsbeispielen mit einem Knoten zwischen anderen Speicherzellen, wie z. B. dem Knoten zwischen den Speicherzellen 70a und 70b oder dem Knoten zwischen den Speicherzellen 70c und 70d, gekoppelt sein.
  • Zusätzlich kann die Source-Verbindung des Transistors 72 bei anderen Ausführungsbeispielen mit dem Knoten zwischen den Speicherzellen 70b und 70c gekoppelt sein, die Gate- Verbindung des Transistors 72 kann mit einer Spannungsquelle gekoppelt sein und die Drain-Verbindung des Transistors 72 kann mit der Bitleitung 16 gekoppelt sein. Alternativ kann bei weiteren Ausführungsbeispielen die Drain-Verbindung des Transistors 72 mit dem Knoten zwischen den Speicherzellen 70b und 70c gekoppelt sein, die Gate-Verbindung des Transistors 72 kann mit einer Spannungsquelle gekoppelt sein und die Source-Verbindung des Transistors 72 kann bei weiteren Ausführungsbeispielen mit der Bitleitung 16 gekoppelt sein.
  • Der Transistor 72 weist einen Spannungsfolgertransistor auf. Bei weiteren Ausführungsbeispielen kann der Transistor 72 ein Bipolartransistor sein und kann in einem oder mehreren dieser Ausführungsbeispiele als ein Emitter-Folger konfiguriert sein.
  • 5 stellt ein Ausführungsbeispiel eines Systems 90 zum Lesen einer Speicherzelle 70 in einer Speicherzellenfolge 12 dar. Das System 90 umfasst ein Ausführungsbeispiel einer Speicherzellenfolge 12, ein Ausführungsbeispiel eines Leseverstärkers 26 und ein Ausführungsbeispiel eines Registers 30. Die Speicherzellenfolge 12 ist mit einem Transistor 92 gekoppelt, der Transistor 92 ist mit einer Bitleitung 16r und einer Lenkschaltung 24 gekoppelt und die Lenkschaltung 24 ist mit der Bitleitung 16r und dem Leseverstärker 26 gekoppelt.
  • Die Speicherzellenfolge 12 umfasst Speicherzellen 70a, 70b, 70c und 70d, dargestellt durch Widerstände, die in Serie geschaltet sind. Wortleitungen 14a, 14b, 14c und 14d werden verwendet, um die Speicherzellen 70a, 70b, 70c bzw. 70d in Verbindung mit der Bitleitung 16w zu beschreiben.
  • Eine Spannungsquelle VA oder VB kann selektiv mit einem beliebigen Ende der ausgewählten Speicherzellenfolge 12 gekoppelt sein, wobei eine Massequelle mit dem entgegengesetzten Ende der gekoppelten Spannungsquelle gekoppelt ist.
  • Beide Enden nicht ausgewählter Speicherzellenfolgen 12 sind mit Massequellen gekoppelt. Die Spannungsquelle VA oder VB ist mit Bezugnahme auf die zu lesende Speicherzelle ausgewählt. Insbesondere ist VA mit der Speicherzellenfolge 12 gekoppelt und eine Massequelle ist mit dem anderen Ende der Speicherzellenfolge 12 gekoppelt, um die Speicherzelle 70a oder 70b zu lesen. Ähnlich ist VB mit der Speicherzellenfolge 12 gekoppelt und eine Massequelle ist mit dem anderen Ende der Speicherzellenfolge 12 gekoppelt, um die Speicherzelle 70c oder 70d zu lesen. Die Spannungsquellen VA und VB liefern eine Spannung über die Speicherzellenfolge 12 ansprechend auf Steuersignale, die von der Zeilendecodierschaltung 18, der Spaltendecodierschaltung 20 und/oder der Steuerschaltung 34 empfangen werden. Bei einem Ausführungsbeispiel bewirkt die Spaltendecodierschaltung 20, dass VA oder VB an die Speicherzellenfolge 12 geliefert wird, um eine Folge 12 auszuwählen, sowie um es zu ermöglichen, dass eine Leseoperation bei einer Speicherzelle 70 in der Folge 12 durchgeführt werden kann.
  • Bei dem Ausführungsbeispiel aus 5 ist die Gate-Verbindung des Transistors 92 mit dem Knoten zwischen den Speicherzellen 70b und 70c gekoppelt, die Drain-Verbindung des Transistors 92 ist mit einer Spannungsquelle VDD gekoppelt und die Source-Verbindung des Transistors 92 ist mit der Bitleitung 16r gekoppelt. Der Knoten VG bildet einen Spannungsabgriff in der Speicherzellenfolge 12. Der Transistor 92 wird als ein Source-Folger betrieben, um der Source-Verbindung die an der Gate-Verbindung vorliegende Spannung VG ansprechend darauf, dass die Spannung VDD an die Drain-Verbindung angelegt wird, zu zeigen. Folglich bewirkt der Transistor 92, dass die Spannung, die an dem Knoten VG zwischen den Speicherzellen 70b und 70c vorliegt, auf der Bitleitung 16r widergespiegelt wird.
  • Jede Speicherzellenfolge 12 in dem Array 10 ist mit einem Transistor 92 gekoppelt, der mit einer Bitleitung 16r gekoppelt ist. Jeder Transistor 92 kann sich physisch nahe an der Speicherzellenfolge 12 befinden, mit der derselbe gekoppelt ist. Durch ein Anlegen einer Spannung VA oder VB an eine ausgewählte Speicherzellenfolge 12, die mit einer Bitleitung 16r gekoppelt ist, bewirkt die Zeilendecodierschaltung 18, dass die Spannung VG von der ausgewählten Speicherzellenfolge 12 auf der Bitleitung 16r widergespiegelt wird, wie unten detaillierter beschrieben ist. Eine Spannung VA oder VB wird während der Zeit, zu der die Spannung VG aus der ausgewählten Speicherzellenfolge 12 auf der Bitleitung 16r widergespiegelt wird, nicht an die anderen Speicherzellenfolgen 12, die mit der Bitleitung 16r gekoppelt sind, angelegt.
  • Jede Lenkschaltung 24 ist mit 4 bis 256 Bitleitungen 16r gekoppelt. Folglich ist die Lenkschaltung 24 mit der Source-Verbindung des Transistors 92 für jede Bitleitung 16r gekoppelt. Die Lenkschaltung 24 liefert die Spannung von einer ausgewählten Bitleitung 16r, die wiederum über den Spannungsfolgertransistor 92 mit der Speicherzellenfolge 12 gekoppelt ist, an den Leseverstärker 26.
  • Der Leseverstärker 26 ist mit der Bitleitung 16r und einem Knoten zwischen den Speicherzellen 70b und 70c durch den Transistor 92 und der Lenkschaltung 24 gekoppelt. Der Leseverstärker 26 weist einen getakteten Zweistufen-Eigenreferenz-Verstärker auf und umfasst einen Transistor 92, eine Stromquelle 96, einen Differenzverstärker 100, einen Schalter 102, einen Kondensator 104 und einen Differenzverstärker 106.
  • Der Kondensator 108 stellt die Last auf der Bitleitung 16r dar, die durch weitere Speicherzellenfolgen 12 bewirkt wird, die mit der Bitleitung 16r gekoppelt sind. Die Stromquelle 96 ist wirksam, um zu entladen oder als die Last auf die Bitleitung 16r zu wirken, um die Geschwindigkeit der Schaltung zu erhöhen. Bei weiteren Ausführungsbeispielen kann die Stromquelle 96 durch einen Widerstand ersetzt werden.
  • Die Bitleitung 16r ist durch die Lenkschaltung 24 mit dem positiven Eingang des Differenzverstärkers 100 gekoppelt. Der Differenzverstärker 100 weist die erste Stufe des Leseverstärkers 26 auf. Der erste Zustand bewirkt, dass der Leseverstärker 26 auf sich selbst Bezug nimmt, indem er Variationen von Spannung, Temperatur, Speicherzellenwiderstandswert und System ausgleicht.
  • Die Ausgabe des Differenzverstärkers 100 ist mit einem Schalter 102 gekoppelt. Der Schalter 102 ist konfiguriert, um ansprechend darauf, dass derselbe geschlossen wird, die Ausgabe des Differenzverstärkers 100 mit einem Kondensator 104, dem negativen Eingang des Differenzverstärkers 100 und dem positiven Eingang des Differenzverstärkers 106 zu koppeln. Wenn der Schalter 102 geschlossen ist, bewirkt derselbe wirksam, dass eine erste Spannung V1 von der Bitleitung 16r zu einer ersten Zeit über den Kondensator 104 gespeichert wird. Ansprechend darauf, dass der Schalter 102 geöffnet ist, bewirkt derselbe, dass die Ausgabe des Differenzverstärkers 100 nur mit dem negativen Eingang des Differenzverstärkers 106 gekoppelt wird. Als ein Ergebnis wird zu einer zweiten Zeit die zweite Spannung von der Bitleitung 16r, V2 mit dem Eingang des Differenzverstärkers 106 gekoppelt.
  • Die Stromquelle 96, der Differenzverstärker 100, der Schalter 102 und der Kondensator 104 arbeiten als eine ladungsausgeglichene Abtast- und Halteschaltung, um eine Referenzspannung für beide Stufen des Leseverstärkers 26 einzustellen.
  • Der Differenzverstärker 106 weist die zweite Stufe des Leseverstärkers 26 auf. Der Differenzverstärker 106 vergleicht die erste und die zweite Spannung und erzeugt eine Differenzausgangsspannung VOUT.
  • Die Differenzausgangsspannung wird an ein Lese-Latch 110 geliefert. Das Lese-Latch 110 wird unter Verwendung der Rücksetz-„R"-Eingabe vor einem Empfangen der Ausgabe von dem Differenzverstärker 106 auf einen hochohmigen Zustand rückgesetzt. Ansprechend auf das Datenübertragungssignal DXFER wird die Differenzausgangsspannung in einem Lese-Latch 110 als ein Logikpegel, d. h. eine „0" oder eine „1", gespeichert. Das Lese-Latch 110 verstärkt das Ausgangssignal DOUT auf ein Vollskala-Ausgangssignal. Das Lese-Latch 110 ist Teil des Registers 30.
  • 6 ist ein Flussdiagramm, das ein Ausführungsbeispiel eines Verfahrens zum Lesen einer ausgewählten Speicherzelle bei dem Ausführungsbeispiel der Speicherzellenfolge 12 aus 5 darstellt. In 6 wird eine Spannungsquelle an ein Ende einer Speicherzellenfolge 12 geliefert, das am entferntesten oder am nächsten an der ausgewählten Speicherzelle in der Speicherzellenfolge ist, wie in einem Block 602 angezeigt ist. Eine Massequelle wird an das andere Ende der Speicherzellenfolge 12 geliefert, wie in einem Block 604 angezeigt ist.
  • Die Spannungsquelle und die Massequelle sind gekoppelt, um zu bewirken, dass die ausgewählte Speicherzelle am nächsten an der Versorgungsspannung (VA oder VB) der Spannungsteilerschaltung ist, die durch die Spannungsquelle, die Massequelle und die Speicherzellen 70a, 70b, 70c und 70d gebildet ist. Folglich ist das Ende der Speicherzellenfolge 12, das sich am unmittelbarsten oder am nächsten an der ausgewählten Speicherzelle 70 befindet, das Ende, das die ausgewählte Speicherzelle zwischen der Spannungsquelle VA oder VB und dem Spannungsteiler-Abgriffknoten VG anordnen würde. Dieses nächste Ende ist mit einer Spannungsquelle VA oder VB gekoppelt und das Ende, das entgegengesetzt zu dem nächsten Ende ist, ist mit einer Massequelle gekoppelt. Die Versorgungsspannung VA oder VB kann mit VDD gekoppelt sein.
  • Wenn z. B. die Speicherzelle 70a oder 70b zum Lesen ausgewählt ist, wird die Spannung VA über die Speicherzellenfolge 12 angelegt und das Ende der Speicherzellenfolge 12, das durch VB angezeigt wird, ist mit einer Massequelle gekoppelt. Wenn die Speicherzelle 70c oder 70d gelesen werden soll, wird die Spannung VB angelegt, wie in 5 angezeigt ist, und das Ende der Speicherzellenfolge 12, angezeigt durch VA, ist mit einer Massequelle gekoppelt.
  • Durch ein Schalten der Spannungs- und Massequelle basierend auf der relativen Position der ausgewählten Speicherzelle in der Speicherzellenfolge, wie gerade beschrieben wurde, können die Signale, die an den Leseverstärker 26 geliefert werden, bei einer erwünschten Polarität sein, um es zu ermöglichen, dass der Entwurf des Leseverstärkers 26 vereinfacht werden kann.
  • Eine erste Spannung, die an einem Knoten in der Speicherzellenfolge 12 erfasst wird, wird gespeichert, wie in einem Block 606 angezeigt ist. Insbesondere bewirken der Transistor 92, der Differenzverstärker 100 und der Schalter 102, dass die Spannung, die an dem Knoten VG zwischen den Speicherzellen 70b und 70c zu einem ersten Zeitpunkt vorhanden ist, erfasst und auf dem Kondensator 104 gespeichert wird, wie oben beschrieben ist . Eine Spannung VA oder VB wird an die Speicherzellenfolge 12 angelegt, um eine Spannungsteilerspannung zu entwickeln, die an das Gate des Transistors 92 angelegt werden soll, um zu bewirken, dass eine Lesespannung an den Eingang des Differenzverstärkers 100 übertragen wird. Die Spannung VA oder VB kann gleich VDD sein.
  • Die ausgewählte Speicherzelle in der Speicherzellenfolge 12, z. B. die Speicherzelle 70b, wird in einen ersten Zustand geschrieben, wie in einem Block 608 angezeigt ist. Bei einem Ausführungsbeispiel kann der erste Zustand antiparallel sein, um einen Logikpegel „1" darzustellen. Bei weiteren Ausführungsbeispielen kann der erste Zustand parallel sein, um einen Logikpegel „0" darzustellen.
  • Eine zweite Spannung wird an einem Knoten in der Speicherzellenfolge 12 erfasst, wie in einem Block 610 angezeigt ist. Insbesondere bewirken der Transistor 92, der Differenzverstärker 100 und der Schalter 102, dass die Spannung, die an dem Knoten VG zwischen den Speicherzellen 70b und 70c zu einem zweiten Zeitpunkt vorliegt, erfasst und an den negativen Eingang des Differenzverstärkers 106 geliefert wird. Die zweite Spannung wird erfasst, nachdem die ausgewählte Speicherzelle, z. B. die Speicherzelle 70b, in den ersten Zustand geschrieben wurde.
  • Eine Bestimmung wird durch den Differenzverstärker 106 durchgeführt, ob sich die erste Spannung von der zweiten Spannung unterscheidet, wie in einem Block 612 angezeigt ist. Wenn sich die erste Spannung nicht von der zweiten Spannung unterscheidet, wird ein erster Logikpegel, der dem ersten Zustand zugeordnet ist, aus der ausgewählten Speicherzelle, z. B. der Speicherzelle 70b, ausgelesen, wie in einem Block 614 angezeigt ist. Wenn sich die erste Spannung von der zweiten Spannung unterscheidet, wird ein zweiter Logikpegel, der dem zweiten Zustand zugeordnet ist, aus der ausgewählten Speicherzelle, z. B. der Speicherzelle 70b, ausgelesen, wie in einem Block 616 angezeigt ist. Zusätzlich wird die ausgewählte Speicherzelle, z. B. die Speicherzelle 70b, in den zweiten Zustand geschrieben, wie in einem Block 618 angezeigt ist.
  • Der Leseverstärker 26 bewirkt, dass der erste oder der zweite Logikpegel aus der ausgewählten Speicherzelle ausgelesen wird, indem bewirkt wird, dass eine „1" oder eine „0" in einem Lese-Latch 110 in dem Register 30 gespeichert und an die I/O-Anschlussfläche 32 geliefert wird.
  • Die Speicherzellenfolge 12 fungiert bei dem Ausführungsbeispiel aus 5 wirksam als eine Spannungsteilerschaltung.
  • Wenn das Schreiben in Block 608 bewirkt hat, dass sich der Zustand der ausgewählten Speicherzelle verändert, wird die Speicherzelle in ihren ursprünglichen Zustand überschrieben, wie durch Block 618 dargestellt ist. Wenn das Schreiben in Block 608 nicht bewirkt hat, dass sich der Zustand der ausgewählten Speicherzelle verändert, bleibt die Speicherzelle in ihrem ursprünglichen Zustand und muss nicht überschrieben werden.
  • Die Steuerschaltung 34 liefert geeignete Zeitgebungssignale an die Zeilendecodierschaltung 18, die Schreibdecodierschaltung 20, die Leseschaltung 22, die Lenkschaltung 24 und den Leseverstärker 26, um es zu ermöglichen, dass die in 6 gezeigten Funktionen des Verfahrens durchgeführt werden können.
  • Jede der Speicherzellen 70a, 70b, 70c und 70d kann unter Verwendung des gerade beschriebenen Verfahrens gelesen werden. Obwohl vier Speicherzellen in der in 5 dargestellten Speicherzellenfolge gezeigt sind, können andere Speicherzellenfolgen andere Anzahlen von Speicherzellen, die in Serie geschaltet sind, umfassen.
  • Obwohl die Gate-Verbindung des Transistors 92 bei dem in 5 gezeigten Ausführungsbeispiel mit dem Knoten zwischen den Speicherzellen 70b und 70c gekoppelt ist, kann die Gate-Verbindung bei anderen Ausführungsbeispielen mit einem Knoten zwischen anderen Speicherzellen gekoppelt sein, wie z. B. dem Knoten zwischen den Speicherzellen 70a und 70b oder dem Knoten zwischen den Speicherzellen 70c und 70d.
  • Der Transistor 92 weist einen Spannungsfolgertransistor auf. Bei weiteren Ausführungsbeispielen kann der Transistor 92 ein Bipolartransistor sein und kann bei einem oder mehreren dieser Ausführungsbeispiele als ein Emitter-Folger konfiguriert sein.
  • Bei bestimmten hierin beschriebenen Ausführungsbeispielen der Speicherzellenfolge 12 ist die Anzahl von Speicherelementen in einer Speicherzellenfolge 12 gleich der Lesespannung über eine einzelne Speicherzelle geteilt durch die Versorgungsspannung (VCC, VA oder VB), in Gruppen von zwei erhalten wird. Wenn z. B. die Lesespannung 0,5 V ist und die Versorgungsspannung 2,0 V ist, ist die bevorzugte Anzahl von Transistoren in der Folge 4. Wenn jedoch die Lesespannung 0,2 V ist und VDD 2,0 V ist, ist die bevorzugte Anzahl von Widerständen 10.
  • Ein Merkmal der Speicherzellenfolge 12 besteht darin, dass die Abgriffspannung VG nahe an der Versorgungsspannung (VCC, VA oder VB) geteilt durch 2 ist, und zwar unabhängig von dem nominellen Widerstandswert der Speicherzellen. Wenn z. B. VA = VDD = 2,0 V gilt und es 4 Widerstände in der Folge gibt, ist VG etwa 1,0 V, wobei, wenn es 10 Widerstände in der Folge gibt, VG dennoch etwa 1.0 V beträgt.
  • Die Versorgungsspannung (VCC, VA oder VB) der Speicherzellenfolge ist ausreichend groß eingestellt, um zu aktivieren, dass die Source-Folger-Transistoren (d. h. die Transistoren 72 oder 92) die Bitleitungen 16 ohne wesentlichen Signalverlust treiben. Wenn die Schwellenspannung (VTH) eines Source-Folger-Transistors 0,5 V ist und eine Lesespannung von 0,5 V erwünscht wird, gilt VG = VTH + VBL = 0,5 V + 0,5 V = 1,0 V, wobei dies eine Versorgungsspannung der Speicherzellenfolge von 2,0 V vorgibt.
  • Die Beziehung zwischen der Versorgungsspannung, der Spannung über eine einzelne Speicherzelle, der Anzahl von Speicherzellen in einer Speicherzellenfolge und dem Signal, das durch den Leseverstärker erfasst wird, kann wie folgt detailliert beschrieben werden. Erstens ist die Veränderung ΔR des MRAM-Widerstandswerts als das Produkt aus TMR (Tunnel-Magnetowiderstands-Verhältnis) und dem nominellen Widerstandswert der MRAM-Speicherzelle, R, spezifiziert. Das TMR ist eine starke Funktion der Spannung, die über die Speicherzelle VCELL angelegt wird, und befindet sich vorzugsweise in dem Bereich von 200 mV bis 500 mV, um TMRs von 10 % bis 35 % zu ergeben.
  • Als nächstes bildet eine Folge aus N Speicherzellen nominell einen Spannungsteiler mit einem in etwa gleichen Spannungsabfall über jede Speicherzelle: VCELL = VCC/N. Deshalb gilt, wenn VCC als 2,0 V gegeben ist und VCELL als 500 mV ausgewählt ist, N = 4, wie in den Ausführungsbeispielen der 3 und 5. Und wenn VCELL als 200 mV ausgewählt ist, wäre N gleich 10.
  • Ferner verstärken die Leseverstärker der 3 und 5 die Differenz zwischen der Ausgabe des Spannungsteilers, gebildet durch den abgegriffenen Knoten, VG, wenn die ausgewählte Speicherzelle in einem Zustand 1 (V1) und in einem Zustand 2 (V2) ist. Die Spannungsdifferenz ist direkt auf VCC, N und TMR bezogen, wie in den folgenden Gleichungen gezeigt ist (unter der Annahme, dass der Zustand 1 antiparallel ist, der Zustand parallel, N = 4, wobei der Widerstandswert der Speicherzellen durch R1, R2, R3 bzw. R4 dargestellt wird, wobei R1 die ausgewählte Speicherzelle ist):
    • [1] V1 = VCC·(R1·(1 + TMR) + R2)/(R1·(1 + TMR) + R2 + R3 + R4)
    • [2] V1 ~= VCC·(TMR/4 + 0,5)
    • [3] V2 = VCC·(R1 + R2)/(R1 + R2 + R3 + R4)
    • [4] V2 ~= VCC·0,5
    • [5] VDIFF = V1 – V2
    • [6] VDIFF ~= VCC·TMR/4
    • [7] allgemein: VDIFF = VCC·TMR/N
  • Folglich wählt der Spannungsteilerentwurf VCC, TMR und N aus, um ein ausreichendes Signal zu liefern, um zuverlässig zu verstärken und zu erfassen. Für das in 3 gezeigte Ausführungsbeispiel gilt VDiFF = 2,0 V·0,1/4 = 50 mV.
  • Im folgenden wird Bezug auf 7 genommen, die ein Ausführungsbeispiel eines Mehrebenen-MRAM-Chips 700 darstellt. Der MRAM-Chip 700 umfasst eine Anzahl Z von Speicherzellenpegeln oder -ebenen 702, die in einer Z-Richtung auf einem Substrat 704 gestapelt sind. Die Anzahl Z ist eine positive Ganzzahl, wobei Z ≥ 1 gilt. Die Speicherzellebenen 702 können durch ein isolierendes Material (nicht gezeigt), wie z. B. Siliziumdioxid, getrennt sein. Lese- und Schreibschaltungen können auf dem Substrat 704 hergestellt sein. Die Lese- und Schreibschaltungen können zusätzliche Multiplexer zum Auswählen der Ebenen, von denen gelesen wird und an die geschrieben wird, umfassen.
  • So ist eine MRAM-Vorrichtung offenbart, in der Widerstandszustände der Speicherzellen während Leseoperationen erfasst werden können. Die hierin beschriebene MRAM-Vorrichtung kann in einer Vielzahl von Anwendungen verwendet werden. 8 zeigt eine exemplarische allgemeine Anwendung für einen oder mehrere MRAM-Chips 700. Die allgemeine Anwendung ist durch eine Vorrichtung 850 ausgeführt, die ein MRAM-Speichermodul 852, ein Schnittstellenmodul 854 und einen Prozessor 856 umfasst. Das MRAM-Speichermodul 852 umfasst einen oder mehrere MRAM-Chips 700 zur nichtflüchtigen Speicherung. Das Schnittstellenmodul 854 liefert eine Schnittstelle zwischen dem Prozessor 856 und dem MRAM-Speichermodul 852. Die Vorrichtung 850 könnte ebenso andere Typen und/oder Pegel eines Speichers umfassen.
  • Für eine Vorrichtung 850, wie z. B. einen Notebook-Computer oder einen Personalcomputer, könnte das MRAM-Speichermodul 852 eine Anzahl von MRAM-Chips 700 umfassen und das Schnittstellenmodul 854 könnte eine IDE- oder eine SCSI- Schnittstelle umfassen. Für eine Vorrichtung 850, wie z. B. einen Server, könnte das MRAM-Speichermodul 852 eine größere Anzahl von MRAM-Chips 700 umfassen und das Schnittstellenmodul 854 könnte eine Faserkanal- oder eine SCSI-Schnittstelle umfassen. Derartige MRAM-Speichermodule 852 könnten herkömmliche nichtflüchtige Speichervorrichtungen, wie z. B. Festplattenlaufwerke, ersetzen oder ergänzen.
  • Für eine Vorrichtung 850, wie z. B. eine Digitalkamera, könnte das MRAM-Speichermodul 852 eine kleinere Anzahl von MRAM-Chips 700 umfassen und das Schnittstellenmodul 854 könnte eine Kameraschnittstelle umfassen. Ein derartiges MRAM-Speichermodul 852 würde eine nichtflüchtige Speicherung von Digitalbildern auf der Digitalkamera erlauben.
  • Die 9a9d sind Diagramme, die ein Ausführungsbeispiel einer MRAM-Speicherzelle mit einer Weichreferenzschicht 902 darstellen. Die in den 9a9d gezeigte MRAM-Speicherzelle ist eine SDT-Übergangsvorrichtung, die eine Datenschicht 900 und eine Weichreferenzschicht 902 umfasst, die durch eine isolierende Tunnelbarriere 901 getrennt sind. Die isolierende Tunnelbarriere 901 erlaubt das Auftreten einer quantenmechanischen Tunnelung zwischen der Datenschicht 900 und der Weichreferenzschicht 902. Dieses Tunnelungsphänomen ist elektronenspinabhängig, was den Widerstandswert der SDT-Übergangsvorrichtung zu einer Funktion der relativen Ausrichtungen der Magnetisierung der Datenschicht 900 und der Weichreferenzschicht 902 macht. Der Widerstandswert der SDT-Übergangsvorrichtung z. B. weist einen ersten Wert R auf, wenn die Ausrichtung einer Magnetisierung der Datenschicht 900 und der Weichreferenzschicht 902 parallel ist, sowie einen zweiten Wert (R + ΔR), wenn die Ausrichtung einer Magnetisierung antiparallel ist.
  • Die Datenschicht 900 und die Weichreferenzschicht 902 weisen beide freie Schichten auf, ähnlich der freien Schicht 50, die oben Bezug nehmend auf 2 beschrieben wurde. Folglich kann die Ausrichtung einer Magnetisierung in so wohl der Datenschicht 900 als auch der Weichreferenzschicht 902 verändert werden, indem Ströme an eine Wortleitung 14 und eine Bitleitung 16w angelegt werden. Die Speicherzelle der 9a9d wird durch ein Anlegen von Schreibströmen an eine Wortleitung 14 und eine Bitleitung 16w beschrieben, um eine Magnetisierungsrichtung der Datenschicht 900 und der Weichreferenzschicht 902 in eine ausgewählte Richtung zu setzen. Das Beschreiben der Speicherzelle bewirkt, dass die Magnetisierungsrichtung in sowohl der Datenschicht 900 als auch der Weichreferenzschicht 902 die gleiche ist. Nachdem der Schreibstrom entfernt wurde, bewirkt eine magnetische Kopplung von der Datenschicht 900 zu der Weichreferenzschicht 902, dass die Richtung der Magnetisierung der Weichreferenzschicht ihre Richtung umkehrt, um antiparallel in Bezug auf die Datenschicht zu sein. Folglich ist die Speicherzelle zu Beginn ansprechend auf ein Suchen des energieärmsten Zustands in einem antiparallelen Zustand.
  • Nachdem die Speicherzelle beschrieben wurde, kann dieselbe durch ein Anlegen eines Schreiberfassungsstroms gelesen werden, um die Weichreferenzschicht 902 unter Verwendung einer Wortleitung 14 in eine bekannte Magnetisierungsrichtung zu setzen. Bei bestimmten Ausführungsbeispielen kann ein Schreiberfassungsstrom gemeinsam mit dem Schreiberfassungsstrom, der an die Wortleitung 14 angelegt wird, an die Bitleitung 16w angelegt werden, um die Weichreferenzschicht 902 in die bekannte Magnetisierungsrichtung zu setzen. Das Setzen eines Stroms in 16w kann die Überwindung der Koerzivität der Weichreferenzschicht oder eine Reduzierung der erforderlichen Menge an Strom in der Leitung 14 unterstützen, wobei so ein unbeabsichtigtes Verändern der Richtung der Schicht 900 vermieden wird. Ein Schreiberfassungsstrom weist einen Strom mit einer Größe auf, die ausreichend ist, um die Richtung der Magnetisierung der Weichreferenzschicht 902 zu setzen, jedoch nicht ausreichend ist oder unterhalb einer Schwelle ist, die benötigt wird, um die Richtung der Magnetisierung der Datenschicht 900 zu setzen. Diese Größe wird üblicherweise als die Koerzivität der Zelle bezeich net. Anders ausgedrückt kann ein Schreiberfassungsstrom den Zustand der Weichreferenzschicht 902 verändern, ein Schreiberfassungsstrom verändert den Zustand der Datenschicht 900 jedoch nicht. Nachdem die Weichreferenzschicht in die bekannte Magnetisierungsrichtung gesetzt wurde, kann die Speicherzelle entweder in einem parallelen oder einem antiparallelen Zustand sein.
  • 9a stellt die Speicherzelle dar, nachdem sie in einen ersten Zustand geschrieben wurde. Die Pfeile oberhalb und unterhalb der Speicherzelle zeigen die Richtung einer Magnetisierung der Datenschicht 900 bzw. der Weichreferenzschicht 902 an. Der erste Zustand ist durch die Richtung einer Magnetisierung der Datenschicht 900 definiert, die in 9a in einer rechtsgerichteten Richtung gezeigt ist. Wie oben angemerkt wurde, befindet sich die Speicherzelle ansprechend auf ein Suchen des energieärmsten Zustands in einem antiparallelen Zustand.
  • 9b stellt ein Lesen des ersten Zustands von der Speicherzelle dar. Ein Schreiberfassungsstrom wird über die Speicherzelle angelegt, um die Weichreferenzschicht 902 in einen bekannten Zustand zu setzen, d. h. eine bekannte Richtung einer Magnetisierung, wie durch den gestrichelten Pfeil angezeigt ist, der in 9b in einer rechtsgerichteten Richtung gezeigt ist. In 9b ist die bekannte Richtung einer Magnetisierung, die in der Weichreferenzschicht gesetzt ist, in der gleichen Richtung wie die Richtung der Magnetisierung, d. h. der erste Zustand, der Datenschicht 900. Folglich befindet sich die Speicherzelle ansprechend auf den gerade angelegten Schreiberfassungsstrom in einem parallelen Zustand.
  • 9c stellt die Speicherzelle dar, nachdem sie in einen zweiten Zustand geschrieben wurde. Die Pfeile oberhalb und unterhalb der Speicherzelle zeigen die Richtung einer Magnetisierung der Datenschicht 900 bzw. der Weichreferenzschicht 902 an. Der zweite Zustand ist durch die Richtung der Magnetisierung der Datenschicht 900 definiert, die in 9c in einer linksgerichteten Richtung gezeigt ist. Wie oben angemerkt wurde, befindet sich die Speicherzelle ansprechend auf das Suchen des energieärmsten Zustands in einem antiparallelen Zustand.
  • 9d stellt ein Lesen des zweiten Zustands von der Speicherzelle dar. Ein Schreiberfassungsstrom wird über die Speicherzelle angelegt, um die Weichreferenzschicht 902 in einen bekannten Zustand zu setzen, d. h. eine bekannte Richtung der Magnetisierung, wie durch den gestrichelten Pfeil angezeigt ist, der in 9d wie auch in 9b in einer rechtsgerichteten Richtung gezeigt ist. In 9d ist die bekannte Richtung einer Magnetisierung, die in der Weichreferenzschicht eingestellt ist, in der entgegengesetzten Richtung wie die Richtung der Magnetisierung, d. h. der zweite Zustand, der Datenschicht 900. Folglich befindet sich die Speicherzelle ansprechend auf den gerade angelegten Schreiberfassungsstrom in einem antiparallelen Zustand.
  • 10 ist ein Flussdiagramm, das ein Ausführungsbeispiel eines Verfahrens zum Lesen einer Speicherzelle bei dem Ausführungsbeispiel der Speicherzellenfolge 12, in 3 gezeigt, darstellt. Bei dem Ausführungsbeispiel aus 11 umfassen die Speicherzellen 70a, 70b, 70c und 70d jeweils eine Weichreferenzschicht 902, wie oben Bezug nehmend auf die 9a9d beschrieben wurde.
  • In 10 wird eine Spannung VCC an die Speicherzellenfolge 12 angelegt, wie in einem Block 1002 angezeigt ist. Eine erste Spannung wird an einem Knoten in der Speicherzellenfolge 12 erfasst, wie in einem Block 1004 angezeigt ist. Insbesondere bewirken der Transistor 72 und die Schaltschaltung 74, dass die Spannung, die an dem Knoten VG zwischen den Speicherzellen 70b und 70c zu einem ersten Zeitpunkt vorliegt, erfasst und auf einem Kondensator 76 gespeichert wird, wie oben Bezug nehmend auf 3 beschrieben wurde.
  • Ein Schreiberfassungsstrom wird über eine ausgewählte Speicherzelle in der Speicherzellenfolge 12, z. B. die Speicherzelle 70b, angelegt, wie in einem Block 1006 angezeigt ist. Der Schreiberfassungsstrom weist einen Strom auf, der auf einer Wortleitung 14 über die ausgewählte Speicherzelle, z. B. der Wortleitung 14b für die Speicherzelle 70b, angelegt wird. Der Schreiberfassungsstrom weist eine Größe auf, die ausreichend ist, um die Weichreferenzschicht 902 der ausgewählten Speicherzelle in einen bekannten Zustand zu setzen, d. h. Richtung einer Magnetisierung, jedoch unterhalb eines Pegels, der bewirken würde, dass die Datenschicht 900 der ausgewählten Speicherzelle ihren Zustand verändert, d. h. beschrieben wird. Bei bestimmten Ausführungsbeispielen weist der Schreiberfassungsstrom auch einen Strom auf, der auf einer Bitleitung 16w über die ausgewählte Speicherzelle angelegt wird.
  • Eine zweite Spannung wird an einem Knoten in der Speicherzellenfolge 12 erfasst, wie in einem Block 1008 angezeigt ist. Insbesondere bewirken der Transistor 72 und die Schaltschaltung 74, dass die Spannung, die an dem Knoten VG zwischen den Speicherzellen 70b und 70c zu einem zweiten Zeitpunkt vorliegt, erfasst und an den negativen Eingang des Differenzverstärkers 78 geliefert wird. Die zweite Spannung wird erfasst, nachdem die ausgewählte Speicherzelle, z. B. die Speicherzelle 70b, in den ersten Zustand geschrieben wurde.
  • Eine Bestimmung wird durch den Leseverstärker 26 in Bezug darauf durchgeführt, ob sich die erste Spannung von der zweiten Spannung unterscheidet, wie in einem Block 1010 angezeigt ist. Die Bestimmung wird unter Verwendung des Differenzverstärkers 78 und des Signalverstärkers 80 durchgeführt. Wenn sich die erste Spannung nicht von der zweiten Spannung unterscheidet, wird ein erster Logikpegel, der einem ersten Zustand zugeordnet ist, aus der ausgewählten Speicherzelle, z. B. der Speicherzelle 70b, ausgelesen, wie in einem Block 1012 angezeigt ist. Wenn sich die erste Spannung von der zweiten Spannung unterscheidet, wird ein zweiter Logikpegel, der einem zweiten Zustand zugeordnet ist, aus der ausgewählten Speicherzelle ausgelesen, z. B. der Speicherzelle 70b, wie in einem Block 1014 angezeigt ist.
  • Der Leseverstärker 26 bewirkt, dass der erste oder der zweite Logikpegel aus der ausgewählten Speicherzelle ausgelesen wird, indem bewirkt wird, dass eine „1" oder eine „0" in dem Register 30 gespeichert und an die I/O-Anschlussfläche 32 geliefert wird.
  • Wie oben angemerkt wurde, bewirken der parallele Zustand und der antiparallele Zustand, dass unterschiedliche Widerstandswerte über eine Speicherzelle gemessen werden. Durch ein Anlegen einer Spannung über die Speicherzellenfolge 12 kann eine Spannung an einem Knoten zwischen Speicherzellen in der Folge erfasst werden, bevor und nachdem ein Schreiberfassungsstrom über die Speicherzelle angelegt wird. Wenn das Anlegen des Schreiberfassungsstroms zu einem Zustand führt, der mit dem Zustand der ausgewählten Speicherzelle übereinstimmt, bevor der Schreiberfassungsstrom angelegt wurde, verändert sich der Widerstandswert der Zelle nicht und die an dem Knoten nach dem Schreiben erfasste Spannung ist in etwa die gleiche wie die Spannung, die an dem Knoten erfasst wird, bevor der Schreiberfassungsstrom angelegt wird. Wenn das Anlegen des Schreiberfassungsstroms zu einem Zustand führt, der nicht mit dem Zustand der ausgewählten Speicherzelle übereinstimmt, bevor der Schreiberfassungsstrom angelegt wurde, verändert sich der Widerstandswert der Zelle und die an dem Knoten nach dem Schreiben erfasste Spannung unterscheidet sich von der Spannung, die an dem Knoten erfasst wird, bevor der Schreiberfassungsstrom angelegt wird. Auf diese Weise fungiert die Speicherzellenfolge 12 effektiv als eine Spannungsteilerschaltung.
  • Da das Anlegen des Schreiberfassungsstroms über die ausgewählte Speicherzelle den Zustand der Datenschicht 900 der ausgewählten Speicherzelle nicht verändert hat, muss die ausgewählte Speicherzelle nicht in ihren ursprünglichen Zustand überschrieben werden.
  • Eine Steuerschaltung 34 liefert geeignete Zeitgebungssignale an die Zeilendecodierschaltung 18, die Spaltendecodierschaltung 20, die Leseschaltung 22, die Lenkschaltung 24 und den Leseverstärker 26, um die Durchführung der Funktionen des Verfahrens, das in 10 gezeigt ist, zu ermöglichen.
  • Jede der Speicherzellen 70a, 70b, 70c und 70d kann unter Verwendung des gerade beschriebenen Verfahrens gelesen werden. Obwohl vier Speicherzellen in der in 3 dargestellten Speicherzellenfolge gezeigt sind, können andere Speicherzellenfolgen andere Anzahlen von Speicherzellen, die in Serie geschaltet sind, umfassen.
  • 11 ist ein Flussdiagramm, das ein Ausführungsbeispiel eines Verfahrens zum Lesen einer ausgewählten Speicherzelle in dem Ausführungsbeispiel der in 5 gezeigten Speicherzellenfolge 12 darstellt. Bei dem Ausführungsbeispiel aus 11 umfassen Speicherzellen 70a, 70b, 70c und 70d jeweils eine Weichreferenzschicht 902, wie oben Bezug nehmend auf die 9a9d beschrieben wurde.
  • In 11 wird eine Spannungsquelle an ein Ende einer Speicherzellenfolge 12 bereitgestellt, das am nächsten oder nächstgelegen an der ausgewählten Speicherzelle in der Speicherzellenfolge ist, wie in einem Block 1102 angezeigt ist. Eine Massequelle wird an das andere Ende der Speicherzellenfolge 12 bereitgestellt, wie in einem Block 1104 angezeigt ist.
  • Die Spannungsquelle und die Massequelle sind gekoppelt, um zu bewirken, dass die ausgewählte Speicherzelle am nächsten an der Versorgungsspannung (VA oder VB) der Spannungsteilerschaltung ist, die durch die Spannungsquelle, die Massequelle und die Speicherzellen 70a, 70b, 70c und 70d gebildet ist. Folglich ist das Ende der Speicherzellenfolge 12, das sich am nächsten oder nächstgelegen an einer ausgewählten Speicherzelle 70 befindet, das Ende, das die ausgewählte Speicherzelle zwischen die Spannungsquelle VA oder VB und den Spannungsteilerabgriffknoten VG geben würde. Dieses nächste Ende ist mit einer Spannungsquelle VA oder VB gekoppelt und das Ende gegenüber von dem nächsten Ende ist mit einer Massequelle gekoppelt. Die Versorgungsspannung VA oder VB kann mit VDD gekoppelt sein.
  • Wenn z. B. die Speicherzelle 70a oder 70b zum Lesen ausgewählt ist, wird die Spannung VA über die Speicherzellenfolge 12 angelegt und das Ende der Speicherzellenfolge 12, angezeigt durch VB, wird mit einer Massequelle gekoppelt. Wenn die Speicherzelle 70c oder 70d zum Lesen ausgewählt ist, wird die Spannung VB, wie in 5 angezeigt ist, angelegt und das Ende der Speicherzellenfolge 12, angezeigt durch VA, wird mit einer Massequelle gekoppelt.
  • Durch ein Schalten der Spannungs- und Massequelle basierend auf der relativen Position der ausgewählten Speicherzelle in der Speicherzellenfolge, wie gerade beschrieben wurde, können die an den Leseverstärker 26 gelieferten Signale sich in einer erwünschten Polarität befinden, um eine Vereinfachung des Entwurfs des Leseverstärkers 26 zu ermöglichen.
  • Eine erste Spannung, die an einem Knoten in der Speicherzellenfolge 12 erfasst wird, wird gespeichert, wie in einem Block 1106 angezeigt ist. Insbesondere bewirken der Transistor 92, der Differenzverstärker 100 und der Schalter 102, dass die Spannung, die an dem Knoten VG zwischen den Speicherzellen 70b und 70c zu einem ersten Zeitpunkt vorliegt, erfasst und auf einem Kondensator 104 gespeichert wird, wie oben beschrieben wurde. Eine Spannung VA oder VB wird an die Speicherzellenfolge 12 angelegt, um dafür zu sorgen, dass eine Spannungsteilerspannung an das Gate des Transistor 92 angelegt wird, um zu bewirken, dass eine Lesespannung an den Eingang des Differenzverstärkers 100 übertragen wird. Die Spannung VA oder VB kann gleich VDD sein.
  • Ein Schreiberfassungsstrom wird über die ausgewählte Speicherzelle in der Speicherzellenfolge 12 angelegt, z. B. die Speicherzelle 70b, wie in einem Block 1108 angezeigt ist. Der Schreiberfassungsstrom weist einen Strom auf, der auf einer Wortleitung 14 über die ausgewählte Speicherzelle, z. B. der Wortleitung 14b für die Speicherzelle 70b, angelegt wird. Der Schreiberfassungsstrom weist eine Größe auf, die ausreichend ist, um die Weichreferenzschicht 902 der ausgewählten Speicherzelle in einen bekannten Zustand zu setzen, d. h. Richtung einer Magnetisierung, jedoch unterhalb eines Pegels, der bewirken würde, dass die Datenschicht 900 der ausgewählten Speicherzelle ihren Zustand verändert, d. h. beschrieben wird. Bei bestimmten Ausführungsbeispielen kann der Schreiberfassungsstrom auch einen Strom aufweisen, der auf einer Bitleitung 16w über die ausgewählte Speicherzelle angelegt wird.
  • Eine zweite Spannung wird an einem Knoten in der Speicherzellenfolge 12 erfasst, wie in einem Block 1110 angezeigt ist. Insbesondere bewirken der Transistor 92, der Differenzverstärker 100 und der Schalter 102, dass die Spannung, die an dem Knoten VG zwischen den Speicherzellen 70b und 70c zu einem zweiten Zeitpunkt vorliegt, erfasst und an den negativen Eingang des Differenzverstärkers 106 geliefert wird. Die zweite Spannung wird erfasst, nachdem die ausgewählte Speicherzelle, z. B. die Speicherzelle 70b, in den ersten Zustand geschrieben wird.
  • Eine Bestimmung wird durch den Differenzverstärker 106 in Bezug darauf durchgeführt, ob sich die erste Spannung von der zweiten Spannung unterscheidet, wie in einem Block 1112 angezeigt ist. Wenn sich die erste Spannung nicht von der zweiten Spannung unterscheidet, wird ein erster Logikpegel, der einem ersten Zustand zugeordnet ist, von der ausgewählten Speicherzelle, z. B. der Speicherzelle 70b, ausgelesen, wie in einem Block 1114 angezeigt ist. Wenn sich die erste Spannung von der zweiten Spannung unterscheidet, wird ein zweiter Logikpegel, der einem zweiten Zustand zugeordnet ist, von der ausgewählten Speicherzelle, z. B. der Speicherzelle 70b, ausgelesen, wie in einem Block 1116 angezeigt ist.
  • Der Leseverstärker 26 bewirkt, dass der erste oder der zweite Logikpegel aus der ausgewählten Speicherzelle ausgelesen wird, indem bewirkt wird, dass eine „1" oder eine „0" in einem Lese-Latch 110 in dem Register 30 gespeichert und an die I/O-Anschlussfläche 32 geliefert wird.
  • Wie oben angemerkt wurde, fungiert die Speicherzellenfolge 12 bei dem Ausführungsbeispiel aus 5 effektiv als eine Spannungsteilerschaltung.
  • Da das Anlegen des Schreiberfassungsstroms über die ausgewählte Speicherzelle den Zustand der Datenschicht 900 der ausgewählten Speicherzelle nicht verändert hat, muss die ausgewählte Speicherzelle nicht in ihren ursprünglichen Zustand überschrieben werden.
  • Die Steuerschaltung 34 liefert geeignete Zeitgebungssignale an die Zeilendecodierschaltung 18, die Spaltendecodierschaltung 20, die Leseschaltung 22, die Lenkschaltung 24 und den Leseverstärker 26, um die Durchführung der Funktionen des Verfahrens, das in 11 gezeigt ist, zu ermöglichen.
  • Jede der Speicherzellen 70a, 70b, 70c und 70d kann unter Verwendung des gerade beschriebenen Verfahrens gelesen werden. Obwohl in der in 5 dargestellten Speicherzellenfolge vier Speicherzellen gezeigt sind, können andere Speicherzellenfolgen andere Anzahlen von Speicherzellen, die in Serie geschaltet sind, umfassen.
  • Die obigen Ausführungsbeispiele der MRAM-Vorrichtung könnten Vorteile gegenüber anderen MRAM-Vorrichtungen bieten. Ein höherer Pegel an Speicherzelldichten könnte z. B. verglichen mit anderen MRAM-Vorrichtungen erzielt werden, die zusätzliche Elemente umfassen. Erhöhte Dichten können zu verminderten Kosten für eine bestimmte Menge an Speicherkapazität führen. Zusätzlich können die hierin beschriebenen Speicherzellenfolgen verglichen mit vorherigen MRAM-Vorrichtungen eine bessere elektrische Schaltungstrennung liefern. Die verbesserte Trennung kann eine zuverlässigere Erfassung des Zustands von Speicherzellen in einer Speicherzellenfolge erlauben.
  • Die Speichervorrichtung ist nicht auf die oben beschriebenen und dargestellten spezifischen Ausführungsbeispiele eingeschränkt. Eine MRAM-Vorrichtung ist z. B. nicht auf die Verwendung Spin-abhängiger Tunnelvorrichtungen eingeschränkt. Andere Typen von Vorrichtungen, die verwendet werden könnten, umfassen Riesen-Magnetowiderstands-(„GMR"-) Vorrichtungen, sind jedoch nicht darauf beschränkt.
  • Die MRAM-Vorrichtung wurde in Verbindung damit beschrieben, dass die Zeilen entlang der x-Achse ausgerichtet sind und die Spalten entlang der y-Achse ausgerichtet sind. Die Zeilen und Spalten könnten jedoch vertauscht sein.
  • Die Speichervorrichtung ist nicht auf MRAM-Zellen eingeschränkt. Die Speichervorrichtung kann jeden Typ von Speicherzelle in einem Widerstands-Kreuzungspunkt-Array umfassen.

Claims (21)

  1. Verfahren zum Durchführen einer Leseoperation von einer ersten magnetischen Direktzugriffsspeicherzelle (70a, 70b) in einer Speicherzellenfolge (12), die die erste magnetische Direktzugriffsspeicherzelle, die in Serie zu einer zweiten magnetischen Direktzugriffsspeicherzelle (70c, 70d) geschaltet ist, umfasst, wobei das Verfahren folgende Schritte aufweist: Bereitstellen einer Spannung an ein erstes Ende der ersten Speicherzellenfolge (12), das am nächsten an der ersten magnetischen Direktzugriffsspeicherzelle ist; Bereitstellen einer Massequelle an ein zweites Ende der ersten Speicherzellenfolge, das gegenüberliegend von dem ersten Ende ist; und Bestimmen, ob eine Spannungsänderung an einem Knoten zwischen der ersten und der zweiten magnetischen Direktzugriffsspeicherzelle ansprechend auf ein Anlegen eines Schreiberfassungsstroms über die erste magnetischen Direktzugriffsspeicherzelle aufgetreten ist.
  2. Verfahren gemäß Anspruch 1, das ferner folgenden Schritt aufweist: Bestimmen, dass die erste magnetische Direktzugriffsspeicherzelle in einem ersten Zustand war, ansprechend auf ein Bestimmen, dass die Spannungsänderung aufgetreten ist.
  3. Verfahren gemäß Anspruch 2, das ferner folgenden Schritt aufweist: Auslesen eines Logikpegels, der dem ersten Zustand zugeordnet ist, ansprechend auf das Bestimmen, dass die Spannungsänderung aufgetreten ist.
  4. Verfahren gemäß Anspruch 2 oder 3, das ferner folgenden Schritt aufweist: Bestimmen, dass die erste magnetische Direktzugriffsspeicherzelle in einem zweiten Zustand war, ansprechend auf ein Bestimmen, dass die Spannungsänderung nicht aufgetreten ist.
  5. Verfahren gemäß Anspruch 4, das ferner folgenden Schritt aufweist: Auslesen eines Logikpegels, der dem zweiten Zustand zugeordnet ist, ansprechend auf das Bestimmen, dass die Spannungsänderung nicht aufgetreten ist.
  6. Verfahren gemäß einem der Ansprüche 1 bis 5, das ferner folgenden Schritt aufweist: Anlegen des Schreiberfassungsstroms über die erste magnetische Direktzugriffsspeicherzelle, um eine Referenzschicht (902) der ersten Speicherzelle in einen bekannten Zustand zu setzen.
  7. System mit folgenden Merkmalen: einer Speicherzellenfolge (12), die eine erste Speicherzelle (70a, 70b), die mit einer zweiten Speicherzelle (70c, 70d) gekoppelt ist, umfasst; einem Leseverstärker (26), der mit der Speicherzellenfolge gekoppelt ist und konfiguriert ist, um folgende Schritte durchzuführen: Erfassen einer ersten Spannung an einem Knoten zwischen der ersten und der zweiten Speicherzelle zu einer ersten Zeit; Erfassen einer zweiten Spannung an dem Knoten zu einer zweiten Zeit, nachdem ein Schreiberfassungsstrom über die erste Speicherzelle angelegt wird; Vergleichen der ersten und der zweiten Spannung; und Bewirken, dass ein Logikpegel, der der ersten Speicherzelle zugeordnet ist, gespeichert wird, ansprechend auf das Vergleichen der ersten und der zweiten Spannung.
  8. System gemäß Anspruch 7, das ferner folgende Merkmale aufweist: eine Spannungsquelle, die ansprechend darauf, dass ein erstes Ende am nächsten an der ersten Speicherzelle ist, mit dem ersten Ende der Speicherzellenfolge gekoppelt ist; und eine Massequelle, die mit einem zweiten Ende der Speicherzellenfolge gekoppelt ist, das gegenüberliegend von dem ersten Ende der Speicherzellenfolge ist.
  9. System gemäß Anspruch 8, das ferner folgende Merkmale aufweist: eine erste Bitleitung (16r), die mit dem Leseverstärker (26) gekoppelt ist und konfiguriert ist, um die erste und die zweite Spannung an den Leseverstärker zu liefern, und eine zweite Bitleitung (16w) zum Beschreiben der ersten und der zweiten Speicherzelle.
  10. System gemäß Anspruch 9, das ferner folgendes Merkmal aufweist: einen Transistor (72, 92), der konfiguriert ist, um zu bewirken, dass die erste und die zweite Spannung auf der ersten Bitleitung bereitgestellt werden.
  11. System gemäß Anspruch 10, bei dem der Transistor (72, 92) einen Spannungsfolgertransistor aufweist.
  12. System gemäß Anspruch 10 oder 11, bei dem der Transistor (72, 92) eine Gate-Verbindung, eine Source-Verbindung und eine Drain-Verbindung umfasst, wobei die Gate-Verbindung mit dem Knoten gekoppelt ist, wobei die Source-Verbindung mit der ersten Bitleitung gekoppelt ist, und wobei die Drain-Verbindung mit einer Spannungsquelle gekoppelt ist.
  13. System gemäß einem der Ansprüche 9 bis 12, bei dem der Leseverstärker einen ersten Differenzverstärker (100), der mit der ersten Bitleitung gekoppelt ist, einen Schalter (102), der mit dem ersten Differenzverstärker gekoppelt ist, und einen Kondensator (104) umfasst, der mit dem Schalter gekoppelt ist, wobei der erste Differenzverstärker konfiguriert ist, um ansprechend darauf, dass der Schalter geschlossen wird, zu bewirken, dass die erste Spannung auf dem Kondensator gespeichert wird.
  14. System gemäß Anspruch 13, bei dem der Leseverstärker eine Stromquelle umfasst, die mit der ersten Bitleitung gekoppelt ist.
  15. System gemäß Anspruch 13, bei dem der Leseverstärker einen Widerstand umfasst, der mit der ersten Bitleitung gekoppelt ist.
  16. System gemäß einem der Ansprüche 13 bis 15, bei dem der Leseverstärker einen zweiten Differenzverstärker (106) umfasst, wobei der zweite Differenzverstärker konfiguriert ist, um ansprechend darauf, dass der Schalter offen ist, die erste Spannung mit der zweiten Spannung zu vergleichen.
  17. System gemäß Anspruch 16, das ferner folgendes Merkmal aufweist: ein Register (30), das konfiguriert ist, um ansprechend auf ein Signal von dem zweiten Differenzverstärker den Logikpegel zu speichern.
  18. Verfahren zum Durchführen einer Leseoperation von einer ersten Speicherzelle, mit folgenden Schritten: Bereitstellen einer ersten Spannungsquelle an eine Speicherzellenfolge, die eine erste Speicherzelle (70a, 70b), die mit einer zweiten Speicherzelle (70c, 70d) gekoppelt ist, umfasst; Bereitstellen einer Massequelle an die Speicherzellenfolge (12); Bereitstellen einer zweiten Spannungsquelle an einen Transistor, der mit einem Knoten zwischen der ersten Speicherzelle und der zweiten Speicherzelle gekoppelt ist und mit einer Bitleitung gekoppelt ist, die konfiguriert ist, um die erste Speicherzelle in Verbindung ist einer Wortleitung zu beschreiben; und Bestimmen, ob eine Spannungsänderung an dem Knoten ansprechend auf ein Anlegen eines ersten Schreiberfassungsstroms über die erste Speicherzelle unter Verwendung der Wortleitung aufgetreten ist.
  19. Verfahren gemäß Anspruch 18, das ferner folgenden Schritt aufweist: Speichern eines Logikpegels, der einem ersten Zustand zugeordnet ist, ansprechend auf ein Bestimmen, dass die Spannungsänderung aufgetreten ist.
  20. Verfahren gemäß Anspruch 19, das ferner folgenden Schritt aufweist: Speichern eines Logikpegels, der einem zweiten Zustand zugeordnet ist, ansprechend auf ein Bestimmen, dass die Spannungsänderung nicht aufgetreten ist.
  21. Verfahren gemäß einem der Ansprüche 18 bis 20, das ferner folgenden Schritt aufweist: Bestimmen, ob die Spannungsänderung an dem Knoten ansprechend auf ein Anlegen des ersten Schreiberfassungsstroms über die erste Speicherzelle unter Verwendung der Wortleitung und ein Anlegen eines zweiten Schreibstroms über die erste Speicherzelle unter Verwendung der Bitleitung aufgetreten ist.
DE102004039236A 2004-01-27 2004-08-12 Magnetischer Speicher Active DE102004039236B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/765,483 2004-01-27
US10/765,483 US6982909B2 (en) 2003-07-07 2004-01-27 System and method for reading a memory cell

Publications (2)

Publication Number Publication Date
DE102004039236A1 true DE102004039236A1 (de) 2005-08-18
DE102004039236B4 DE102004039236B4 (de) 2009-04-02

Family

ID=34807553

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004039236A Active DE102004039236B4 (de) 2004-01-27 2004-08-12 Magnetischer Speicher

Country Status (3)

Country Link
US (2) US6982909B2 (de)
KR (1) KR101123925B1 (de)
DE (1) DE102004039236B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7751231B2 (en) 2008-05-05 2010-07-06 Qimonda Ag Method and integrated circuit for determining the state of a resistivity changing memory cell

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6982909B2 (en) * 2003-07-07 2006-01-03 Hewlett-Packard Development Company, L.P. System and method for reading a memory cell
US8116159B2 (en) * 2005-03-30 2012-02-14 Ovonyx, Inc. Using a bit specific reference level to read a resistive memory
US7269050B2 (en) * 2005-06-07 2007-09-11 Spansion Llc Method of programming a memory device
US7535754B2 (en) * 2005-11-01 2009-05-19 Samsung Electronics Co., Inc. Integrated circuit memory devices with MRAM voltage divider strings therein
US7379364B2 (en) * 2006-10-19 2008-05-27 Unity Semiconductor Corporation Sensing a signal in a two-terminal memory array having leakage current
US7372753B1 (en) * 2006-10-19 2008-05-13 Unity Semiconductor Corporation Two-cycle sensing in a two-terminal memory array having leakage current
US7859069B2 (en) * 2007-03-16 2010-12-28 Seagate Technology Llc Magnetic storage element with storage layer magnetization directed for increased responsiveness to spin polarized current
US7785979B2 (en) * 2008-07-15 2010-08-31 International Business Machines Corporation Integrated circuits comprising resistors having different sheet resistances and methods of fabricating the same
US7719876B2 (en) * 2008-07-31 2010-05-18 Unity Semiconductor Corporation Preservation circuit and methods to maintain values representing data in one or more layers of memory
US7830701B2 (en) * 2008-09-19 2010-11-09 Unity Semiconductor Corporation Contemporaneous margin verification and memory access for memory cells in cross point memory arrays
US8451666B2 (en) * 2010-05-26 2013-05-28 Hewlett-Packard Development Company, L.P. Reading a memory element within a crossbar array
US9076540B2 (en) * 2012-08-23 2015-07-07 Infineon Technologies Ag Symmetrical differential sensing method and system for STT MRAM
US11207207B2 (en) * 2013-12-30 2021-12-28 Prosomnus Sleep Technologies Inc. Mandibular advancement device
US9331123B2 (en) 2014-05-09 2016-05-03 Tower Semiconductor Ltd. Logic unit including magnetic tunnel junction elements having two different anti-ferromagnetic layers
US9330748B2 (en) * 2014-05-09 2016-05-03 Tower Semiconductor Ltd. High-speed compare operation using magnetic tunnel junction elements including two different anti-ferromagnetic layers
US11049538B2 (en) 2019-01-17 2021-06-29 Western Digital Technologies, Inc. Voltage-controlled interlayer exchange coupling magnetoresistive memory device and method of operating thereof
US10788547B2 (en) 2019-01-17 2020-09-29 Sandisk Technologies Llc Voltage-controlled interlayer exchange coupling magnetoresistive memory device and method of operating thereof
US10783957B1 (en) 2019-03-20 2020-09-22 Arm Limited Read and logic operation methods for voltage-divider bit-cell memory devices

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6081453A (en) * 1997-04-15 2000-06-27 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US6259644B1 (en) 1997-11-20 2001-07-10 Hewlett-Packard Co Equipotential sense methods for resistive cross point memory cell arrays
US6169686B1 (en) 1997-11-20 2001-01-02 Hewlett-Packard Company Solid-state memory with magnetic storage cells
JP4477199B2 (ja) * 2000-06-16 2010-06-09 株式会社ルネサステクノロジ 磁気ランダムアクセスメモリ、磁気ランダムアクセスメモリへのアクセス方法および磁気ランダムアクセスメモリの製造方法
US6567297B2 (en) 2001-02-01 2003-05-20 Micron Technology, Inc. Method and apparatus for sensing resistance values of memory cells
US6597600B2 (en) 2001-08-27 2003-07-22 Micron Technology, Inc. Offset compensated sensing for magnetic random access memory
JP2003151262A (ja) * 2001-11-15 2003-05-23 Toshiba Corp 磁気ランダムアクセスメモリ
JP4052829B2 (ja) * 2001-12-12 2008-02-27 株式会社ルネサステクノロジ 薄膜磁性体記憶装置
US6795334B2 (en) * 2001-12-21 2004-09-21 Kabushiki Kaisha Toshiba Magnetic random access memory
JP3821066B2 (ja) 2002-07-04 2006-09-13 日本電気株式会社 磁気ランダムアクセスメモリ
US6865108B2 (en) * 2003-07-07 2005-03-08 Hewlett-Packard Development Company, L.P. Memory cell strings in a resistive cross point memory cell array
US6836422B1 (en) * 2003-07-07 2004-12-28 Hewlett-Packard Development Company, L.P. System and method for reading a memory cell
US6982909B2 (en) * 2003-07-07 2006-01-03 Hewlett-Packard Development Company, L.P. System and method for reading a memory cell

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7751231B2 (en) 2008-05-05 2010-07-06 Qimonda Ag Method and integrated circuit for determining the state of a resistivity changing memory cell

Also Published As

Publication number Publication date
US20060039191A1 (en) 2006-02-23
KR101123925B1 (ko) 2012-03-26
DE102004039236B4 (de) 2009-04-02
KR20050077286A (ko) 2005-08-01
US20050007830A1 (en) 2005-01-13
US6982909B2 (en) 2006-01-03
US7277319B2 (en) 2007-10-02

Similar Documents

Publication Publication Date Title
DE102004039236B4 (de) Magnetischer Speicher
DE102004039235A1 (de) Speicherzellenfolgen
DE60025152T2 (de) MRAM Speicher mit Differenzleseverstärkern
DE60018875T2 (de) MRAM mit Leseverstärkern
DE102005005584B4 (de) 1R1D-MRAM-Blockarchitektur
DE60121043T2 (de) Mtj mram serielle-parallele architektur
DE102005053717B4 (de) Erfass-Verstärker-Bitleitungs-Verstärkungs-Schaltkreis
DE112004000268B4 (de) Auswahlschaltung für genaue Speicherleseoperationen
DE60112860T2 (de) Dünnfilmspeicheranordnungen
DE102013106684B4 (de) Leseverstärkerschaltung für resistive Speicher
DE60205193T2 (de) Speicherleseverstärker
DE60305208T2 (de) Stromgesteuerter leserverstärker
DE60211531T2 (de) Mram mit mittelpunktsreferenzgenerator
DE60114359T2 (de) Datenspeicheranordnung
DE102006062969B3 (de) Zugriffsverfahren für ein Widerstandsspeicherbauelement und Magnetspeicherzellenfeld
DE10112281B4 (de) Leseverstärkeranordnungen für eine Halbleiterspeichereinrichtung
EP1141960B1 (de) Schreib-/lesearchitektur für mram
DE60311954T2 (de) Magnetischer Direktzugriffspeicher zur Speicherung von Informationen unter Verwendung des Magnetoresistiveffekts
DE102005052508A1 (de) Referenz-Strom-Quelle für Strom-Erfass-Verstärker und programmierbarer Widerstand konfiguriert mit magnetischen Tunnelübergang-Zellen
DE102013101675A1 (de) Anpassung von Referenzwiderständen bei der Ermittlung von MRAM-Widerstandszuständen
DE102005046425A1 (de) Array resistiver Speicherzellen und Verfahren zum Erfassen von Widerstandswerten solcher Zellen
DE102004030591B4 (de) Magnetischer Speicher, der Veränderungen zwischen einem ersten und einem zweiten Widerstandszustand einer Speicherzelle erfasst
DE10228578A1 (de) Dünnfilm-Magnetspeichervorrichtung mit Speicherzellen, die ein Tunnel-Magnetwiderstandselement enthalten
DE10244969A1 (de) Magnetische Dünnfilmspeichervorrichtung zum Durchführen eines Datenlesevorgangs ohne Verwendung einer Referenzzelle
DE60318683T2 (de) Mram ohne isolationseinrichtungen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8128 New person/name/address of the agent

Representative=s name: KUHNEN & WACKER PATENT- UND RECHTSANWALTSBUERO, 85

8127 New person/name/address of the applicant

Owner name: SAMSUNG ELECTRONICS CO., LTD., SUWON, KYONGGI, KR

8364 No opposition during term of opposition