DE102004020213A1 - Zusammensetzung für das Chemisch-Mechanische Polieren (CMP) - Google Patents

Zusammensetzung für das Chemisch-Mechanische Polieren (CMP) Download PDF

Info

Publication number
DE102004020213A1
DE102004020213A1 DE102004020213A DE102004020213A DE102004020213A1 DE 102004020213 A1 DE102004020213 A1 DE 102004020213A1 DE 102004020213 A DE102004020213 A DE 102004020213A DE 102004020213 A DE102004020213 A DE 102004020213A DE 102004020213 A1 DE102004020213 A1 DE 102004020213A1
Authority
DE
Germany
Prior art keywords
titanium oxide
composition according
oxide hydrate
particles
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102004020213A
Other languages
English (en)
Inventor
Gerhard Dr. Auer
Frank Dr. Hipler
Gerfried Dr. Zwicker
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sachtleben Pigment GmbH
Original Assignee
KERR-MCGEE PIGMENTS GmbH
Kerr McGee Pigments GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KERR-MCGEE PIGMENTS GmbH, Kerr McGee Pigments GmbH filed Critical KERR-MCGEE PIGMENTS GmbH
Priority to DE102004020213A priority Critical patent/DE102004020213A1/de
Priority to PCT/EP2005/003850 priority patent/WO2005102932A1/de
Priority to US11/587,278 priority patent/US20080020578A1/en
Priority to EP05730307A priority patent/EP1737793A1/de
Priority to JP2007508781A priority patent/JP2007534167A/ja
Priority to TW094112719A priority patent/TW200609317A/zh
Publication of DE102004020213A1 publication Critical patent/DE102004020213A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09CTREATMENT OF INORGANIC MATERIALS, OTHER THAN FIBROUS FILLERS, TO ENHANCE THEIR PIGMENTING OR FILLING PROPERTIES ; PREPARATION OF CARBON BLACK  ; PREPARATION OF INORGANIC MATERIALS WHICH ARE NO SINGLE CHEMICAL COMPOUNDS AND WHICH ARE MAINLY USED AS PIGMENTS OR FILLERS
    • C09C1/00Treatment of specific inorganic materials other than fibrous fillers; Preparation of carbon black
    • C09C1/36Compounds of titanium
    • C09C1/3607Titanium dioxide
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/02Light metals
    • C23F3/03Light metals with acidic solutions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Abstract

Bei einer Zusammensetzung in Form einer Dispersion oder einer Slurry für das chemisch-mechanische Polieren (CMP) bei der Herstellung von elektronischen oder mikroelektronischen Bauelementen, insbesondere Halbleiterelementen, und/oder eines mechanischen Bauelementes, insbesondere eines mikroelektromechanischen Bau- oder Halbleiterelementes (MEMS), DOLLAR A soll ein Material bereitgestellt werden, welches eine hohe Abtragsrate bei einem gleichzeitig schonenden Polierverhalten aufweist. DOLLAR A Dies wird dadurch erreicht, dass die Zusammensetzung Titanoxidhydratpartikel mit der Näherungsformel TiO¶2¶ È xH¶2¶O È yH¶2¶SO¶4¶ enthält, wobei der H¶2¶O-Gehalt der Titanoxidhydratpartikel 4-25 Gew.-%, bevorzugt 2-10 Gew.-%, und der H¶2¶SO¶4¶-Gehalt 0-15 Gew.-%, bevorzugt 0,1-10 Gew.-%, beträgt.

Description

  • Die Erfindung richtet sich auf Zusammensetzungen in Form einer Dispersion oder einer Slurry für das chemisch-mechanische Polieren (CMP) bei der Herstellung von elektronischen oder mikroelektronischen Bauelementen, insbesondere Halbleiterelementen, und/oder eines mechanischen Bauelementes, insbesondere eines mikroelektromechanischen Bau- oder Halbleiterelementes (MEMS).
  • Weiterhin betrifft die Erfindung ein Verfahren zur Herstellung eines elektronischen oder mikroelektronischen Bauelementes, insbesondere eines Halbleiterelementes, und/oder eines mechanischen Bauelementes, insbesondere eines mikroelektromechanischen Bau- oder Halbleiterelementes (MEMS), welches unter dem Einfluss einer titanhaltigen Zusammensetzung in Form einer Dispersion oder einer Slurry einem chemisch-mechanischen Polierverfahren (CMP) unterworfen wird. Sie richtet sich ferner auf ein mikroelektronisches Bauelement, insbesondere ein Halbleiterelement, und/oder ein mechanisches Bauelement, insbesondere mikroelektromechanisches Bau- oder Halbleiterelement (MEMS), hergestellt nach diesem Verfahren.
  • Schließlich betrifft die Erfindung ein chemisch-mechanisches Polieren (CMP), durchgeführt unter Verwendung der vorstehenden Zusammensetzung.
  • Bei der Dispersion oder Slurry handelt es sich um eine Polierflüssigkeit, die bei dem so genannten chemisch-mechanische Polieren (CMP), das auch als chemisch-mechanische Planarisierung bezeichnet wird, Verwendung findet.
  • In modernen integrierten Schaltungen (integrated circuits, IC) werden eine große Anzahl von mikroelektronischen Bauelementen, etwa Transistoren, Dioden, Kondensatoren und dergleichen, auf einem Substrat, z.B. aus Silizium oder anderen halbleitenden, isolierenden oder leitenden Materialien hergestellt. Die Schaltkreise bestehen aus strukturierten halbleitenden, nicht leitenden und elektrisch leitfähigen dünnen Schichten. Diese strukturierten Schichten werden üblicherweise dadurch hergestellt, dass ein Schichtmaterial durch physikalische oder chemische Verfahren (z. B. Aufdampfen, Kathodenzerstäubung, chemische Abscheidung aus der Dampfphase o. ä.) aufgebracht und durch ein mikrolithographisches Verfahren strukturiert wird. Durch die Kombination der verschiedenen halbleitenden, nicht leitenden und leitenden Schichtmaterialien werden die elektronischen Schaltungselemente des IC, wie z. B. Transistoren, Kondensatoren, Widerstände u. a. definiert und hergestellt.
  • Diese einzelnen Schaltungselemente müssen untereinander mittels einer so genannten Metallisierung gemäß der erforderlichen Funktionalität der integrierten Schaltung verbunden werden. Dazu wird ein so genanntes Zwischenebenendielektrikum über den Elementen abgeschieden und es werden Durchgangsöffnungen in der dielektrischen Schicht gebildet. Anschließend erfolgt die Abscheidung des Metalls für die eigentlichen Leitbahnen. Zwei Verfahren werden üblicherweise für die Strukturierung des Metalls eingesetzt. In einem ersten Verfahren wird das Metall, z. B. Aluminium mit einer photolithographisch aufgebrachten Lackmaske durch z.B. reaktives Ionenätzen (RIE) strukturiert. In einem zweiten Verfahren, das bevorzugt eingesetzt wird, wenn das Metall nicht durch RIE geätzt werden kann, werden die Durchgangsöffnungen und ins Zwischenebenendielektrikum geätzte Gräben mit Metall, beispielsweise Kupfer oder Wolfram gefüllt, um die elektrische Verbindung der einzelnen Halbleiterelemente bereitzustellen (sog. Damascene- bzw. Dual-Damascene Verfahren). Rückpolieren mittels chemisch-mechanischem Polieren (CMP) führt zu den metallgefüllten Gräben bzw. Durchgangsöffnungen. Aufgrund der ständig steigenden Anzahl von Halbleiterelementen und der immensen Komplexität moderner integrierter Schaltungen müssen typischerweise eine Vielzahl von Metallisierungsschichten übereinander gestapelt werden, um die erforderliche Funktionalität zu erreichen.
  • Im Rahmen einer wirtschaftlichen Fertigung der Integrierten Schaltungen reduzieren sich regelmäßig die Strukturbreiten der Schaltungen, d. h. die Schaltungen werden kleiner und die Substratfläche, d.h. der Scheibendurchmesser (Waferdurchmesser) und damit die Anzahl der Schaltungen auf dem Wafer nimmt zu. Die zur Erzielung der gewünschten Strukturbreiten, bei modernsten ICs im sub-100 nm Bereich, eingesetzten Lithographieverfahren weisen Schärfentiefebereiche (depth-of-focus, DOF) von < 1 μm auf, d.h. es werden extrem ebene Substratoberflächen benötigt. Strukturen, die auf Bereiche oberhalb oder unterhalb der Schärfentiefeebene abgebildet werden, erscheinen unscharf und weisen Abweichungen von der Struktursollgröße auf. Ausgehend von ultraglatten Substraten (Wafern), deren Oberflächen unter Verwendung von CMP hergestellt werden, müssen also die Wafer immer wieder dann planarisiert werden, wenn die Topographie auf der Scheibenoberfläche die erlaubte DOF überschreitet. Dies tritt beim ersten beschriebenen Metallisierungsschema immer dann auf, wenn sich die Leitbahnen z.B. aus Aluminium, die eine Stärke von 0,5 – 0,8 μm aufweisen, kreuzen bzw überschneiden. Abhilfe schafft eine Planarisierung des Zwischenebenendielektrikums mittels CMP. Andernfalls können Kurzschlüsse, unterbrochene Verbindungen, mangelhafte Kontakte zwischen den Ebenen oder schließlich Zuverlässigkeitsprobleme während des Betriebs des ICs auftreten. Die Anwendung der Damascene- bzw. Dual-Damascene-Technologie bei Wolfram-Durchgangskontakten oder Kupfer-Leitbahnen, d.h. die Herstellung von eingegrabenen Leitbahnen, führt beim Polieren von überstehendem Metall automatisch zu planaren Oberflächen, weshalb sich diese Technologie verstärkt durchsetzt.
  • Chemisch-mechanisches Polieren wird über die bereits erwähnten Anwendungen hinaus auch beispielsweise bei der Erzeugung der Grabenisolation zwischen den Bauelementen (shallow trench isolation – STI), bei der Definition der Steuerelektroden bei MOS-Transistoren (metal gates), bei der Herstellung von mikroelektromechanischen Systemen (MEMS), bei der Fertigung von Festplatten und Festplatten-Schreib/Leseköpfen usw. eingesetzt. Das CMP bewirkt eine sowohl lokale als auch die gesamte Waferfläche umfassende Planarisierung der strukturierten Oberflächen durch Abtrag erhöhter Schichtteile, bis eine ebene Oberfläche erhalten wird. Hierdurch kann der nächstfolgende Schichtaufbau auf einer ebenen Fläche ohne Höhenunterschiede erfolgen und die gewünschte Präzision der Strukturierung und die Zuverlässigkeit der Bauelemente der Schaltung können erreicht werden.
  • Ein CMP-Schritt wird mit Hilfe von speziellen Poliermaschinen, Poliertüchern (Pads) und Poliermitteln (Polierslurries) durchgeführt. Eine Polierslurry ist eine Zusammensetzung, die in Kombination mit dem Poliertuch, dem so genannten Pad, auf der Poliermaschine einen Abtrag des zu polierenden Materials auf einem Wafer oder einem anderen Substrat bewirkt. Ein Wafer ist eine polierte Siliziumscheibe, auf der integrierte Schaltungen aufgebaut werden. CMP-Prozesse können auf verschiedene Materialien, die z. B. oxidische, nitridische, halbleitende oder metallische Komponenten enthalten, angewendet werden.
  • Bei Polierprozessen üben Poliertücher und Polierflüssigkeiten wichtige Funktionen aus. So beeinflusst das Poliertuch z. B. die Verteilung der Polierflüssigkeit auf dem Wafer, den Abtransport des abgetragenen Materials oder auch die Herausbildung topologischer Merkmale (Planarität). Wichtige kennzeichnende Merkmale eines Poliertuchs sind z. B. dessen Porenform und -größe, dessen Härte und Kompressibilität. Die Polierflüssigkeit enthält z. B. die notwendigen Chemikalien und Abrasivmaterialien, verdünnt und transportiert abgetragenes Material und beeinflusst z. B. die Abtragsraten eines CMP-Prozesses bezüglich unterschiedlicher Materialien. Kennzeichnende Merkmale einer Polierflüssigkeit sind z. B. deren Inhalt an Chemikalien und Abrasivmaterialien hinsichtlich Art und Menge, die Teilchengrößenverteilung, die Viskosität und kolloidale und chemische Stabilität. Eine Übersicht über die Technik des CMP findet sich z. B. in J. M. Steigerwald, S. P. Murarka und R. J. Gutmann, "Chemical Mechanical Planarization of Microelectronic Materials", John Wiley & Sons Inc., New York (1996), B. L. Mueller und J. S. Steckenrider, Chemtech (1998) S. 38-46 oder in R. Waser (Hg.), "Nanoelectronics and Information Technology – Advanced Electronic Materials and Novel Devices", Verlag Wiley-VCH Weinheim (2003) S. 264-271.
  • Polierflüssigkeiten sind typischerweise Mehrkomponenten-Systeme, bestehend aus flüssigen Bestandteilen und gelösten Additiven (z. B. organische und anorganische Säuren oder Basen, Stabilisatoren, Korrosionsinhibitoren, oberflächenaktive Substanzen, Oxidationsmittel, Puffer, Komplexierungsmittel, Bakterizide und Fungizide) und Abrasivmaterialien (z. B. Siliziumoxid, Aluminiumoxid, Ceroxid) dispergiert in einem flüssigen Medium, typischerweise Wasser. Die konkrete Zusammensetzung wird durch das zu polierende Material bestimmt.
  • Insbesondere in Polierschritten, in denen Halbleiterschichten beteiligt sind, sind die Anforderungen an die Präzision des Polierschrittes und damit an die Polierslurry besonders groß. Bewertungsmaßstab für die Wirksamkeit von Polierslurries sind eine Reihe von Größen, mit denen die Wirkung der Polierslurry charakterisiert wird. Hierzu gehören die Abtragsrate, d. h. die Geschwindigkeit, mit der das zu polierende Material abgetragen wird, die Selektivität, d. h. das Verhältnis der Abtragsgeschwindigkeiten von zu polierendem Material zu weiteren anwesenden Materialien, sowie Größen für die Gleichmäßigkeit der Planarisierung. Diese beschreiben einen erzielten Planarisierungsgrad (Ebenheit), eine unerwünschte Einpolierung ins Material (dishing) oder einen unerwünschten Abtrag benachbarter anderer Materialien (erosion). Zu den die Gleichmäßigkeit der Planarisierung beschreibenden Größen werden aber auch die Gleichmäßigkeit der Restschichtdicke innerhalb eines Wafers (within-wafer non-uniformity, WIWNU) und die Gleichmäßigkeit von Wafer zu Wafer (wafer-to-wafer non-uniformity, WTWNU) sowie die Anzahl der Defekte pro Flächeneinheit (z.B. Kratzer, Oberflächenrauigkeiten oder anhaftende Partikel) gezählt.
  • Für die IC-Herstellung wird zunehmend der so genannte Kupfer-Damascene-Prozess verwendet (vgl. z. B. "Microchip Fabrication: A Practical Guide to Semiconductor Processing", Peter Van Zant, 4<th> ed., McGraw-Hill, 2000, pp 401-403 und 302-309; "Copper CMP: A Question of Tradeoffs", Peter Singer, Semiconductor International, Verlag Cahners, Mai 2000, pp 73-84; U. Hilleringmann, "Silizium-Halbleitertechnologie", Teubner-Verlag, 3. Auflage, 2003). Dabei ist es erforderlich, eine Cu-Schicht chemisch-mechanisch mit einer Polierslurry abzutragen (sog. Cu-CMP-Prozess), um die Cu-Leiterbahnen herzustellen. Die fertigen Cu-Leiterbahnen sind in ein Dielektrikum eingebettet. Zwischen Kupfer (Cu) und dem Dielektrikum befindet sich eine Barriereschicht, um ein Eindiffundieren von Kupfer letztlich in das Silizium (Si) – Substratmaterial zu verhindern, was negative Folgen für die Leistungsfähigkeit des ICs zur Folge hätte. Aus diesem Aufbau resultieren Besonderheiten und Schwierigkeiten hinsichtlich der erforderlichen Poliertechniken. In einem typischen IC-Herstellungsprozess wird Kupfer auf einer Barriereschicht aus Tantal/Tantalnitrid abgeschieden. Auch andere Metalle, deren Nitride bzw. Silicide können dafür eingesetzt werden. Bei der zu erfolgenden Planarisierung ist es notwendig, das überschüssige Kupfer und Barrierematerial zu entfernen, ohne die darunter liegende Schicht des Dielektrikums anzugreifen. Bedingt durch unterschiedliche Materialeigenschaften des Kupfers (relativ weich, leicht oxidierbar) und des Tantals (relativ hart), werden an einen Polierprozess besondere Anforderungen gestellt. Stand der Technik für den Cu-CMP-Prozess ist ein mehrstufiger Prozess. Die Cu-Schicht wird zuerst mit einer Polierslurry poliert, die einen hohen Cu-Abtrag garantiert. Anschließend wird eine zweite Polierslurry verwendet, um die überstehende Barriereschicht zu entfernen. Nach anschließenden Reinigungsschritten wird eine ebene Oberfläche mit dem blank polierten Dielektrikum und den eingebetteten Leiterbahnen erhalten. Für den ersten Polierschritt verwendet man z. B. eine Polierslurry mit hoher Selektivität, d. h., dass die Abtragsrate für Cu möglichst hoch ist und die für das Material der darunter liegenden Barriereschicht möglichst klein ist. Der Polierprozess wird automatisch gestoppt, sobald unter dem Cu die Barriereschicht freigelegt wird. Für die Entfernung der Barriereschicht in einem zweiten Polierschritt verwendet man Polierslurries mit hoher Abtragsrate für die Barriereschicht. Die Abtragsrate für Cu ist kleiner oder gleich der Abtragsrate für die der Barriereschicht. Zur Vermeidung von Dishing und Erosion soll die Abtragsrate des Dielektrikums in gleicher Größenordnung liegen.
  • CMP-Slurries für das Polieren von Metall, z.B. für den ersten Kupfer-Polierschritt, enthalten eine oder mehrere chemische Verbindungen, die mit dem Material der einzuebnenden Schicht reagieren, z.B. oxidieren, wobei danach das Reaktionsprodukt, etwa das Metalloxid, mechanisch mit Abrasivstoffen in der Slurry oder auf dem Poliertuch entfernt wird. Freiliegendes Metall wird dann durch weitere chemische Verbindungen leicht angeätzt, bevor sich wieder ein schützender Oxidüberzug bildet und der Zyklus von neuem starten kann. Abtrag und erzielte Planarität hängen ab vom Druck zwischen Werkstück und Poliertuch, von der Relativgeschwindigkeit zwischen den beiden und bei chemisch dominierten Prozessen von der Temperatur.
  • Aus dem Stand der Technik ist bekannt, als Abrasive in Polierslurries für den ersten Polierschritt z. B. Siliziumoxid, Aluminiumoxid, Ceroxid oder Titanoxid einzusetzen (vgl. z. B. WO-A 99/64527, WO-A 99/67056, US-A 5,575,837 und WO-A 00/00567). Nachteilig an auf Aluminiumoxid basierenden Polierslurries ist die hohe Härte des Abrasivs, was verstärkt zu Kratzern auf der Waferoberfläche führt. Dieser Effekt kann reduziert werden, indem man das Aluminiumoxid über Gasphasenprozesse und nicht über Schmelzprozesse herstellt. Bei diesem Prozess erhält man unregelmäßig geformte Partikel, die aus vielen kleinen Primärpartikeln (Aggregate) zusammengesintert sind. Der Gasphasenprozess kann auch zur Herstellung von Titandioxid- oder Siliziumdioxidteilchen verwendet werden. Kantige Teilchen kratzen prinzipiell stärker als runde, kugelförmige Teilchen. Besonders glatt polierte Oberflächen mit Rauhigkeiten im Bereich deutlich unter 1 nm z.B. auf dem Dielektrikumsmaterial Siliziumdioxid werden mit runden, kugelförmigen kolloidalen Siliziumdioxid-Partikeln (Fällungskieselsäure) erzielt.
  • Eine Dispersion mit abrasiven Partikeln und einer durch TiO2 verursachten photokatalytischen Wirkung bei der Bestrahlung mit Licht, beispielsweise ultraviolettem Licht, ist aus der US 2003/0022502 A1 bekannt. Hierbei unterstützt die photokatalytische Wirkung die Oxidation der zu beseitigenden Metallschicht und verbessert damit die abrasive Wirkung der Dispersion.
  • Eine Dispersions-Zusammensetzung mit photokatalytischer Wirkung und einer Mischung aus TiO2 und Ti2O3 als Katalysator ist aus der US 6,177,026 B1 bekannt.
  • Nachteilig bei diesem Stand der Technik ist es, dass bei Verwendung von dem Stand der Technik entsprechendem Titandioxid die Größe bzw. die Größenverteilung der abrasiven Partikel nicht optimal – insbesondere zu grobteilig – ist und deshalb entweder nur geringe Abtragsraten erzielt werden oder grobe Partikel bzw. Agglomerate der abrasiven Partikel Kratzer, Riefen oder unregelmäßige Abtragsraten bewirken und die Uniformität und Leistungsfähigkeit des CMP-Prozesses beeinträchtigen. Insbesondere für die Politur von neuartigen Materialien mit niedriger Dielektrizitätskonstante (low-k materials), die aus dotierten Oxiden oder nanoporösen Polymermaterialien bestehen, werden Slurries mit geringer Reibung zur Vermeidung von Scherkräften benötigt, die bei der Politur mögliche Schicht-Delaminierungen verhindern sollen. Ein weiterer Nachteil beim Stand der Technik ist das aufwändige und kostspielige Herstellungsverfahren der Dispersionspartikel, was in besonderem Maße auf die Herstellung von Nanopartikeln aus Gasphasenprozessen zutrifft.
  • Insbesondere bei beabsichtigter Nutzbarmachung des photokatalytischen Effekts bieten die nach dem Stand der Technik bekannten Varianten von Titandioxid keine optimalen Eigenschaften, beispielsweise keine ausreichende photokatalytische Aktivität.
  • Demgegenüber liegt der Erfindung die Aufgabe zu Grunde, eine Zusammensetzung bzw. ein Material für eine solche Zusammensetzung bereitzustellen, welches) eine hohe Abtragsrate bei einem gleichzeitig schonenden Polierverhalten aufweist.
  • Bei einer Zusammensetzung der eingangs genannten Art wird diese Aufgabe erfindungsgemäß dadurch gelöst, dass die Zusammensetzung Titanoxidhydratpartikel mit der Näherungsformel TiO2·xH2O·yH2SO4 enthält, wobei der H2O-Gehalt der Titanoxidhydratpartikel 0,4 – 25 Gew.-%, bevorzugt 2 – 10 Gew.-%, und der H2SO4-Gehalt 0 – 15 Gew.-%, bevorzugt 0,1 – 10 Gew.-%, beträgt.
  • Hierbei beziehen sich die angegebenen und alle nachfolgend aufgeführten Gewichtsprozentwerte auf eine nach ISO 787 Teil 2 getrocknete Probe.
  • Unter Titanoxidhydrat bzw. Titanoxidhydratpertikel wird hierbei ein titanoxidhaltiges Material mit chemisorbiertem Wasser und gegebenenfalls H2SO4 und/oder weiteren anorganischen und/oder organischen Bestandteilen verstanden, was auch z.T. mit der Näherungsformel TiO(OH)2 dargestellt werden kann.
  • Bezüglich seiner Eignung für den CMP-Prozess zeigt das Titanoxidhydrat deutliche Vorteile gegenüber herkömmlichem Titandioxid mit nur geringen Mengen an chemisorbiertem Wasser (wie z.B. handelsübliche Titandioxidpigmente).
  • Die Bestimmung des H2O-Gehalts der Titanoxidhydratpartikel kann nach folgender Gleichung erfolgen: H2O-Gehalt(%) = Glühverlust(%) – H2SO4-Gehalt(%)wobei der Glühverlust der Gewichtsverlust einer nach ISO 787 Teil 2 getrockneten Probe nach einstündigem Glühen bei 1000°C ist und der H2SO4-Gehalt durch analytische Bestimmung des Schwefels in der nach ISO 787 Teil 2 getrockneten Probe und Umrechnung in H2SO4 ermittelt wird.
  • Näherungsweise kann die Bestimmung des H2O-Gehalts der Titanoxidhydratpartikel auch mit dem Glühverlust (in %) nach einstündigem Glühen der nach ISO 787 Teil 2 getrockneten Probe bei 500°C gleichgesetzt werden.
  • Eine exakte Bestimmung des H2O-Gehalts der Titanoxidhydratpartikel kann aber grundsätzlich nach einstündigem Glühen der nach ISO 787 Teil 2 getrockneten Probe bei 1000°C und gaschromatographischer Analyse der flüchtigen Bestandteile erfolgen.
  • Durch die Erfindung ergibt sich aufgrund der hohen spezifischen Oberfläche von Titanoxidhydrat und der geringen Partikelgröße von Titanoxidhydrat beim chemisch-mechanischen Polieren eine besonders schonende mechanische Beanspruchung der zu bearbeitenden Oberfläche bei gleichzeitig ausreichend hoher Abrasivität. Unterstützt werden kann dies noch durch die Ausnutzung des photokatalytischen Effektes von Titanoxidhydrat.
  • Es zeigt sich ein gegenüber dem bisherigen Stand der Technik besseres Leistungs- und Betriebsverhalten der abrasiven Partikel hinsichtlich der Gesamtbewertung von Abtragsrate, Planarität, Selektivität und Defektdichte. Durch den mit dieser Erfindung bei der Anwendung der Zusammensetzung verbundenen Produktionsprozess bzw. durch die dieser Erfindung zu Grunde liegenden Titanoxidhydratpartikel wird eine günstige Kombination von hoher Abtragsrate – hervorgerufen durch die katalytischen bzw. photokatalytischen Eigenschaften des Titanoxidhydrats – und schonendem Abrasionsverhalten erzielt.
  • Durch ein gezieltes Design der charakteristischen Partikeleigenschaften ist es möglich, eine photokatalytische Wirkung mit verbesserten Abrasiveigenschaften zu kombinieren, so dass nicht unbedingt weitere Abrasivmaterialien außer den dieser Erfindung zugrunde liegenden hinzugefügt werden müssen. Dies reduziert die Menge an Verbrauchsmaterialien und wirkt sich schonend auf Ressourcen aus.
  • Insbesondere bei beabsichtigter Anwendung des photokatalytischen Effekts bieten die Titanoxidhydratpartikel eine optimale Kombination von Eigenschaften. Neben einer sehr großen BET-Oberfläche bieten Titanoxidhydratpartikel ein hohe katalytische Aktivität, die sie überdies durch einfach realisierbare spezifische Modifizierung, beispielsweise mit Metallen oder Metallverbindungen, gezielt auf den jeweiligen Einsatzzweck hin optimieren lässt.
  • Die erfindungsgemäße Zusammensetzung zeichnet sich durch eine hohe Abrasivität bei gleichzeitig sehr schonender Behandlung der polierten Oberflächen aus.
  • Außerdem zeichnet sich die erfindungsgemäße Zusammensetzung durch eine hohe katalytische bzw. photokatalytische Aktivität aus. Dies liegt zum einen an den spezifischen physikalischen Eigenschaften der Titanoxidhydratpartikel zum anderen aber auch an der hohen spezifischen Oberfläche des Titanoxidhydrats und an dessen Acidität. Darüber hinaus ist es möglich, die katalytische Aktivität durch chemische Zusätze zu beeinflussen bzw. zu erhöhen, beispielsweise durch Zusätze von Metallionen wie Fe, Co, Ni, V, Mo, Ag, Pd, Ru, Rh. Diese chemischen Zusätze können dem Titanoxidhydrat zugemischt oder auf das Titanoxidhydrat aufgebracht sein, sie können aber auch durch einen Kalzinierungs- oder Temperprozess in das Titanoxidhydrat eingebaut werden.
  • Gemäß Ausgestaltung der Erfindung ist es möglich, dass die Titanoxidhydratpartikel bis zu 10 Gew.-%, bevorzugt bis zu 3 Gew.-%, an weiteren anorganischen und/oder organischen Bestandteilen enthalten.
  • Die Titanoxidhydratpartikel können durch Hydrolyse anorganischer oder organischer Titanverbindungen erhalten werden. Je nach Titanverbindung und Reaktionsbedingungen ergeben sich dabei unterschiedliche Eigenschaften der erhaltenen Titanoxidhydrate.
  • Bevorzugt kann zur Gewinnung des Titanoxidhydrats das Herstellungsverfahren für Titandioxid nach dem Sulfatprozess angewendet werden, welches beispielsweise in Industrial Inorganic Pigments (2. Auflage, Hrsg. Gunter Buxbaum, Wiley-VCH, 1998) im Detail beschrieben ist.
  • Die Erfindung sieht daher in Ausgestaltung vor, dass die Titanoxidhydratpartikel bei der Herstellung von Titandioxid nach dem Sulfatverfahren nach der Hydrolyse anfallende Partikel sind.
  • Besonders bevorzugt wird dabei das nach der Hydrolyse erhaltene Titanoxidhydrat von anhaftenden Verunreinigungen befreit, indem es entweder filtriert und gewaschen oder noch zusätzlich dem Verfahrensschritt der so genannten Bleiche, einer chemischen Behandlung mit Reduktionsmitteln zur Eliminierung von 3-wertigem Eisen, unterzogen wird.
  • Die großtechnische Herstellung von Titanoxidhydrat nach dem Sulfatprozess für die Titandioxidherstellung hat den Vorteil einer konstanten Produktqualität und ständigen Verfügbarkeit.
  • Bevorzugt enthält die Zusammensetzung Titanoxidhydrat in einem Anteil von 0,1 bis 30 Gew.-%, bevorzugt 3 bis 20 Gew.-%, enthält. Die für den jeweiligen Einsatzzweck optimale Konzentration kann der Fachmann durch einfache Versuche leicht ermitteln.
  • Es kann vorteilhaft sein, das Titanoxidhydrat durch einen Kalzinier- oder Temperschritt zu behandeln, um die Teilchengröße und die Abrasivität zu erhöhen oder die katalytischen bzw. photokatalytischen Eigenschaften gezielt zu modifizieren. Insbesondere kann die Umwandlung von amorphem Titanoxidhydrat in mikrokristallinen Anatas vorteilhaft sein. Dabei darf der Kalzinier- oder Temperschritt jedoch nur so weit gehen, dass die besonderen Eigenschaften des Titanoxidhydrats nicht verloren gehen, d.h. der Anteil an chemisorbiertem Wasser (z.B. in Form von Hydroxylgruppen) darf nicht kleiner als 0,4 Gew.-%, bevorzugt 2,0 Gew.-%, werden, um eine katalytisch bzw. photokatalytisch reaktive Oberfläche des Titanoxidhydrats beizubehalten.
  • Bei mit hohen Temperaturen kalziniertem Titanoxidhydrat geht die katalytische bzw. photokatalytische Aktivität hingegen deutlich zurück, während sich das Titanoxidhydrat zu „makrokristallinem" (mit einer Kristallgröße von >100 nm) TiO2 (in der Anatas- oder Rutilmodifikation) mit einem Gehalt an chemisorbiertem Wasser von deutlich kleiner als 1 Gew.-% umwandelt. Von Vorteil ist es gemäß Ausgestaltung der Erfindung, wenn die Titanoxidhydratpartikel bei 1000°C einen Glühverlust von >2 Gew.-%, bevorzugt >6 Gew.-%, aufweisen. Dies bei einer Glühung von 1 Stunde bei 1000°C. Die Bestimmung des Glühverlusts erfolgt dabei an einer nach ISO 787 Teil 2 vorgetrockneten Probe aus den Titanoxidhydratpartikeln.
  • Von Vorteil ist es gemäß Ausgestaltung der Erfindung auch, wenn die Titanoxidhydratpartikel bei Glühung von 1 Stunde bei 500°C einen Glühverlust von >0,8 Gew.-%, bevorzugt >1,2 Gew.-%, aufweisen. Die Bestimmung des Glühverlusts erfolgt dabei ebenfalls an einer nach ISO 787 Teil 2 vorgetrockneten Probe aus den Titanoxidhydratpartikeln.
  • Vorzugsweise beträgt die BET-Oberfläche des Titanoxidhydrats 150 bis 400 m2/g, besonders bevorzugt 250 bis 380 m2/g, was die Erfindung weiterhin vorsieht.
  • Die Bestimmung der BET-Oberfläche erfolgt dabei nach DIN 66131 an einer bei 140°C während 1 Stunde entgasten und getrockneten Probe aus den Titanoxidhydratpartikeln.
  • Die Erfindung zeichnet sich weiterhin dadurch aus, dass die mittlere Teilchengröße der Primärpartikel des Titanoxidhydrats 3 bis 15 nm, bevorzugt 4 bis 8 nm beträgt. Dies wird beispielsweise durch die vorstehenden Verfahrensschritte erreicht, durch die im Gegensatz zu herkömmlichen Gasphasenprozessen ein technisch und wirtschaftlich verbesserter Produktionsprozess zur Bildung nanopartikularer titanoxidhydrathaltiger Abrasivmaterialien zur Verfügung gestellt wird.
  • Die Primärpartikel sind kleine näherungsweise kugelförmige, mikrokristalline Partikel mit einer gittergestörten Anatasstruktur. Die Teilchengröße kann entweder elektronenmikroskopisch oder durch Berechnung aus der BET-Oberfläche ermittelt werden.
  • Diese Primärpartikel bilden flockenartige Gebilde von etwa 30 bis 60 nm Durchmesser, die als Sekundärpartikel bezeichnet werden. Diese Sekundärpartikel sind sehr stabil gegenüber mechanischen und chemischen Einflüssen. Sie können mechanisch nur unter sehr hohem Energieeinsatz teilweise zerstört werden; auch chemisch ist eine Aufspaltung der Sekundärstruktur in isolierte Primärpartikel sehr schwierig (vgl. US 5840111 ).
  • Die Sekundärpartikel bilden wiederum Tertiärpartikel (ca. 1000 nm), die unregelmäßig (wolkenartig) geformt sind und sich durch Anwendung mechanischer Energie deformieren und im Gegensatz zu den Primär- und Sekundärpartikeln bei hohem mechanischem Energieeintrag teilweise auch zerteilen lassen. Bei einer Teilchengrößenbestimmung des Titanoxidhydrats mittels Laserbeugung werden aber selbst bei starker Ultraschall-Dispergierung ganz überwiegend nur die Tertiärpartikel erfasst und gemessen.
  • Sowohl die Sekundär- als auch die Tertiärpartikel werden durch van-der-Waals-Kräfte und elektrostatische Kräfte fest zusammengehalten, sind aber keine starren Gebilde. Ihre Wirkungsweise hinsichtlich der mechanischen Beanspruchung, wie sie beim CMP-Prozess auftritt, kann mit der eines flexiblen Poliertuchs, das mit extrem feinteiligen abrasiven Partikeln belegt ist, verglichen werden: Einerseits liegen mikrokristalline Primärpartikel vor, die eine mechanische Abrasionswirkung entfalten, andererseits sind diese Primärpartikel in eine stabile, aber dennoch flexible Struktur eingebunden, die sowohl eine effiziente Kraftübertragung vom Polierpad auf die zu polierende Oberfläche als auch eine Anpassung der Abrasionswirkung an die Oberflächentextur ermöglicht. Daraus ergibt sich, dass exponierte Bereiche auf der zu polierenden Oberfläche stärker und tiefer liegende Bereiche schwächer mechanisch abradiert werden. Diese Struktur der Titanoxidhydratpartikel ist besonders vorteilhaft, weil aufgrund der sehr kleinen Primärpartikel der CMP-Prozess einerseits eine sehr glatte Oberfläche der mikroelektronischen Bauelemente erzeugt, andererseits aber eine effiziente Kraftübertragung von der rotierenden Polierscheibe auf die zu polierende Oberfläche durch die Einbindung der Primärpartikel in die Sekundärpartikel bzw. Tertiärpartikel erfolgt. Auf diese Weise können sowohl sehr glatte Oberflächen als auch gute Abtragsraten erhalten werden. Damit wird durch die spezifische Struktur der Titanoxidhydratpartikel der CMP-Prozess in gewünschter Weise beeinflusst.
  • Die Titanoxidhydratpartikel zur Verwendung in einer Zusammensetzung nach einem der Ansprüche 1 – 22 lassen sich in guter Qualität kostengünstig durch Hydrolyse von Titanylsulfatlösung und anschließende Abtrennung sowie ggf. Reinigung des erhaltenen Titanoxidhydrats herstellen.
  • In Weiterbildung sieht die Erfindung daher vor, dass das Titanoxidhydrat durch Hydrolyse von Titanylsulfatlösung, anschließende Abtrennung und gegebenenfalls Reinigung des dabei erhaltenen Titanoxidhydrats hergestellt ist.
  • Bei Titanoxidhydrat, wie es bei der Hydrolyse von Titanylsulfatlösung erhalten wird, liegt eine besonders vorteilhafte Kombination von Eigenschaften vor:
    Zum einen weist dieses Titanoxidhydrat sehr kleine Primärpartikel von mikrokristallinem Anatas auf, wodurch eine hohe photokatalytische Aktivität und gleichzeitig eine schonende Oberflächenbehandlung bewirkt werden. Zum anderen kann aufgrund der Sekundärpartikel eine effiziente Kraftübertragung vom Poliertuch auf die Waferoberfläche erfolgen, wodurch zusätzlich eine mechanische Komponente zu einem optimalen Abtragsverhalten beiträgt.
  • Die Titanoxidhydratpartikel können beispielsweise durch Hydrolyse einer schwefelsäurehaltigen Titanylsulfatlösung erhalten werden. Je nach Herkunft und Zusammensetzung der schwefelsäurehaltigen Titanylsulfatlösung wird bei der Hydrolyse eine schwefelsaure Suspension von Titanoxidhydrat erhalten, welche noch unerwünschte Verunreinigungen – insbesondere Schwermetalle – enthalten kann. In der Regel werden deshalb ein oder mehrere Reinigungsschritte vorgenommen, um das Titanoxidhydrat von unerwünschten Verunreinigungen zu befreien.
  • Für höchste Reinheit ist es vorteilhaft, nicht die großtechnische metallionenhaltige schwefelsäurehaltige Titanylsulfatlösung zu verwenden, sondern eine synthetische schwefelsäurehaltige Titanylsulfatlösung, welche nur geringe Mengen an Verunreinigungen enthält. Die Herstellung eines hochreinen Titanoxidhydrats daraus kann entweder analog zu herkömmlichen großtechnischen Prozessen oder mit Abweichungen erfolgen.
  • Der geringe Gehalt an metallischen Spurenelementen kann sich günstig auf die Defektdichte bzw. Zuverlässigkeit der integrierten Schaltungen auswirken.
  • Von Vorteil ist es dabei weiterhin, wenn das Titanoxidhydrat durch Zusatz von HCl (Salzsäure) zumindest teilweise entflockt ist, was die Erfindung ebenfalls vorsieht. Diese Entflockung, d.h. das teilweise Zerfallen der Sekundär- und/oder Tertiärpartikel, kann in stark salzsaurer Lösung durch elektrische Umladung der Teilchenoberfläche erreicht werden. Auf diese Weise wird eine de facto feinteiligere Teilchenstruktur erreicht, was sich insbesondere positiv auf die Homogenität der Abtragung bzw. auf die erzielbare Oberflächenrauhigkeit auswirken kann.
  • Von Vorteil ist es weiterhin, wenn das Titanoxidhydrat als transparentes Sol vorliegt. Dieses transparente Sol aus isolierten Titanoxidhydrat-Primärpartikeln weist eine minimale mechanische Abtragswirkung auf (vergleichbar mit einer CMP-Lösung ohne jeden Feststoffanteil), kann aufgrund der photokatalytischen Eigenschaften des Titanoxidhydrats jedoch für spezifische CMP-Prozesse Verwendung finden.
  • Ein solches Sol lässt sich wie in der US 5840111 beschrieben herstellen.
  • Weiterhin ist es für die photokatalytischen Eigenschaften von Vorteil, wenn das Titanoxidhydrat bezogen auf TiO2 20 bis 2000 ppm Niob (Nb), bevorzugt 50 bis 500 ppm an Niob (Nb), enthält, was die Erfindung in Weiterbildung vorsieht.
  • Von Vorteil für die photokatalytischen Eigenschaften ist es insbesondere, wenn im Titanoxidhydrat das Molverhältnis von Niob zu Aluminium Nb/Al > 1, bevorzugt >10, und/oder das Molverhältnis von Niob zu Zink (Nb/Zn) > 1, bevorzugt >10, beträgt. Ein solches photokatalytisches Material bzw. eine erfindungsgemäße Zusammensetzung mit diesem Material zeichnet sich durch eine besonders gute photokatalytische Wirkung aus.
  • Von Vorteil ist es hierbei weiterhin, wenn der Rutilgehalt des Titanoxidhydrats weniger als 10 Gew.-%, bevorzugt weniger als 1 Gew.-%, beträgt, da die photokatalytischen Eigenschaften von Anatas in der Regel stärker ausgeprägt sind als diejenigen von Rutil.
  • Von Vorteil ist es weiterhin, wenn das Titanoxidhydrat 20 – 2000 ppm, bevorzugt 80-800 ppm, an Chlorid enthält. Dies beeinflusst die photokatalytischen Eigenschaften positiv.
  • Von Vorteil ist es weiterhin sein, wenn das Titanoxidhydrat weniger als 1000 ppm, bevorzugt weniger als 50 ppm, an Kohlenstoff enthält, was die Erfindung weiterhin vorsieht. Auch dies beeinflusst die photokatalytischen Eigenschaften positiv.
  • Eine zweckmäßige Weiterbildung der Erfindung besteht darin, dass das Titanoxidhydrat weniger als 100 ppm, bevorzugt weniger als 15 ppm, an Eisen, Aluminium oder Natrium enthält. Ein geringer Gehalt an Metall-Ionen, wie z.B. Eisen, in Polierflüssigkeiten beeinflusst bei mikroelektronischen Anwendungen die Zuverlässigkeit der unter Einfluss der erfindungsgemäßen Zusammensetzung chemisch-mechanisch-polierten Bauelemente günstig. Der Eintrag von Kontaminationen in das Substrat, welche die Ladungsträger-Lebensdauer negativ beeinflussen, wird minimiert bzw. verhindert.
  • Von Vorteil kann es weiterhin sein, wenn das Titanoxidhydrat mit einer anorganischen und/oder mit einer organischen Verbindung beschichtet ist.
  • Somit können neben den abrasiven und photokatalytischen Eigenschaften des Titanoxidhydrats Zeta-Potential, Oberflächenmorphologie, tribologische Eigenschaften u.a. physikalisch-chemische Eigenschaften der Abrasiv-Partikel je nach Erfordernis durch das zu polierende Substrat gezielt eingestellt werden und somit z. B. die Selektivität, Abtragsleistung oder Eigenschaften hinsichtlich des Post-CMP Cleaning positiv beeinflussen.
  • Hierbei ist es weiterhin von Vorteil, wenn das Titanoxidhydrat mit Edelmetallen oder Edelmetallverbindungen beschichtet ist. Hierdurch lassen sich die photokatalytischen Eigenschaften weiter verbessern oder gezielt positiv beeinflussen.
  • Üblicherweise wird der CMP-Prozess – auch mit der erfindungsgemäßen Zusammensetzung – bei pH-Werten von 9 bis 11 für Oxid-CMP (z.B. SiO2) bzw. bei pH-Werten von 3 bis 7 bei Metall-CMP (z.B. Kupfer) durchgeführt.
  • Gemäß weiterer Ausgestaltung sieht die Erfindung demgegenüber vor, dass die Zusammensetzung einen pH-Wert von kleiner als 2, bevorzugt kleiner als 1, oder einen pH-Wert von größer als 12, bevorzugt größer als 13, aufweist.
  • Eine vorteilhafte Variante der Erfindung besteht darin, dass die erfindungsgemäße Zusammensetzung mit Titanoxidhydrat als Abrasiv einen pH-Wert von größer als 12, bevorzugt größer als 13, aufweist. Im Gegensatz zu den nach dem Stand der Technik verwendeten Zusammensetzungen, welche SiO2 oder Al2O3 als Abrasiv enthalten, weist das Titanoxidhydrat in der erfindungsgemäßen Zusammensetzung auch bei extrem hohen pH-Werten keinerlei Löslichkeit auf. Auf diese Weise kann insbesondere beim CMP-Prozess an oxidischen Oberflächen (z.B. SiO2) die Abtragsrate erheblich gesteigert werden.
  • Aber auch bei niedrigen pH-Werten von kleiner als 2, bevorzugt kleiner als 1, weist das Titanoxidhydrat eine sehr hohe Stabilität auf. Insbesondere in salzsaurer Lösung weist das Titanoxidhydrat (im Gegensatz zu SiO2 oder Al2O3) in der erfindungsgemäßen Zusammensetzung auch bei extrem niedrigen pH-Werten keine nennenswerte Löslichkeit auf. Auf diese Weise kann insbesondere beim CMP-Prozess an metallischen Oberflächen (z.B. Cu, W oder Ta) die Abtragsrate erheblich gesteigert werden.
  • In vorteilhafter Weise sieht die Erfindung weiterhin vor, dass die Zusammensetzung zusätzlich ein oder mehrere anderes) Abrasiv(e) und/oder Feststoffe enthält. Dadurch kann z.B. die Selektivität einer Polierflüssigkeit hinsichtlich der Substratoberfläche gezielt eingestellt werden.
  • Ergänzend zu dem Vorstehenden ist es natürlich auch möglich, bei Bedingungen, die für die Wirksamkeit des photokatalytischen Effekts besonders geeignet sind, zur Erzielung möglichst hoher mechanischer Abtragsraten neben Titanoxidhydrat andere Feststoffpartikel zugegeben. Besonders vorteilhaft kann eine Mischung verschiedener Bestandteile sein, von denen das Titanoxidhydrat überwiegend (aber nicht nur) photokatalytisch wirkt, während andere Bestandteile chemisch oder mechanisch wirken.
  • Von Vorteil kann es weiterhin sein, wenn die Zusammensetzung zusätzlich Titandioxid (TiO2) enthält. Auf diese Weise können die photokatalytischen Eigenschaften des Titanoxidhydrats gut mit den abrasiven Eigenschaften von TiO2 kombiniert werden und positive Synergieeffekte erzielt und ausgenutzt werden.
  • Bei einem Verfahren der eingangs bezeichneten Art wird die oben stehende Aufgabe dadurch gelöst, dass während des chemisch-mechanischen Polierens eine Zusammensetzung nach einem der Ansprüche 1 – 22 auf die Oberfläche des Bauelementes aufgebracht und polierend über die Oberfläche bewegt wird.
  • Hierbei kann unterstützend der photokatalytische Effekt des Titanoxidhydrats bzw. der Zusammensetzung ausgenutzt werden, so dass die Erfindung sich auch dadurch auszeichnet, dass während des chemisch-mechanischen Polierens eine Zusammensetzung nach einem der Ansprüche 1 – 22 einer Bestrahlung mit sichtbarem und/oder ultraviolettem Licht zur Initiierung und Ausnutzung eines photokatalytischen Effektes ausgesetzt wird.
  • Weiterhin wird die oben stehende Aufgabe gelöst durch ein mikroelektronisches Bauelement, insbesondere ein Halbleiterelement, und/oder mechanisches Bauelement, insbesondere mikroelektromechanisches Bau- oder Halbleiterelement (MEMS), hergestellt nach dem vorstehenden Verfahren.
  • Auch wird die oben stehende Aufgabe gelöst durch ein chemisch-mechanisches Polieren (CMP), das unter Verwendung einer Zusammensetzung nach einer der oben stehenden Merkmalskombinationen durchgeführt wird, was die Erfindung auch vorsieht. Hierbei ist es dann besonders vorteilhaft, wenn eine metallische, eine elektrisch leitende und/oder eine Dielektrikumstruktur chemisch-mechanisch poliert wird, was die Erfindung in Ausgestaltung vorsieht.
  • Schließlich ist es besonders zweckmäßig, ein chemisch-mechanisches Polieren unter Verwendung der erfindungsgemäßen Zusammensetzung durchzuführen, wenn eine kupferhaltige Struktur chemisch-mechanisch poliert wird, was die Erfindung schließlich auch vorsieht.
  • Die Erfindung ist nachstehend anhand einiger ausgewählter Beispiele näher erläutert, wobei die Erfindung keineswegs auf die spezifischen Beispiele beschränkt ist.
  • Beispiel 1: CMP-Abtragseigenschaft bei Siliziumdioxid-Schichten
  • Das Abtragsverhalten der dieser Erfindung zugrunde liegenden Zusammensetzungen in CMP-Prozessen wurde durch diverse Poliertests beschrieben, die alle auf einem Peter Wolters PM200 Gemini CMP-Clustertool von Peter Wolters Surface Technologies GmbH, ausgestattet mit Poliermaschine, Bürstenreiniger und automatischem Wafer-Handling, durchgeführt wurden. Als Substrate dienten 150 mm (Durchmesser) Silizium-Wafer mit einer Beschichtung von 1000 nm SiO2 (thermisch oxidiert).
  • Als Poliertuch wurde ein Suba 500 von Rohm & Haas Electronic Materials eingesetzt.
  • Für alle Polierprozesse wurden die in Tabelle 1 zusammengefassten Maschinenparameter verwendet.
  • Tabelle 1: Maschinenparameter der Polierprozesse
    Figure 00190001
  • Für jede Dispersion wurden 3 Wafer für je 120 s poliert. Nach jedem Wafer wurde das Poliertuch durch eine Nylon-Bürste konditioniert. Kontroll-Wafer wurden zwischen den jeweiligen Test-Dispersionen behandelt, um eine Verfälschung der Messwerte durch Verschleppung auszuschließen bzw. zu minimieren. Die zweifache Reinigung der Wafer nach dem Polierschritt erfolgte mit Hilfe von PVA-Bürsten und entionisiertem Wasser. Die mit den Dispersionen erzielten Abtragsleistungen und die Non-Uniformity wurden nach erfolgter Politur und Reinigung durch reflektometrische Messungen der Oxid-Schichtdicke mit einem Sentech Spektralphotometer ermittelt.
  • Die der Erfindung zugrunde liegenden titandioxidhydrathaltigen Materialien wurden (sofern nicht anders spezifiziert) in Form wässriger Dispersionen mit einem Feststoffgehalt von 25 Gew.-% im pH-Bereich von 9 – 10 als Polierflüssigkeiten getestet. Die Zusammensetzung der Polierflüssigkeiten sowie die Polierergebnisse sind in Tabelle 2 zusammengefasst.
  • Tabelle 2: Zusammensetzung und Polierergebnisse der getesteten Dispersionen für SiO2-CMP
    Figure 00200001
  • Die erfindungsgemäße Dispersion 1-A mit Titanoxidhydrat in Form relativ weicher Aggregate als Sekundärpartikel zeigt eine im Vergleich zu einem typischen Oxid-CMP-Prozess niedrige Abtragsleistung. Es kann aber vorteilhaft sein, diese erfindungsgemäße Dispersion für Metall-CMP-Prozesse oder photokatalystisch verstärkte Metall-CMP-Prozesse einzusetzen. Schäden auf der polierten Oberfläche durch Partikelkontamination und Ausbildung von Kratzern werden nicht beobachtet.
  • Die erfindungsgemäße Dispersion 1-G zeigt die aufgrund des niedrigen pH-Wertes niedrigste Abtragsrate. Hierbei ist die chemische Komponente des CMP-Prozesses nur noch untergeordnet und die beobachtete Abtragsleistung kann auf einen rein mechanischen Anteil zurückgeführt werden. Schäden auf der polierten Oberfläche durch Partikelkontamination und Ausbildung von Kratzern werden nicht beobachtet. Die Dispersion 1-G enthält das Titanoxidhydrat in entflockter Form. Vorteilhaft erscheint daher die Verwendung von 1-G als entflocktes Titanoxidhydrat für den Bereich Metall-CMP.
  • Die erfindungsgemäße Dispersion 1-H besteht aus mit Siliziumdioxid beschichtetem Titanoxidhydrat und zeigt eine höhere Abtragsrate im Vergleich zu Dispersion 1-A bei gleichzeitiger Halbierung der Non-Uniformity. Somit kann die Abtragsleistung vorteilhaft durch die Wahl geeigneter Beschichtungen der Titanoxidhydrat-Partikel beeinflusst werden. Schäden auf der polierten Oberfläche durch Partikelkontamination und Ausbildung von Kratzern werden nicht beobachtet.
  • Die Vergleichsdispersion 1-J enthält kommerziell verfügbares pyrogenes TiO2 (Degussa P 25) und zeigt eine hohe Abtragsleistung, verursacht jedoch Schäden auf der polierten Oberfläche durch Partikelkontamination und Ausbildung von Kratzern. Daher zeigen die titanoxidhydrathaltigen untersuchten Dispersionen beim Polieren Vorteile bezüglich der variabel einstellbaren Abtragsrate und insbesondere der Defektdichte (z.B. Kratzer, Oberflächenrauigkeiten oder anhaftende Partikel) im Vergleich zur untersuchten Dispersion auf der Basis von pyrogenem Titandioxid (Degussa P25), die dem Stand der Technik entspricht.
  • Es ist offensichtlich, dass die hier beispielhaft beschriebenen titanoxidhydrathaltigen Dispersionen sich vorteilhaft bezüglich des Post-CMP-cleaning und der Defektdichte auf der polierten Oberfläche verhalten. Die vorgestellten Versuchsergebnisse können durch die Kombination mit Additiven und Hilfsstoffen oder Anpassung der Produktionsbedingungen der titanoxidhydrathaltigen Materialien (je nach gewünschtem Verhältnis von chemischer, mechanischer oder (photo)katalytischer Aktivität) sowie durch eine verfeinerte CMP-Prozessführung hinsichtlich ihres Abtragsverhaltens gezielt auf unterschiedliche, in einem industriellen Fertigungsschritt zu polierende Oberflächen übertragen werden.
  • Besonders vorteilhaft ist die Verwendung von dieser Erfindung zugrunde liegenden titanoxidhydrathaltigen Dispersionen für die chemisch-mechanische Planarisierung von metallischen Substraten wie z. B. Kupfer.
  • Weiterhin ist die Verwendung der in dieser Erfindung beschriebenen Polierflüssigkeiten mit Titanoxidhydrat vorteilhaft zur Verwendung photokatalytisch unterstützter CMP-Verfahren.

Claims (28)

  1. Zusammensetzung in Form einer Dispersion oder einer Slurry für das chemisch-mechanische Polieren (CMP) bei der Herstellung von elektronischen oder mikroelektronischen Bauelementen, insbesondere Halbleiterelementen, und/oder eines mechanischen Bauelementes, insbesondere eines mikroelektromechanischen Bau- oder Halbleiterelementes (MEMS), dadurch gekennzeichnet, dass die Zusammensetzung Titanoxidhydratpartikel mit der Näherungsformel TiO2·xH2O·yH2SO4 enthält, wobei der H2O-Gehalt der Titanoxidhydratpartikel 0,4 – 25 Gew.-%, bevorzugt 2 – 10 Gew.-%, und der H2SO4-Gehalt 0 – 15 Gew.-%, bevorzugt 0,1 – 10 Gew.-%, beträgt.
  2. Zusammensetzung nach Anspruch 1, dadurch gekennzeichnet, dass die Titanoxidhydratpartikel bis zu 10 Gew.-%, bevorzugt bis zu 3 Gew.-%, an weiteren anorganischen und/oder organischen Bestandteilen enthalten.
  3. Zusammensetzung nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass die Titanoxidhydratpartikel bei der Herstellung von Titandioxid nach dem Sulfatverfahren nach der Hydrolyse anfallende Partikel sind.
  4. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass sie Titanoxidhydrat in einem Anteil von 0,1 bis 30 Gew.-%, bevorzugt 3 bis 20 Gew.-%, enthält.
  5. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Titanoxidhydratpartikel bei 1000°C einen Glühverlust von >2 Gew.-%, bevorzugt >6 Gew.-%, aufweisen.
  6. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Titanoxidhydratpartikel bei 500°C einen Glühverlust von >0,8 Gew.-%, bevorzugt >1,2 Gew.-%, aufweisen.
  7. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die BET-Oberfläche des Titanoxidhydrats 150 bis 400 m2/g, bevorzugt 250 bis 380 m2/g beträgt.
  8. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die mittlere Teilchengröße der Primärpartikel des Titanoxidhydrats 3 bis 15 nm, bevorzugt 4 bis 8 nm beträgt.
  9. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Titanoxidhydrat durch Hydrolyse von Titanylsulfatlösung, anschließende Abtrennung und gegebenenfalls Reinigung des dabei erhaltenen Titanoxidhydrats hergestellt ist.
  10. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Titanoxidhydrat durch Zusatz von HCl zumindest teilweise entflockt ist.
  11. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Titanoxidhydrat als transparentes Sol vorliegt.
  12. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Titanoxidhydrat bezogen auf TiO2 20 bis 2000 ppm Niob (Nb), bevorzugt 50 bis 500 ppm an Niob (Nb), enthält.
  13. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass im Titanoxidhydrat das Molverhältnis von Niob zu Aluminium Nb/Al > 1, bevorzugt >10, und/oder das Molverhältnis von Niob zu Zink (Nb/Zn) > 1, bevorzugt >10, beträgt.
  14. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Rutilgehalt des Titanoxidhydrats weniger als 10 Gew.-%, bevorzugt weniger als 1 Gew.-%, beträgt.
  15. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Titanoxidhydrat 20 – 2000 ppm, bevorzugt 80 – 800 ppm, an Chlorid enthält.
  16. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Titanoxidhydrat weniger als 1000 ppm, bevorzugt weniger als 50 ppm, an Kohlenstoff enthält.
  17. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Titanoxidhydrat weniger als 100 ppm, bevorzugt weniger als 15 ppm, an Eisen, Aluminium oder Natrium enthält.
  18. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Titanoxidhydrat mit einer anorganischen und/oder mit einer organischen Verbindung beschichtet ist.
  19. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Titanoxidhydrat mit Edelmetallen oder Edelmetallverbindungen beschichtet ist.
  20. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass sie einen pH-Wert von kleiner als 2, bevorzugt kleiner als 1, oder einen pH-Wert von größer als 12, bevorzugt größer als 13, aufweist.
  21. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass sie zusätzlich ein oder mehrere anderes) Abrasiv(e) und/oder Feststoffe enthält.
  22. Zusammensetzung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass sie Titandioxid (TiO2) enthält.
  23. Verfahren zur Herstellung eines elektronischen oder mikroelektronischen Bauelementes, insbesondere eines Halbleiterelementes, und/oder eines mechanischen Bauelementes, insbesondere eines mikroelektromechanischen Bau- oder Halbleiterelementes (MEMS), welches unter dem Einfluß einer titanhaltigen Zusammensetzung in Form einer Dispersion oder einer Slurry einem chemisch-mechanischen Polier – Verfahren (CMP) unterworfen wird, dadurch gekennzeichnet, dass eine Zusammensetzung nach einem der Ansprüche 1 – 22 auf die Oberfläche des Bauelementes aufgebracht und polierend über die Oberfläche bewegt wird.
  24. Verfahren nach Anspruch 23, dadurch gekennzeichnet, dass während des chemisch-mechanischen Polierens eine Zusammensetzung nach einem der Ansprüche 1 – 22 einer Bestrahlung mit sichtbarem und/oder ultraviolettem Licht zur Initiierung und Ausnutzung eines photokatalytischen Effektes ausgesetzt wird.
  25. Mikroelektronisches Bauelement, insbesondere ein Halbleiterelement, und/oder mechanisches Bauelement, insbesondere mikroelektromechanisches Bau- oder Halbleiterelement (MEMS), hergestellt nach einem Verfahren gemäß Anspruch 23 oder 24.
  26. Chemisch-mechanisches Polieren (CMP) durchgeführt unter Verwendung einer Zusammensetzung nach einem der Ansprüche 1 – 22.
  27. Chemisch-mechanisches Polieren nach Anspruch 26, dadurch gekennzeichnet, dass eine metallische, eine elektrisch leitende und/oder eine Dielektrikumstruktur chemisch-mechanisch poliert wird.
  28. Chemisch-mechanisches Polieren nach Anspruch 27, dadurch geßkennzeichnet, dass eine kupferhaltige Struktur chemisch-mechanisch poliert wird.
DE102004020213A 2004-04-22 2004-04-22 Zusammensetzung für das Chemisch-Mechanische Polieren (CMP) Ceased DE102004020213A1 (de)

Priority Applications (6)

Application Number Priority Date Filing Date Title
DE102004020213A DE102004020213A1 (de) 2004-04-22 2004-04-22 Zusammensetzung für das Chemisch-Mechanische Polieren (CMP)
PCT/EP2005/003850 WO2005102932A1 (de) 2004-04-22 2005-04-12 Zusammensetzung für das chemisch-mechanische polieren (cmp)
US11/587,278 US20080020578A1 (en) 2004-04-22 2005-04-12 Composition for Chemical-Mechanical Polishing (Cmp)
EP05730307A EP1737793A1 (de) 2004-04-22 2005-04-12 Zusammensetzung für das chemisch-mechanische polieren (cmp)
JP2007508781A JP2007534167A (ja) 2004-04-22 2005-04-12 化学機械研磨(cmp)のための組成物
TW094112719A TW200609317A (en) 2004-04-22 2005-04-21 Composition for chemical-mechanical polishing (cmp)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102004020213A DE102004020213A1 (de) 2004-04-22 2004-04-22 Zusammensetzung für das Chemisch-Mechanische Polieren (CMP)

Publications (1)

Publication Number Publication Date
DE102004020213A1 true DE102004020213A1 (de) 2005-11-24

Family

ID=34963784

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004020213A Ceased DE102004020213A1 (de) 2004-04-22 2004-04-22 Zusammensetzung für das Chemisch-Mechanische Polieren (CMP)

Country Status (6)

Country Link
US (1) US20080020578A1 (de)
EP (1) EP1737793A1 (de)
JP (1) JP2007534167A (de)
DE (1) DE102004020213A1 (de)
TW (1) TW200609317A (de)
WO (1) WO2005102932A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2208767A2 (de) 2009-01-12 2010-07-21 crenox GmbH Verfahren zum Polieren mit Hilfe titanhaltiger Poliermittel

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4752072B2 (ja) * 2005-11-30 2011-08-17 国立大学法人埼玉大学 研磨方法及び研磨装置
JP2008307659A (ja) * 2007-06-15 2008-12-25 Ritsumeikan 金属の研磨方法
US8406460B2 (en) * 2010-04-27 2013-03-26 Intellectual Ventures Fund 83 Llc Automated template layout method
JP6119123B2 (ja) * 2012-06-15 2017-04-26 トヨタ自動車株式会社 活物質材料、電池、および活物質材料の製造方法
US9263275B2 (en) 2013-03-12 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
US9105578B2 (en) * 2013-03-12 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
US9123387B1 (en) 2014-08-21 2015-09-01 WD Media, LLC Magnetic recording drives with active photocatalytic filtration
CN109728158B (zh) * 2017-10-27 2023-07-07 华邦电子股份有限公司 电阻式存储器及其制造方法与化学机械研磨制程
WO2023149925A1 (en) * 2022-02-07 2023-08-10 Araca, Inc. Chemical mechanical planarization slurry processing techniques and systems and methods for polishing substrate using the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001042140A1 (en) * 1999-12-13 2001-06-14 Jonathan Sherman Nanoparticulate titanium dioxide coatings, and processes for the production and use thereof

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3485608A (en) * 1968-01-02 1969-12-23 Texas Instruments Inc Slurry for polishing silicon slices
JPS54155195A (en) * 1978-05-29 1979-12-06 Dowa Mining Co Method of removing titanium from iron sulfate solution containing titanium
DE3524053A1 (de) * 1985-07-05 1987-01-08 Bayer Antwerpen Nv Verfahren zur herstellung von hochwertigem titandioxid nach dem sulfatverfahren
US5061460A (en) * 1988-08-19 1991-10-29 Solex Research Corporation Of Japan Method for manufacturing titanium oxide
US4959113C1 (en) * 1989-07-31 2001-03-13 Rodel Inc Method and composition for polishing metal surfaces
ES2049127B1 (es) * 1991-06-13 1994-10-01 Tioxide Espa A S A Corrector de carencias de microelementos para suelos agricolas y procedimiento para su preparacion.
US5527469A (en) * 1995-06-12 1996-06-18 Lawhorne; Earl R. Method for the preparation of desulfurized titanium oxide hydrolysate of high purity
BR9710413A (pt) * 1996-03-26 1999-08-17 Cabot Corp M-todo para solubiliza-Æo de valores de metal em subst ncias de ta-nb-ore contendo fluoretos insolÚveis
JP3509838B2 (ja) * 1996-12-16 2004-03-22 戸田工業株式会社 鉄を主成分とする金属磁性粒子粉末を使用している磁気記録媒体の非磁性下地層用酸化チタン粒子粉末、該酸化チタン粒子粉末を用いた非磁性下地層を有する磁気記録媒体の基体並びに該基体を用いた磁気記録媒体
JP3514096B2 (ja) * 1998-01-21 2004-03-31 三菱マテリアル株式会社 気相合成ダイヤモンド薄膜の表面研磨方法
DE19806471A1 (de) * 1998-02-17 1999-08-19 Kerr Mcgee Pigments Gmbh & Co Reines Titandioxidhydrat und Verfahren zu dessen Herstellung
US6177026B1 (en) * 1998-05-26 2001-01-23 Cabot Microelectronics Corporation CMP slurry containing a solid catalyst
JP2001308041A (ja) * 2000-04-18 2001-11-02 Asahi Kasei Corp 半導体基板上の金属膜研磨用組成物
JP2002028404A (ja) * 2000-07-17 2002-01-29 Nobuo Iwane チタン系凝集剤
ATE496004T1 (de) * 2000-09-26 2011-02-15 Evonik Degussa Gmbh Eisenoxid- und siliciumdioxid-titandioxid- mischung
DE10352816A1 (de) * 2003-11-12 2005-06-09 Sachtleben Chemie Gmbh Verfahren zur Herstellung eines hochtemperaturstabilen, TiO2-haltigen Katalysators oder Katalysatorträgers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001042140A1 (en) * 1999-12-13 2001-06-14 Jonathan Sherman Nanoparticulate titanium dioxide coatings, and processes for the production and use thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2208767A2 (de) 2009-01-12 2010-07-21 crenox GmbH Verfahren zum Polieren mit Hilfe titanhaltiger Poliermittel

Also Published As

Publication number Publication date
EP1737793A1 (de) 2007-01-03
WO2005102932A1 (de) 2005-11-03
US20080020578A1 (en) 2008-01-24
JP2007534167A (ja) 2007-11-22
TW200609317A (en) 2006-03-16

Similar Documents

Publication Publication Date Title
EP1737793A1 (de) Zusammensetzung für das chemisch-mechanische polieren (cmp)
DE60008376T2 (de) Aufschlämmungszusammensetzung und verfahren zum chemisch-mechanischen polieren
DE69828925T2 (de) Schleifzusammensetzung enthaltend einen Inhibitor für das Ätzen von Wolfram
DE10164262A1 (de) Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen
DE69933015T2 (de) Suspension zum chemisch-mechanischen polieren von kupfersubstraten
DE69734138T2 (de) Suspension zum chemisch-mechanischen Polieren von Kupfersubstraten
DE60127206T2 (de) Wässrige Dispersion zum chemisch-mechanischen Polieren von Kupfersubstraten
JP4782673B2 (ja) Cmp用被覆金属酸化物粒子
DE69928537T2 (de) Suspension zum chemisch-mechanischen polieren von kupfer/tantalsubstraten
DE69427165T3 (de) Zusammensetzung und verfahren zum polieren
DE69836612T2 (de) Polierverfahren
DE602004000914T2 (de) Polieraufschlämmung zum abtragen einer modularen barriere
DE60009997T2 (de) Eine eine wässrige Dispersionszusammensetzung verwendende chemisch-mechanische Poliermethode zur Verwendung in der Herstellung von Halbleitervorrichtungen
DE69724632T2 (de) Zusammensetzung und methode zum polieren eines komposits
DE60311569T2 (de) Tantalbarriere-Entfernungslösung
DE69734868T2 (de) Zusammensetzung und verfahren zum chemisch-mechanischen polieren
DE102010018423A1 (de) Verfahren zum chemisch-mechanischen Polieren eines Substrats
DE102005058271A1 (de) Selektive Aufschlämmung zum chemisch-mechanischen Polieren
DE60304181T2 (de) Cmp systeme und verfahren zur verwendung von aminoenthaltenden polymerisaten
DE102011013982B4 (de) Verfahren zum chemisch-mechanischen Polieren eines Substrats mit einer Polierzusammensetzung, die zur Erhöhung der Siliziumoxidentfernung angepasst ist.
KR19980063805A (ko) 연마 성분 및 방법
DE03716012T1 (de) An feststoff gebundener radikalbildender aktivator und verwendung zur verbesserung von formulierungen für das chemisch-mechanische polieren
US6238469B1 (en) Dual-valent rare earth additives to polishing slurries
EP1306415A2 (de) Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall-Dielektrikastrukturen mit hoher Selektivität
DE102018006078A1 (de) Chemisch-mechanisches polierverfahren für wolfram

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: TRONOX PIGMENTS GMBH, 47829 KREFELD, DE

R016 Response to examination communication
R002 Refusal decision in examination/registration proceedings
R082 Change of representative

Representative=s name: DEMSKI, FRANK & NOBBE PATENTANWAELTE, DE

R081 Change of applicant/patentee

Owner name: SACHTLEBEN PIGMENT GMBH, DE

Free format text: FORMER OWNER: TRONOX PIGMENTS GMBH, 47829 KREFELD, DE

Effective date: 20121024

R082 Change of representative

Representative=s name: DEMSKI & NOBBE PATENTANWAELTE, DE

Effective date: 20121024

Representative=s name: DEMSKI, FRANK & NOBBE PATENTANWAELTE, DE

Effective date: 20121024

R003 Refusal decision now final

Effective date: 20121025