CN1874955A - 电子器件的制造方法和电子器件 - Google Patents

电子器件的制造方法和电子器件 Download PDF

Info

Publication number
CN1874955A
CN1874955A CNA2004800321952A CN200480032195A CN1874955A CN 1874955 A CN1874955 A CN 1874955A CN A2004800321952 A CNA2004800321952 A CN A2004800321952A CN 200480032195 A CN200480032195 A CN 200480032195A CN 1874955 A CN1874955 A CN 1874955A
Authority
CN
China
Prior art keywords
layer
electrode
sacrifice layer
mems
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800321952A
Other languages
English (en)
Other versions
CN1874955B (zh
Inventor
J·T·M·范比克
M·J·E·乌勒奈尔斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TDK Corp
Original Assignee
Koninklijke Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Koninklijke Philips Electronics NV filed Critical Koninklijke Philips Electronics NV
Publication of CN1874955A publication Critical patent/CN1874955A/zh
Application granted granted Critical
Publication of CN1874955B publication Critical patent/CN1874955B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G5/00Capacitors in which the capacitance is varied by mechanical means, e.g. by turning a shaft; Processes of their manufacture
    • H01G5/16Capacitors in which the capacitance is varied by mechanical means, e.g. by turning a shaft; Processes of their manufacture using variation of distance between electrodes
    • H01G5/18Capacitors in which the capacitance is varied by mechanical means, e.g. by turning a shaft; Processes of their manufacture using variation of distance between electrodes due to change in inclination, e.g. by flexing, by spiral wrapping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0064Constitution or structural means for improving or controlling the physical properties of a device
    • B81B3/0067Mechanical properties
    • B81B3/0078Constitution or structural means for improving mechanical properties not provided for in B81B3/007 - B81B3/0075
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G5/00Capacitors in which the capacitance is varied by mechanical means, e.g. by turning a shaft; Processes of their manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G5/00Capacitors in which the capacitance is varied by mechanical means, e.g. by turning a shaft; Processes of their manufacture
    • H01G5/16Capacitors in which the capacitance is varied by mechanical means, e.g. by turning a shaft; Processes of their manufacture using variation of distance between electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H59/00Electrostatic relays; Electro-adhesion relays
    • H01H59/0009Electrostatic relays; Electro-adhesion relays making use of micromechanics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/01Switches
    • B81B2201/012Switches characterised by the shape
    • B81B2201/016Switches characterised by the shape having a bridge fixed on two ends and connected to one or more dimples
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/03Microengines and actuators
    • B81B2201/038Microengines and actuators not provided for in B81B2201/031 - B81B2201/037
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/04Electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/05Type of movement
    • B81B2203/053Translation according to an axis perpendicular to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H57/00Electrostrictive relays; Piezo-electric relays
    • H01H2057/006Micromechanical piezoelectric relay
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H2239/00Miscellaneous
    • H01H2239/01Miscellaneous combined with other elements on the same substrate

Abstract

一种微机电系统(MEMS)器件的制造方法,包括在衬底(14)上提供基层(10)和机械层(12),在基层(10)和机械层(12)之间提供牺牲层(16),在牺牲层(16)和衬底(14)之间提供蚀刻停止层(18),以及借助干法化学蚀刻去除牺牲层(16),其中利用含氟的等离子体进行干法化学蚀刻,并且该蚀刻停止层(18)包括基本上不导电的、氟化学剂惰性材料,如HfO2、ZrO2、Al2O3或TiO2

Description

电子器件的制造方法和电子器件
技术领域
本发明涉及一种包括微机电系统(MEMS)元件的电子器件的制造方法,该微机电系统(MEMS)元件包括第一和第二电极,第二电极可朝着第一电极移动以及可从第一电极移动,其方法包括步骤:
在衬底的第一侧提供导电材料的基层,在基层中限定了第一电极和接触垫;
提供牺牲层,其至少覆盖基层中的第一电极,但使接触垫至少部分露出;
在牺牲层的顶部上提供导电材料的机械层,所述机械层机械地连接至基层中的接触垫,以及
借助干法化学蚀刻来去除所述牺牲层。
本发明还涉及一种包括衬底的第一侧的微机电系统(MEMS)元件的电子器件,其MEMS元件包括第一和第二电极,该第二电极可朝着闭合和开放位置之间的第一电极移动和从该第一电极移动,且通过其开放位置的空气隙与第一电极隔离开。
背景技术
微机电系统(MEMS)涉及微型传感器和致动器的集合,其在微电路控制下会对环境改变作出反应。MEMS集成到传统的射频(RF)电路中产生系统优良的性能水平和低的制造成本。将基于MEMS的制造技术组合到微波和毫米波系统中将可变的路由提供给具有MEMS致动器、天线、开关和电容器的器件。总系统以增加的带宽和增加的辐射效率、缩小的功耗运行,且对于在无线个人通信器件的扩展区内实施具有相当大的范围。
MEMS元件包括第一和第二电极,其第二电极可朝着开放位置和闭合位置之间的第一电极移动和可从该第一电极移动,其中开放位置是第一和第二电极之间的空气隙。电介质层可存在于第一电极的顶部上。这导致在其闭合位置第一电极没有与第二电极进行电接触的事实,但与第二电极形成了电容器。如果非常希望的话,其它的电极还可提供有电介质层或原生氧化物。
第二电极必须可移动但仍并入机械稳定的结构的事实会导致器件通常具有足够厚度和机械稳定性的机械层的事实。第二可移动的电极可提供在机械层中,但这不是必要的。其还可提供在梁和第一电极之间的附加中间层中。特别地,最近的实验显示出除了存在于中间电极层中的第二电极外,在机械层中制造具有第三电极的MEMS元件是有利的。于是第二电极不仅可朝着第一电极移动,而且可朝着第三电极移动。
表面微机械加工是制造MEMS的常见方法,且在图的图1中示意性地示出了用于表面微机械加工的处理序列。在衬底14上沉积和构造基层10、牺牲层16和梁或机械层12。通过蚀刻牺牲层16使梁层(beam layer)12是独立式的。这意味着该梁层具有没有被衬底支撑的较大面积。然后该梁层经由支撑体至基层中的一个或多个接触垫被支撑。这种支撑体可存在于梁层下面,但还或多或少位于梁层的侧面。如果梁层具有桥状或膜片状结构,则尤其存在后一可选方案,且提供更好的弹性用于向着梁层垂直移动。如同在该情况下梁层可以是导电的,其中接触垫也是电接触垫。然而,这不是必要的。在该实施例中,第二电极限定在机械层12中,且第一电极限定在基层中。
在上述序列中的关键处理步骤是蚀刻牺牲层,且用于蚀刻该层的蚀刻剂理想地应当满足几个标准:
不应当蚀刻梁层和基层;
蚀刻之后不应当导致第二电极粘贴到衬底上;以及
应当导致第二电极在其最终位置之间可移动,而没有来自未被去除的牺牲层部分的任何机械阻力。
具有它们的牺牲层蚀刻剂的材料的几种MEMS系统是公知的。最常见的系统是利用由Si组成的梁层和基层(12、10)在HF溶液中湿法化学蚀刻SiO2牺牲层。然而,公知系统的主要缺点是,作为在HF蚀刻之后干燥衬底期间毛细管力的结果,独立式层12易于粘贴到基层上。不具有该缺陷的另一类型的系统是其中的蚀刻剂由气体或等离子体而不是由液体组成。这种类型的系统称为干法化学蚀刻。这种类型的公知系统对于牺牲层蚀刻使用O2等离子体。在该情况下,牺牲层由聚合物组成,基层和机械层包括金属。另外可以暴露出衬底、和衬底上或基层上的任意电介质层。
然而,该系统的缺陷是聚合物牺牲层限制了梁层的处理自由。由于聚合物在高温(200-300℃)处易于流动和/或除气,于是限制了梁层的处理温度。这显著地限制了用于机械层的材料的选择。此外,对于构造层使用聚合物在常见的IC工艺中是非标准的。
如果必须仅局部地去除牺牲层,则该限制是特别成问题的。适当地,机械层还可用作互连,且可能地,其它元件如电感器和电容器存在于该器件中。它们从MEMS元件横向地移动,但限定在相同层中。机械层在其中具有互连层的功能,且具有微米或者甚至毫米数量级尺寸的互连或线圈不应该在没有足够的支撑的情况下悬挂在空气中。为了仅局部地去除牺牲层,其上的部分必须由保护层如光致抗蚀剂保护。然而,光致抗蚀剂是聚合物层,而且去除聚合物牺牲层的蚀刻剂也易于去除聚合物层。
发明内容
因此本发明的一个目的在于提供一种在开头段中所提到类型的方法,其中可仅局部地去除牺牲层。
实现该目的在于,在衬底和基层之间的衬底的第一侧提供电绝缘和氟化学剂惰性材料的蚀刻停止层,并且使用含氟的等离子体来进行干法化学蚀刻。
氟化学剂能去除牺牲层,而具有给牺牲层提供入口的窗口的任何光致抗蚀剂保护牺牲层的其它区域。此外,氟化学剂具有各向同性地去除某些材料的优点;因此,可以充分地去除梁层和衬底之间的凹槽,以便该梁层变成独立的。然而氟类型化学剂的缺点是过于易反应且去除除了牺牲层外的其它层。特别地,发现了由于表面处氧化层的蚀刻,出现了底部蚀刻(underetch)基层中的电极且因此不再充分地起作用的问题。克服了该缺点,原因在于使用了蚀刻停止层。
本发明的优点在于改善了衬底上的机械层的支撑。事实上,其支撑不仅是机械层与基层的垂直互连。它还是未被去除的部分牺牲层。事实上,凹槽中机械层和基层之间的间隙最好是空腔。
其另一优点在于,未被去除的部分牺牲层用作MEMS元件的化学保护层。
干法蚀刻工艺的另一优点在于,相比于任何湿法化学蚀刻工艺,基本上减少了第二可移动电极与第一电极的任何粘接。
理解的是,机械层一般包括梁状的自由式结构。该梁层可以是由一侧、两侧(由此具有桥状结构)或许多侧(由此具有膜片状结构)上的支撑体的载体。该梁层可包括第二电极,但这不是必要的。看起来尤其适合于在中间金属层中限定第二电极,其中梁层用作该第二电极的互连,或用作第三电极、或可能是用作此二者。
在优选的实施例中,牺牲层包括无机材料。这与元件的封装有关。RF MEMS元件需要被密封地封装,以便防止对梁移动的任何干扰影响。一般利用焊料或任何其它金属的环来进行该密封封装,其在回流炉中充分地连接。在这种回流工艺中使用的温度对许多的聚合物牺牲层有害。可在处理后直接进行该封装;然而,它可在由另一公司操作的分离装配厂中很好地进行。
在另一实施例中,该器件进一步包括薄膜电容器,具有第一和第二电极和中间电介质,其中第一电极限定在基层中,且牺牲层用作电介质。如清楚的,在该情况下对于仅局部地去除牺牲层很重要。此外对于稳定牺牲层足以耐受在另一处理期间且尤其是在封装期间使用的任意温度步骤是很重要的。而且,必须选择牺牲层以具有足够的介电性能。为此合适的材料例如包括氮化硅(尤其是如用PECVD沉积的)、氧化硅、氧化钽。
在另一优选实施例中,蚀刻停止层位于第一电极和衬底之间。这具有许多优点:首先,为了能垂直互连和使用MEMS元件作为开关,不需要构造蚀刻停止层。其次,能使用用于需要比底电极允许更高的沉积或固化温度的蚀刻停止层的材料。第三,蚀刻停止层的任意介电性能不会影响任何的电容器特性。为了完整性起见,尤其是在衬底中存在任意元件的情况下,观察到蚀刻停止层可能被图案化。
在特定实施例中,含氟的等离子体是CF4等离子体,且蚀刻停止层优选包括IVn族氧化物。这种IVn族氧化物包括HfO2、ZrO2,且尤其是Al2O3和TiO2。可选材料例如包括TiN、AlN和金刚石。不排除其它的蚀刻停止层,如甚至是某些过氧化(perowskite)材料。
相比其它的氟等离子体如CHF3和CH2F2,CF4等离子体是有利的,因为它具有非常各向同性的特性。于是,能去除横向尺寸上为大面积的牺牲层。因此,梁层可以是大的。公知的除了等离子体外,还可以是如用氧气以得到氧化特性、或用氩或氮稀释的混合剂。然而,当然不排除其它的氟等离子体。特别地如果对于牺牲层使用氮氧化物或甚至氧化物来代替氮化物,则优选使用CF2H2或CHF3。为了加速横向方向上的蚀刻,该梁和光致抗蚀剂可提供有大量的窗口以给牺牲层提供入口。此外,可以调整干法蚀刻的功率和时间以得到所希望的局部蚀刻牺牲层的结果。此外可以在一个以上的步骤中进行蚀刻,使用第一步骤用于在足够大的牺牲层上建立蚀刻表面。此外可使用干法和湿法蚀刻的组合。可选地可以使用其它的氟等离子体如SF8
在另一实施例中,本发明的方法包括另外的步骤:
在牺牲层上提供导电材料的中间层,在中间层中限定MEMS元件的第二电极;以及
提供第二牺牲层,其至少部分地覆盖第二电极且提供有使接触垫暴露的窗口,以在与第一牺牲层相同的步骤中去除所述的第二牺牲层。
这些另外的步骤会产生具有至少三个导电层的器件。对于MEMS元件和任何可选存在的薄膜电容器,这种器件结果具有有利的特性。它允许更先进设计的MEMS元件。其实例是双稳态MEMS开关、具有延伸和可能连续范围的三电极MEMS电容器、低激励电压开关、抗静态阻力微坑的可开关电容器、和具有无穷动态范围的可调电容器。
基层和机械层优选包括含金属的或金属氧化物材料。用于基层的合适材料包括铝、铜、镍、银、金、这些金属的合适合金、铂、氧化钌、氧化铟锡和其它的。用于机械层的合适材料包括铝、铜、镍以及尤其是它们的合金。
本发明进一步涉及一种具有在开头段中所提到类型的微机电系统(MEMS)元件的电子器件,其中在第一电极和衬底之间存在蚀刻停止层,蚀刻停止层包括基本不导电的、氟化学剂惰性材料。该器件是该方法的结果。有利的是通过该器件防止了由于湿法化学蚀刻引起的在现有技术遇到的器件的粘贴问题。而且,该器件允许先进设计的MEMS元件和具有其它无源元件和互连的合适集成的MEMS元件。对于可选的目的可以使用需要的以便限定可移动第二电极的牺牲层,这尤其是可能的。特别地,它是在回流焊料的任意温度下稳定的无机材料。由此当该器件提供有用于密封MEMS元件和任选其它元件的合适封装时可保持该无机材料。
在很合适的实施例中,可移动的第二电极经由支撑结构机械地连接至衬底,在其支撑结构处,在该支撑结构的第一横向侧面,即存在MEMS元件的空气隙,同时在与第一侧面相对的第二横向侧面,存在绝缘材料。此外,第二电极连接至部分机械层或为部分机械层,该部分机械层横向延伸出绝缘材料顶部上的MEMS元件。
在另一实施例中,该器件进一步包括具有第一和第二电极以及中间电介质的薄膜电容器,所述第一和第二电极分别限定在第一和第二层中,其中还限定了MEMS元件的第一和第二电极。与钉扎二极管或pHEMT晶体管相比,MEMS元件的优点之一是能够集成其它元件。在MEMS可变电容器的情况下,为了提供能够进一步用MEMS电容器调节的基本容量级,需要薄膜电容器。在开关的情况下,适合将电感器连接至MEMS开关。这种开关允许电感的任意改变。即使在简单开关的情况下,为了各种目的一般需要电容器。该实施例提供了MEMS元件和薄膜电容器的成本非常有效的组合,其可以设计作为一个完整系统且其中需要最小数量的导电层。
在另一另外的实施例中,在机械层中限定了电感器。在又一实施例中,存在导电材料的中间层,在中间层中限定了MEMS元件的第二电极。对于MEMS元件和任何任选存在的薄膜电容器,这种器件产生具有有利的特性。允许更先进设计的MEMS元件。其实例是双稳态MEMS开关、具有延伸和可能连续范围的三电极MEMS电容器、低激励电压开关、抗静态阻力微坑的可开关电容器、和具有无穷动态范围的可调电容器。一般,在此中间层连接至机械层,其由此提供机械稳定性和能够朝着第一电极受控制地移动以及从第一电极受控制地移动。将参考图进一步阐释各种器件。关于该方法涉及的任意材料和实施例还可应用到本发明的器件。
自下文描述的实施例,本发明的这些和其它方面将是显而易见的,并参考下文描述的实施例阐明本发明的这些和其它方面。
附图说明
现在将仅借助实例和参考附图来描述本发明的实施例,其中:
图1是用于制造根据现有技术的MEMS的一般表面微机械加工工艺流程的示意图;
图2是用于制造根据本发明示范性实施例的MEMS的一般表面微机械加工工艺流程的示意图;
图3示意性地且以截面图示出了本发明的电子器件的制造阶段;
图4、5、6和7以截面图且示意性地示出了具有MEMS元件的具体设计的器件的几个实施例;
图8示出了本发明的器件的另一实施例的截面示意图。
附图仅仅是示意性的,且在不同图中将使用相同附图标记来表示相同或相似的部件。
具体实施方式
在MEMS制造中,表面微机械加工一般用于制作例如硅衬底的顶部上的独立式结构。用于表面微机械加工的一般流程示于附图的图1中。如所示的,由Al、Cu、Ni或它们的合金制成的基层10和机械层12沉积在衬底14上,牺牲层16位于基层10和机械层12之间。然后借助蚀刻来去除牺牲层16,留下自由式的自由可移动的结构形式的基层10。
为了防止机械层12粘贴到衬底14上,优选借助干法蚀刻来蚀刻牺牲层16。由于在干法或湿法蚀刻牺牲层期间的毛细管力,会出现粘贴。
在IC制造中很好地建立了干法蚀刻。对于微机械加工应用最常见形式的干法蚀刻是反应性离子蚀刻(RIE)。离子朝着将被蚀刻的材料加速,且在离子行进的方向上增强了蚀刻反应。RIE是各向异性蚀刻技术。RIE的公知形式是等离子体蚀刻法。等离子体可以限定为由等数量的带正电和带负电粒子与不同数量的不带电粒子一起组成的部分离子化气体,与当采用等离子体蚀刻系统时,使用化学反应与高能量物理轰击(溅射)的组合来去除牺牲层。然而,干法蚀刻技术强烈地依赖于氟化学剂。
例如,国际专利申请号WO01/48795描述了一种用于各向异性蚀刻高开口区硅结构的氟基等离子体蚀刻法。在所描述的方法中,首先,将其上沉积了基层和机械层的衬底载入等离子体蚀刻室中,其中牺牲层位于基层和机械层之间。接下来,在等离子体蚀刻室中提供气体混合物,该气体混合物包括氧源气体、氟源气体和碳氟化合物源气体。在所描述的方法中,优选的氧源气体是O2。氟用作主蚀刻剂,且可以从任一数量的多氟原子化合物例如CF4、NF3和SF6提供。接下来的步骤是通过例如将RF能量施加于此形成来自气体混合物的等离子体。然后调节室内的压力,且用该等离子体蚀刻衬底组件的所需部分。
然而,当在MEMS制造期间使用用于蚀刻牺牲层的氟干法蚀刻化学剂时,需要特别的小心来避免蚀刻衬底本身,其在大多数情况下是硅晶片。我们现在设计了一种改良的方法。
参考图的图2,根据本发明,提供了一种在MEMS层叠层(由基层10和机械层12组成)和(一般为硅)衬底14之间的不导电的氟化学剂惰性的蚀刻停止层18,以避免在牺牲层蚀刻期间蚀刻Si晶片,该蚀刻优选使用CFy等离子体蚀刻。衬底14有利地包括非晶顶层和其上的热氧化物。蚀刻停止层18优选包括IV族氧化物如Al2O3、HfO2、ZrO2和TiO2中的任意一种。在一个优选实施例中,蚀刻停止层18可包括例如100nm厚度的Al2O3。当蚀刻停止层18位于适当的位置时,可以使用多种材料系统。牺牲层16例如可由Si、Si3N4、SiO2、W、Mo组成。机械层12和基层10由导体如Al、Ni、Au、Cu或Pt组成。在该实施例中,对于基层已使用了铝导体,且对于机械层已使用了Al.98Cu.02的合金。
参考图3,示出了本发明的器件的制造阶段。在该阶段,已应用了蚀刻停止层18、基层10、牺牲层16和机械层12。另外,与图2的实施例相比,存在中间金属层11和第二牺牲层17。在提供了该第二牺牲层之后,仅制作了牺牲层16和17中的窗口。这用反应性离子蚀刻来实现。已蚀刻金属层10、11作为蚀刻停止层,在一个阶段机械层12连接至中间金属层11,而在另一阶段它连接至基层10。将掩模20应用到机械层12的顶部上。该掩模20包括牺牲层17的窗口21。使用约5μm厚度的聚酰亚胺。鉴于机械层12的厚度,例如1μm,这是适合的,且提供足够的保护,以不受氟等离子体影响。其后,局部地蚀刻牺牲层16、17,以建立空气隙26。掩模20没有被去除,而是构成MEMS元件的部分梁结构。另外,它可用作用于器件中其它元件的钝化层。掩模20可进一步用于提供根据所希望图案的进一步的金属层,该图案可以用作接触垫以及用作密封封装的密封环。在此使用电镀。
图4、5、6和7以截面图示出了具有不同MEMS设计的本发明器件的有利实施例。该工艺的第一优点是局部去除能实现较好的机械稳定性,以及由此MEMS元件的更复杂的设计。本发明的工艺的另一优点是,所有的这些不同设计都可以在一个且同一个器件中实施。这允许使用对于一个功能一个特定设计的MEMS元件,以及对于另一功能使用另一设计的MEMS元件。例如,然而在用于移动电话接收带的天线开关中隔离是很重要的,激励电压和容量范围是用于可变电容器的更重要的参数。对于传感器应用,此外灵敏度是最重要的参数,且对于其它开关应用,开关速度是至关紧要的。
图4示出了双稳态开关。该双稳态开关具有恰当的绝缘。鉴于牺牲层的局部去除,该设计是机械耐用的。此外,它能实现好的功率处理并且速度快。
图5示出了低激励电压开关。尽管缩小了该绝缘,但不同阶段之间的间隙比常规的开关小。这能使用低的激励电压。在电容器的导出实施例中,该结构具有电容面积可以很大的优点。
图6示出了抗静态阻力微坑的可开关电容器。在此,中间金属层11中的结构是抗静态阻力微坑,其不是电极的一部分。在使机械层12靠近基层10时,当抗静态阻力微坑开始与蚀刻停止层接触时达到了最小距离。
图7示出了具有无穷范围的可调电容器。在此基层包括第一电极101和第二电极102。第二电极102可以用于提供激励电压,以便隔开提供用于实际信号的该激励电压。这使得第一电极101可以与机械层12接触,且因此提供无穷的动态范围。
图8示出了包括MEMS元件和薄膜晶体管50、以及垂直互连60的器件的另一实施例的截面示意图。该图示例了本发明的有利特征,具有基层10、中间层11和机械层12中的电极101、111、121的MEMS元件可以嵌入还包括其它构件的无源网络中,而不需应用任何另外的金属层或牺牲层。事实上,第一牺牲层16还用作薄膜电容器50的电介质。在与MEMS元件10的第二和第三电极相同的金属层中限定薄膜电容器50的电极51、52。第三金属层12不仅是第一电极121,而且是互连。在此特别重要的是第一和第二牺牲层16、17被选择性地蚀刻掉了。该改进之处在于不仅仅是存在于机械层12中的一个孔径,而是存在多个孔径,以及支撑结构具有大的延伸部分,即,它主要是壁状的且不是柱状的。
应当注意到,以上提到的实施例是示例而不是限制本发明,且本领域技术人员将在不脱离如由所附权利要求所限定的本发明范围的前提下,能够设计许多可选的实施例。在权利要求中,放置在圆括号中的任何参考标记都不构建为限制权利要求。词“包括”等不排除存在除了总体上在任一权利要求或说明书列出的那些之外的元件或步骤。元件的单数标记不排除这种元件的复数标记,反之亦然。可借助包括几个不同元件的硬件以及借助适当编程的计算机来实施本发明。在列举几种装置的器件权利要求中,这些装置的几种可具体化为一个和同一项目的硬件。在相互不同的从属权利要求中引证某些手段的事实不表示这些手段的组合不能用于使优点突出。

Claims (11)

1.一种包括微机电系统(MEMS)元件的电子器件的制造方法,该MEMS元件包括第一和第二电极,该第二电极可朝着第一电极移动和可从第一电极移动,其方法包括步骤:
在衬底(14)的第一侧提供电绝缘材料的蚀刻停止层(18);
在衬底(14)的第一侧提供导电材料的基层(10),在基层中限定了第一电极;
提供牺牲层(16),其至少覆盖在基层(10)中的第一电极;
在牺牲层(16)的顶部上提供导电材料的机械层(12),所述机械层(12)机械地连接至衬底(10);
在机械层(12)的顶部上提供掩模(20),其包括到牺牲层(16)的至少一个窗口(21),以及
借助干法化学蚀刻去除所述牺牲层(16)的选择区,以便第二电极可朝着第一电极移动和可从第一电极移动,
其中使用含氟的等离子体进行所述干法化学蚀刻,且蚀刻停止层(18)包括基本上不导电的、氟化学剂惰性材料。
2.如权利要求1所述的方法,其中牺牲层(6)包括有机材料。
3.如权利要求2所述的方法,其中该器件进一步包括具有第一和第二电极(51、52)及中间电介质的薄膜电容器(50),第一电极(51)限定在基层(10)中,且电介质限定在牺牲层(16)中,牺牲层(16)的该电容器部分没有被去除。
4.如权利要求1所述的方法,其中在提供基层(10)前,在衬底(14)的第一侧提供蚀刻停止层(18)。
5.如权利要求1的方法,其中所述含氟等离子体是CHy等离子体。
6.如权利要求1所述的方法,进一步包括步骤:
在牺牲层(16)上提供导电材料的中间层(11),在中间层(11)中限定了第二电极;以及
提供第二牺牲层(17),其至少部分地覆盖第二电极,在与第一牺牲层(16)相同的步骤中去除所述第二牺牲层(17)。
7.如权利要求6所述的方法,其中基层(10)被提供有接触垫,第一和第二牺牲层(16、17)中的至少一个窗口使接触垫暴露出,直至在提供机械层(12)期间填充该窗口,以及其中在沉积第二牺牲层(17)之后提供第一牺牲层(16)中的窗口。
8.一种电子器件,包括在衬底(14)的第一侧处的微机电系统(MEMS)元件,该MEMS元件包括第一电极(10)和第二电极(12),该第二电极(12)可朝着在闭合和开放位置之间的第一电极(10)移动和可从该第一电极(10)移动,且由开放位置的空气隙使第二电极与第一电极(10)隔开,
其特征在于该器件在第一电极(10)和衬底(14)之间具有蚀刻停止层(18),该蚀刻停止层(18)包括基本上不导电的、氟化学剂惰性材料。
9.如权利要求8所述的器件或如权利要求1所述的方法,其中所述蚀刻停止层(18)包括IVn族氧化物。
10.如权利要求9所述的器件或方法,其中所述蚀刻停止层(18)包括HfO2、ZrO2、Al2O3或TiO2
11.如权利要求8所述的器件或如权利要求1所述的方法,其特征在于衬底(14)是硅衬底。
CN2004800321952A 2003-10-31 2004-10-26 电子器件的制造方法和电子器件 Expired - Fee Related CN1874955B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP03104045 2003-10-31
EP03104045.4 2003-10-31
PCT/IB2004/052203 WO2005043573A2 (en) 2003-10-31 2004-10-26 A method of manufacturing an electronic device and electronic device

Publications (2)

Publication Number Publication Date
CN1874955A true CN1874955A (zh) 2006-12-06
CN1874955B CN1874955B (zh) 2011-03-30

Family

ID=34530783

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800321952A Expired - Fee Related CN1874955B (zh) 2003-10-31 2004-10-26 电子器件的制造方法和电子器件

Country Status (6)

Country Link
US (1) US7709285B2 (zh)
EP (2) EP1682444A2 (zh)
JP (1) JP4744449B2 (zh)
KR (1) KR101140688B1 (zh)
CN (1) CN1874955B (zh)
WO (2) WO2005043573A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102015523B (zh) * 2008-04-28 2013-04-10 罗伯特.博世有限公司 微机械的元件以及用于制造微机械的元件的方法
CN107614420A (zh) * 2016-04-11 2018-01-19 柿子技术公司 具有方向性微结构的材料

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101048840B (zh) 2004-10-27 2010-06-16 Nxp股份有限公司 Mems设备用的弹簧结构
EP2495212A3 (en) 2005-07-22 2012-10-31 QUALCOMM MEMS Technologies, Inc. Mems devices having support structures and methods of fabricating the same
KR100670946B1 (ko) 2005-10-27 2007-01-17 학교법인 포항공과대학교 나노 크기의 미세홀을 갖는 멀티스케일 캔티레버 구조물 및그 제조 방법
WO2007119206A2 (en) 2006-04-13 2007-10-25 Nxp B.V. A method for manufacturing an electronic assembly; an electronic assembly, a cover and a substrate
US7452741B2 (en) * 2006-06-19 2008-11-18 Lucent Technologies Inc. Process for manufacturing an apparatus that protects features during the removal of sacrificial materials
US7956429B1 (en) * 2007-08-02 2011-06-07 Rf Micro Devices, Inc. Insulator layer based MEMS devices
JP5305735B2 (ja) * 2008-05-26 2013-10-02 株式会社東芝 微小電気機械システム装置およびその製造方法
JP2010179401A (ja) * 2009-02-05 2010-08-19 Toshiba Corp 半導体装置の製造方法および半導体装置
TW201140637A (en) * 2009-11-26 2011-11-16 Taiyo Yuden Kk Mems switch
JP5630243B2 (ja) 2010-11-30 2014-11-26 セイコーエプソン株式会社 電子装置、電子機器及び電子装置の製造方法
JP2012119822A (ja) 2010-11-30 2012-06-21 Seiko Epson Corp 電子装置、電子機器及び電子装置の製造方法
US8575037B2 (en) 2010-12-27 2013-11-05 Infineon Technologies Ag Method for fabricating a cavity structure, for fabricating a cavity structure for a semiconductor structure and a semiconductor microphone fabricated by the same
US9586811B2 (en) * 2011-06-10 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with moving members and methods for making the same
US8440523B1 (en) * 2011-12-07 2013-05-14 International Business Machines Corporation Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch
US8940639B2 (en) 2012-12-18 2015-01-27 Analog Devices, Inc. Methods and structures for using diamond in the production of MEMS
CN103107739B (zh) * 2013-02-28 2015-04-15 北京理工大学 基于mems的动磁铁型电磁-压电复合式宽频俘能器
FR3005204A1 (fr) * 2013-04-30 2014-10-31 St Microelectronics Rousset Dispositif capacitif commutable integre
JP6544037B2 (ja) * 2015-05-18 2019-07-17 株式会社リコー 発電素子ユニット、及び発電装置
US10332687B2 (en) 2017-10-23 2019-06-25 Blackberry Limited Tunable coplanar capacitor with vertical tuning and lateral RF path and methods for manufacturing thereof
US10497774B2 (en) 2017-10-23 2019-12-03 Blackberry Limited Small-gap coplanar tunable capacitors and methods for manufacturing thereof
US10911023B2 (en) * 2018-06-15 2021-02-02 Resonant Inc. Transversely-excited film bulk acoustic resonator with etch-stop layer
KR102274202B1 (ko) * 2019-05-27 2021-07-07 주식회사 아이디피 솔더볼을 이용한 mems 소자 웨이퍼의 웨이퍼레벨 패키징 방법
US20230230993A1 (en) * 2022-01-20 2023-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Uniform trenches in semiconductor devices and manufacturing method thereof

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5050838A (en) * 1990-07-31 1991-09-24 Hewlett-Packard Company Control valve utilizing mechanical beam buckling
US5651855A (en) * 1992-07-28 1997-07-29 Micron Technology, Inc. Method of making self aligned contacts to silicon substrates during the manufacture of integrated circuits
US5658698A (en) * 1994-01-31 1997-08-19 Canon Kabushiki Kaisha Microstructure, process for manufacturing thereof and devices incorporating the same
JP2878143B2 (ja) * 1994-02-22 1999-04-05 インターナショナル・ビジネス・マシーンズ・コーポレイション 減衰位相シフト・マスク作成用の薄膜材料及びその作成方法
US7550794B2 (en) * 2002-09-20 2009-06-23 Idc, Llc Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer
AU2683995A (en) 1994-09-02 1996-03-27 Stichting Voor De Technische Wetenschappen Process for producing micromechanical structures by means of reactive ion etching
DE19509868A1 (de) * 1995-03-17 1996-09-19 Siemens Ag Mikromechanisches Halbleiterbauelement
US6162367A (en) 1997-01-22 2000-12-19 California Institute Of Technology Gas-phase silicon etching with bromine trifluoride
US5949071A (en) * 1997-08-14 1999-09-07 Sandia Corporation Uncooled thin film pyroelectric IR detector with aerogel thermal isolation
US6919168B2 (en) * 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
DE19847455A1 (de) * 1998-10-15 2000-04-27 Bosch Gmbh Robert Verfahren zur Bearbeitung von Silizium mittels Ätzprozessen
DE19854803A1 (de) * 1998-11-27 2000-05-31 Bosch Gmbh Robert Verfahren zur Herstellung einer lokal verstärkten metallischen Mikrostruktur
JP3137112B2 (ja) * 1999-04-27 2001-02-19 日本電気株式会社 マイクロマシンスイッチおよびその製造方法
WO2000077804A1 (de) * 1999-06-10 2000-12-21 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Elektrostatisch durchstimmbare mikromechanische kapazität mit grosser kapazitätsvariation
DE60030688D1 (de) * 1999-12-10 2006-10-26 Koninkl Philips Electronics Nv Elektronische geräte mit mikromechanischen schaltern
KR100311050B1 (ko) * 1999-12-14 2001-11-05 윤종용 커패시터의 전극 제조 방법
KR20010112277A (ko) 1999-12-23 2001-12-20 조셉 제이. 스위니 높은 개구 영역의 실리콘 구조체들의 이방성 에칭을 위한불소 기재 플라즈마 에칭 방법
US20020031711A1 (en) * 2000-05-09 2002-03-14 Steinberg Dan A. Multi-level lithography masks
US6580138B1 (en) * 2000-08-01 2003-06-17 Hrl Laboratories, Llc Single crystal, dual wafer, tunneling sensor or switch with silicon on insulator substrate and a method of making same
FI111457B (fi) * 2000-10-02 2003-07-31 Nokia Corp Mikromekaaninen rakenne
US6621390B2 (en) * 2001-02-28 2003-09-16 Samsung Electronics Co., Ltd. Electrostatically-actuated capacitive MEMS (micro electro mechanical system) switch
US6597560B2 (en) * 2001-03-13 2003-07-22 Rochester Institute Of Technology Micro-electro-mechanical varactor and a method of making and using thereof
US6465856B2 (en) * 2001-03-19 2002-10-15 Xerox Corporation Micro-fabricated shielded conductors
KR100418586B1 (ko) * 2001-06-30 2004-02-14 주식회사 하이닉스반도체 반도체소자의 제조방법
JP3770158B2 (ja) * 2001-12-26 2006-04-26 ソニー株式会社 Mems素子の製造方法
US6706548B2 (en) * 2002-01-08 2004-03-16 Motorola, Inc. Method of making a micromechanical device
US20050227428A1 (en) * 2002-03-20 2005-10-13 Mihai Ionescu A Process for manufacturing mems
US20060050350A1 (en) * 2002-12-10 2006-03-09 Koninklijke Philips Electronics N.V. Driving of an array of micro-electro-mechanical-system (mems) elements
US6943448B2 (en) * 2003-01-23 2005-09-13 Akustica, Inc. Multi-metal layer MEMS structure and process for making the same
US6917459B2 (en) * 2003-06-03 2005-07-12 Hewlett-Packard Development Company, L.P. MEMS device and method of forming MEMS device
US8018307B2 (en) * 2003-06-26 2011-09-13 Nxp B.V. Micro-electromechanical device and module and method of manufacturing same
US6809394B1 (en) * 2003-08-13 2004-10-26 Texas Instruments Incorporated Dual metal-alloy nitride gate electrodes
US7279369B2 (en) * 2003-08-21 2007-10-09 Intel Corporation Germanium on insulator fabrication via epitaxial germanium bonding
JP4772302B2 (ja) * 2003-09-29 2011-09-14 パナソニック株式会社 微小電気機械システムおよびその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102015523B (zh) * 2008-04-28 2013-04-10 罗伯特.博世有限公司 微机械的元件以及用于制造微机械的元件的方法
CN107614420A (zh) * 2016-04-11 2018-01-19 柿子技术公司 具有方向性微结构的材料
CN107614420B (zh) * 2016-04-11 2023-08-11 柿子技术公司 具有方向性微结构的材料

Also Published As

Publication number Publication date
KR20060098379A (ko) 2006-09-18
JP4744449B2 (ja) 2011-08-10
US20070222007A1 (en) 2007-09-27
KR101140688B1 (ko) 2012-05-03
CN1874955B (zh) 2011-03-30
WO2005043573A2 (en) 2005-05-12
US7709285B2 (en) 2010-05-04
EP2444368A2 (en) 2012-04-25
EP1682444A2 (en) 2006-07-26
WO2005042400A1 (en) 2005-05-12
WO2005043573A3 (en) 2005-07-14
EP2444368A3 (en) 2012-07-25
JP2007513782A (ja) 2007-05-31

Similar Documents

Publication Publication Date Title
CN1874955B (zh) 电子器件的制造方法和电子器件
CN1309019C (zh) 在cmos匹配衬底上制造微电子机械开关的方法
US8629517B2 (en) Wafer level packaging
CN101849289B (zh) 制备三层梁的方法和设备
EP2619780B1 (en) Pull up electrode and waffle type microstructure
CN100343949C (zh) 微开关元件的制备方法及微开关元件
CN101048839A (zh) 电子设备
US8940616B2 (en) Bonding method using porosified surfaces for making stacked structures
JP4539155B2 (ja) センサシステムの製造方法
US20150311021A1 (en) MEMS Swtich with Internal Conductive Path
CN107799429B (zh) 用于形成半导体器件的方法以及半导体器件
CN1897265A (zh) 包括一个或多个嵌入式通孔的互联器件及其生产方法
KR20030072145A (ko) 인덕터와 캐패시터를 갖는 소자 및 그의 제작방법
US7829409B2 (en) Method of manufacturing silicon topological capacitors
US20240150166A1 (en) Encapsulated MEMS Switching Element, Device and Production Method
US9202654B2 (en) MEMS device and manufacturing method thereof
CN1813328A (zh) 微机电装置和模块及其制造方法
US8143158B2 (en) Method and device of preventing delamination of semiconductor layers
CN1463470A (zh) 制造电子器件的方法
KR100948575B1 (ko) 실리콘 습식 식각을 이용한 금속-절연체-금속 캐패시터 및그 제조 방법
US7972873B2 (en) Material removing processes in device formation and the devices formed thereby
KR101925945B1 (ko) 테이퍼 형상의 빔을 가진 릴레이 소자의 제조 방법 및 테이퍼 형상의 빔을 가진 릴레이 소자
JP2022552067A (ja) 部品及び部品の製造方法
Swaminathan RF MEMS Switch Fabrication and Packaging
CN105439080A (zh) 微机电系统器件及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: NXP CO., LTD.

Free format text: FORMER OWNER: KONINKLIJKE PHILIPS ELECTRONICS N.V.

Effective date: 20070810

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20070810

Address after: Holland Ian Deho Finn

Applicant after: Koninkl Philips Electronics NV

Address before: Holland Ian Deho Finn

Applicant before: Koninklijke Philips Electronics N.V.

ASS Succession or assignment of patent right

Owner name: EPCOS AG

Free format text: FORMER OWNER: KONINKL PHILIPS ELECTRONICS NV

Effective date: 20100913

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: EINDHOVEN, HOLLAND TO: MUNICH

TA01 Transfer of patent application right

Effective date of registration: 20100913

Address after: Munich, Germany

Applicant after: Epcos Ag

Address before: Holland Ian Deho Finn

Applicant before: Koninkl Philips Electronics NV

C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20170823

Address after: Tokyo, Japan

Patentee after: TDK Corp.

Address before: Munich, Germany

Patentee before: Epcos Ag

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110330

Termination date: 20191026

CF01 Termination of patent right due to non-payment of annual fee