CN1839217A - 在基体上沉积材料的方法以及在基体上形成层的方法 - Google Patents

在基体上沉积材料的方法以及在基体上形成层的方法 Download PDF

Info

Publication number
CN1839217A
CN1839217A CNA2004800241623A CN200480024162A CN1839217A CN 1839217 A CN1839217 A CN 1839217A CN A2004800241623 A CNA2004800241623 A CN A2004800241623A CN 200480024162 A CN200480024162 A CN 200480024162A CN 1839217 A CN1839217 A CN 1839217A
Authority
CN
China
Prior art keywords
precursor
supercutical fluid
reaction chamber
tantalum
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800241623A
Other languages
English (en)
Other versions
CN1839217B (zh
Inventor
D·萨里贾尼斯
G·J·德尔德里安
C·巴斯切里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN1839217A publication Critical patent/CN1839217A/zh
Application granted granted Critical
Publication of CN1839217B publication Critical patent/CN1839217B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S427/00Coating processes
    • Y10S427/101Liquid Source Chemical Depostion, i.e. LSCVD or Aerosol Chemical Vapor Deposition, i.e. ACVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

本发明包括采用超临界流体将前体引入反应室的方法。在一些方面,采用超临界流体在ALD中将至少一种前体引入反应室,在具体方面,所述超临界流体用来在ALD中将多种前体引入到反应室中。本发明可用来形成任何各种材料,包括含金属的材料,比如,例如金属氧化物、金属氮化物、和由金属构成的材料。通过采用可用以将含金属的前体引入反应室的超临界流体,可以形成金属氧化物,其中所述前体随后在基体表面上形成含金属的层。随后,该含金属的层可以和氧反应,以将该层中的至少一些该金属转变成金属氧化物。

Description

在基体上沉积材料的方法以及在基体上形成层的方法
技术领域
本发明涉及在基体上沉积材料的方法以及在基体上形成层的方法。具体而言,本发明涉及形成和半导体构造有关的层的方法,比如,例如形成适合结合到半导体电容器设备中的层的方法。
背景技术
许多应用需要在基体上形成层。例如,在集成电路的制备中,经常要求在在半导体构造上形成层。在常用来形成层的方法中,有化学气相沉积(CVD)工艺和原子层沉积(ALD)工艺。
ALD技术通常涉及在基体上形成连续的原子层。这些层可以包括例如外延生长的多晶和/或无定形材料。ALD还可以称作原子层外延生产、原子层处理等等。
总而言之,ALD包括将初始基体暴露到第一化学物质中,实现所述物质在基体上的化学吸附。理论上,化学吸附在整个暴露的初始基体上形成均匀的一个原子或分子厚度的单层。换而言之,即饱和的单层。实际上,如同下面将进一步描述的那样,化学吸附可以不在基体的所有部分上发生。但是,在本申请的上下文中,这种不完整的单层仍然是单层。在许多应用中,可以适用的仅仅是基本饱和的单层。基本饱和的单层是指仍旧可以形成沉积层的单层,所述沉积层具有这种层所需的质量和/或性质。
从所述基体上吹扫掉第一物质,提供第二化学物质从便化学吸附到第一物质的第一单层上。然后,吹扫掉第二物质,将第二物质单层暴露到第一物质来重复所述步骤。在有些情况下,这两个单层可以是相同的物质。同样,可以如同刚刚针对第一和第二物质所描述的那样,连续化学吸附并吹扫第三或更多物质。应该注意到,第一、第二和第三物质中的一种或多种可以和惰性气体混合,以在反应室中加速实现压力饱和。
吹扫可以涉及多种技术,包括但不限于,将基体和/或单层与载体气体接触,和/或将压力降低到沉积压力以下,从而降低和基体接触的物质和/或化学吸附的物质的浓度。载体气体的例子包括N2、Ar、He、Ne、Kr、Xe等。相反,吹扫可以包括在引入另一种物质之前将基体和/或单层与任何可以使化学吸附副产物脱附并降低一种物质浓度的物质相接触。吹扫时间可以持续下降到膜生长速率出现增加的吹扫时间。膜生长速率增加可能是非ALD工艺方案发生变化的标志,可用来建立吹扫时间限。
ALD通常被描述成自限性工艺,因为基体上存在的可以和第一物质形成化学健的位置数量有限。第二物质可以仅仅键合到第一物质上,因而也可以是自限性的。一旦基体上所述有限数量的位置全部和第一物质键合,那么所述第一物质通常不会键合到其它已经和基体键合的第一物质上。但是,ALD中可以改变工艺条件来促进这种键合并赋予ALD非自限性。相应地,ALD也可以包括通过物质堆叠一次形成不止一个单层的物质,从而形成不止一个原子或分子厚的层。此处描述的本发明的各个方面可以适用于任何可能要求ALD的情况。还应该注意到,在ALD过程中会发生局部化学反应(例如,进来的反应物分子可以替换已有表面的分子,而不是在该表面上形成单层)。到达发生这种化学反应的程度时,通常局限在表面的最上面的单层。
化学气相沉积(CVD)的一般技术包括各种更具体的工艺,包括但不限于等离子辅助CVD和其它工艺。CVD通常用于在基体上非选择性地形成完整的沉积材料。CVD的一个特征是在沉积室里同时存在着多种物质,这些物质反应形成沉积材料。这种条件和常规ALD用的吹扫准则相反,在常规ALD中基体和化学吸附到基体上或者以前沉积的物质上的单一沉积物质相接触。ALD工艺方案可以提供同时接触的一种类型的多个物质,或者处于发生ALD化学吸附而不是CVD反应的条件。这些物质不是一起反应,而是可以化学吸附到基体或以前沉积的物质上,从而提供后续物质可以接下来化学吸附到其上以形成完整的所需材料层的表面。
在大多数CVD条件下,沉积的发生很大程度上和位于下面的基体的组成或者表面性质无关。相反,ALD中的化学吸附速率可能受到基体或已经化学吸附的物质的组成、结晶结构和其它性质的影响。其它工艺条件,例如压力和温度,也可以影响化学吸附速率。相应地,观察表明,即使在基体的其它部分上以适当速率发生化学吸附,但可能在同一基体的特殊部分上不发生化学吸附。
采用CVD工艺可能发生的问题在于阶段覆盖率(step coverage)通常小于100%。和CVD工艺相比,ALD工艺通常会提高阶段覆盖率,但是在采用ALD工艺中也会遇到不少困难。
当试图在反应室中输送充分流量的前体以便获得合适的阶段覆盖率和均匀性时,会出现和ALD相关的一个困难。当采用低蒸汽压的前体材料(比如,例如,从固体源挥发的材料)时,这个困难可能尤其显著,其中低蒸汽压前体材料通常认为是100℃时蒸汽压小于或等于约0.1Torr的材料。示例性低蒸汽压前体材料包括HfCl4、TaF5和五(二甲基氨基)钽(PDMAT)。
在ALD中碰到的其它困难包括比如和形成混合材料膜(有时称作掺杂膜)相关的困难。例如,可能需要形成掺钛的五氧化钽(Ta2O5)或者掺铝的氧化铪(HfO2)。但是,在单层接着单层地沉积的ALD工艺中,形成包括低掺杂水平的均匀膜可能很困难,有时似乎不可能。例如,可能希望掺钛的Ta2O5是在Ta2O5基质中结合了约8%TiO2。理论上,这可以通过在ALD工艺中向一个脉冲的钛前体中提供约20个脉冲的钽前体来实现。但是,这种工艺得到的材料通常在厚的Ta2O5层中夹有TiO2原子层,而且通常TiO2原子层甚至并不连续。相应地,在ALD工艺中通过分离的钛脉冲和钽脉冲形成的膜不是TiO2和Ta2O5的理想均匀混合物。因此,需要开发新的采用ALD工艺形成混合材料的方法。
尽管本发明至少部分受到上述有关ALD工艺的困难的触发,但是应该理解,本发明的应用超出了解决这些困难的范围。所以,本发明并不限于解决这些困难,或者甚至不限于ALD工艺,除非这些限制是在随后的权利要求中明确申明的情况以外。
发明综述
在一个方面,本发明包括将前体分散在超临界流体中、将该超临界流体/前体混合物引入反应室、以及采用该前体在至少部分基体表面形成单层。
在一个方面,本发明包括原子层沉积方法,其中第一前体分散在超临界流体中并流入反应室,以在基体表面上沉积形成第一组分。第二前体在第一前体后流入反应室,而且在时间上和第一前体向反应室中的流入不同。第二前体形成在基体表面上沉积的第二组分,第一组分和第二组分一起在基体上形成沉积材料。该在基体上沉积的材料可以是任何数量的材料,包括例如含金属材料。含金属材料可以基本上由或者由金属组成,或者是含除金属以外的非金属的化合物,比如,例如,金属氮化物和金属氧化物。在具体方面,第一前体可以包括挥发性的含金属化合物,第二前体可以包括氧,由第一和第二前体形成的材料可以包括金属氧化物。根据本发明方法可以形成的示例性金属氧化物包括氧化钽、氧化钛、氧化铝和氧化铪。
在另一方面,本发明包括由分散在超临界流体中的至少两种不同前体形成层的方法。其中分散有前体的超临界流体流入反应室中,并用来形成包含该至少两种前体的组分的第一材料。在形成该第一材料后,除去基本上所有以游离态残留在反应室中的该至少两种前体,随后反应物流入该室中,以将所述第一材料的至少一部分化学转变成第一材料。在具体方面,该第一材料可以包括铪和铝,在其它具体方面,该第一材料可以包括钽和钛。反应物可以包括氧,相应地,该第二材料可以包括例如氧化铝/氧化铪或氧化钽/氧化钛。
附图简述
下面参考附图描述了本发明的优选实施方案。
图1是可用于本发明的示例方面所包括的各种处理的示例性装置的示意横截面图。
图2是正在本发明示例方法的初步处理阶段进行处理的半导体晶片片断的示意横截面图。
图3是图2的晶片片断在图2所示阶段的后续处理阶段进行处理的图。
图4是图2的晶片片断在图3所示阶段的后续处理阶段的示意图。
图5是在本发明第二实施方案方法的初步处理阶段的半导体晶片片断的示意横截面图。
图6是图5的晶片片断在图5所示阶段的后续处理阶段进行处理的示意图。
图7是图5的晶片片断在图6所示阶段的后续处理阶段进行处理的示意图。
图8是图5的晶片片断在图7所示阶段的后续处理阶段的示意图。
优选实施方案详述
本发明包括各种在沉积工艺中采用超临界流体将一种或多种前体输送到反应室中的方法。超临界流体可以是任何其中可以分散前体的合适超临界组合物。尽管超临界流体可以是前体的净组合物,但通常并不这样。相反,超临界流体包括除了该前体的组合物以外的组合物,示例性的超临界流体是包括二氧化碳、基本由二氧化碳组成或者由二氧化碳组成的超临界流体。
如同本领域普通技术人员所知的那样,超临界流体被定义成任何高于其临界温度(Tc)和临界压力(Pc)的物质。Tc是气体可以通过压力增加而转变成液体的最高温度,Pc是液体可以通过液体温度的增加而转变成常规气体的最高压力。在所称的临界区域,仅仅存在一相,该相具有气体和液体的性质。超临界流体和常规液体在多个方面存在差异。例如,通常通过改变温度和/或压力可以控制超临界流体的“溶剂化能力”,以使得各种前体材料可以溶解。
为了理解本公开以及后续的权利要求,术语“超临界流体”具体是指处于临界状态的组合物的一部分(即,是指组合物的超临界组分)。通常,在超临界流体中分散和/或溶解的材料并不处于超临界状态,相应地不是超临界流体的一部分。但是,在有些情况下,分散在超临界流体中的前体可以处于超临界状态。
本发明的方法可用于各种沉积工艺,在具体方面可用于原子层沉积工艺。常规ALD中面临的挑战之一存在于快速输送,优选采用极其方形的浓度脉冲输送,足以使基体表面饱和的前体。常规ALD采用气相输送前体,这通常对ALD工艺有下列限制:(1)气相中可以达到的前体浓度有限,对于蒸汽压低(即,蒸汽压小于0.1Torr和100℃)的前体而言可能严重受限,同样,前体浓度可能受到气相输送管线到反应室的加热效率的影响,因为输送管线加热不当可能导致前体在通向室的路径上沿着气体管线壁沉积;和(2)前体浓度脉冲的尖锐度。
本发明的具体方面通过采用超临界流体改善低挥发性前体向反应室的输送,可以解决全部上述ALD工艺的限制。具体而言,将一种或多种低挥发性前体溶解或者分散在超临界流体中,可以使得在一定体积中获得相对高的前体浓度。相应地,其中溶解了一种或多种前体的超临界流体可以引入反应室,从而在反应室中获得相对高的前体浓度。然后,高浓度前体可以用来在ALD工艺中在反应室中的基体上形成单层。
本发明的一些方面包括在形成单层的过程中使反应室内的超临界流体保持超临界状态,本发明的其它方面包括在超临界流体流入反应室的过程中,或者在已经在反应室中提供了所需量的前体以后,从超临界状态释放超临界流体。在两种情况下,当流体变成非超临界状态时,前体在流体中的溶解度通常显著下降,这样可以在短时间内释放高浓度的前体到反应室中的基体表面上。
在本发明的具体方面,当反应室处于超临界流体处于超临界状态的条件下,提供了其中分散有一种或多种前体的所需量超临界流体。超临界流体在某种意义上是在反应室中储存所需量前体的储存器。随后,条件发生改变,使得流体从超临界状态释放出来,这导致前体从流体中释放出来。释放的前体形成高浓度的前体脉冲,所述脉冲在优选实施方案中基本上对应于ALD反应室中的所需的前体方形脉冲。
如果在本发明方法中采用的超临界流体由CO2组成,那么临界压力是约73个大气压,临界温度约32℃。ALD反应室可以构造成能维持这样的压力和温度,在具体方面可以在到反应室的连接管线中采用swagelock配件,从而提供适于维持CO2超临界状态的压力。CO2在32℃的临界温度实际上低于在ALD反应室中通常采用的工艺温度,而且可能比常规ALD温度维持起来更容易一些。在本发明的方法中采用CO2作为超临界溶剂的优点可能在于,CO2通常不会象其它溶剂(比如,例如烃溶剂)那样污染采用本方法形成的膜。
本发明的方法可以采用为数众多的前体,包括,例如,包含一种或多种金属的前体。含金属的前体可以用来形成由金属组成,或者基本由金属组成的材料;或者可替换地,可以用来形成包括金属和非金属元素的材料,比如,例如金属氮化物或金属氧化物。在具体方面,本发明的方法采用含铝涂层、含铪涂层、含钽涂层或者含钛涂层。
参考图1-8描述本发明的示例性方面。
首先参见图1,该图示出了可用于根据本发明某方面的示例性沉积工艺的装置100。装置100包括反应室102。在反应室中提供了晶片夹具104,在图示中它支撑着晶片12。入口106延伸到反应室102里,出口108延伸出反应室外。
反应物材料通过入口106流入反应室102,其中反应物材料的流动方向如箭头110所示。通过出口108将材料从反应室中去除,排空反应室,其中去除材料的流动方向如箭头112所示。在横跨入口106处提供了阀门114,用于控制材料经过入口的流动,在横跨出口108处提供了阀门116,用于控制材料经过出口的流动。在出口108的下游可以提供泵(未示出),用于辅助从反应室102里排空材料。
装置100可用于CVD工艺或ALD工艺。在示例方面,装置100构造成用于ALD工艺。在这个方面,使两种或多种前体组合物以脉冲形式交替进入反应室,从而在基体12上沉积一层或多层材料。
图示中,在反应室102外面提供了一对前体组合物120和122,这些组合物通过阀门124和入口106流体连通。阀门124可以构造成一次仅仅允许前体组合物120和122中的一种进入反应室102。
在操作中,前体组合物120和122之一流入反应室102中,在反应室内得到所需的浓度。为了进行讨论,可将前体组合物120当作首先流入反应室的前体组合物。当组合物120流入反应室以后,来自该组合物的前体在基体12的暴露表面上形成单层。通常在反应室102内有过量的前体,使得在形成了单层后在反应室中残留有一些游离的前体。将在反应室中保持游离状态的前体基本上全部从反应室内冲出。随后,使第二前体组合物122流入反应室102。第二前体组合物122流入反应室102中,直到在反应室内得到所需浓度的来自第二组合物122的前体为止。然后,来自组合物122的前体和由组合物120的前体形成的单层反应,或者在第一单层上形成另一单层,或者将第一单层的组合物化学转变成新的组合物。当在基体12上形成了来自前体122的组分以后,可以将基本所有来自组合物122的前体从反应室102中冲出(或者换句话说是吹扫掉)。
上面采用的术语“基本所有”是指从反应室内去除了足量的游离前体,从而缓和,以及优选防止,在反应室102中除了基体上的整个活性表面以外的任何部分里发生第一组合物120的前体和第二组合物122的前体之间的化学反应。因此,在本发明的具体示例性ALD应用中,来自组合物120和122的前体在互相不同的、基本上不重叠时间里不存在于反应室102中。具体而言,可以认为第二前体组合物122和第一前体组合物120在分别的时间里流入反应室100(反之亦然),因为在反应室中不同时提供第一和第二前体组合物。本发明的这个方面涉及ALD工艺。应该理解,本发明还可以应用到CVD工艺,而且在这种工艺中可以在反应室102中同时提供第一和第二前体组合物。
在具体方面,可以认为前体组合物120和122的交替流动是ALD工艺的单次重复。具体而言,用于在基体12上形成沉积的ALD工艺的单次重复可以包括使第一前体组合物120流入反应室102、从反应室102中吹扫掉第一前体组合物120、使第二前体组合物122流入反应室102、以及从反应室102中吹扫掉第二前体组合物122。可以执行该工艺的多次重复,从而在基体12上形成所需厚度的所需材料。
前体组合物120和122的一种或全部包括一种或多种分散在超临界流体中的前体。在具体方面,第一前体组合物120包括分散在超临界CO2中的一种或多种含金属的前体。组合物120中的至少一种前体可以例如在100℃具有小于或等于约0.1Torr的蒸汽压,而且这种前体在超临界流体中的分散可以允许在给定体积里得到比没有超临界流体时可能得到的高得多的前体浓度。例如,可以溶解在超临界流体中的前体浓度通常比没有超临界流体时在气相中可以得到的前体浓度大1000倍。尽管本发明的各个方面的超临界分散对于低挥发性前体而言特别有用,但是超临界分散甚至对于高挥发性前体而言也可能有用。具体而言,前体在超临界流体中的分散使得可以形成比非超临界进料更饱和的单层。
在具体方面,第一前体组合物120包括分散在超临界流体中的一种或多种合适的含金属的前体(比如,例如,金属卤化物、或者金属有机材料)、或者基本由分散在超临界流体中的一种或多种合适的含金属的前体(比如,例如,金属卤化物、或者金属有机材料)组成、或者由分散在超临界流体中的一种或多种合适的含金属的前体(比如,例如,金属卤化物、或者金属有机材料)组成,所述超临界流体包括、或者主要包括CO2,或者基本由、或者由CO2组成。在组合物120的一种或多种前体中可以采用的示例性金属包括铪、钛、铝和钽。
如上所述,当压力和温度超过临界条件时,超临界流体处于超临界状态。如果组合物120包括分散在超临界流体中的前体,那么当该流体从组合物120的源流到入口106时,它可以维持其超临界状态。这可以通过以下实现:沿着组合物120所经过的通道,维持适当的压力和温度,从而将该组合物维持在超临界状态。
室102可以构造成使得室内的条件处于该超临界流体的临界条件或以上,从而使得当超临界流体进入室102时保持在其超临界状态。因此,该流体充当储存器的作用,以在反应室中保持所需浓度的前体。在本发明的这个方面,组合物120流入室102至所需的前体浓度,同时保持流体的超临界状态。一旦达到了这个浓度,可以继续保持流体的超临界状态,同时前体和基体12的表面反应形成所需的单层。在其它方面,可以改变反应室中的一种或多种条件,并使其下降到临界条件以下,使得超临界流体在反应室中变成非超临界状态。例如,反应室中的压力可以下降到临界压力以下,从而将超临界流体变成非超临界状态。
当流体转变成非超临界状态时,流体的溶剂性质显著下降(通常下降几个数量级),这样可以在室102中释放出非溶剂化前体的尖锐脉冲(即,高流量)。相对于现有技术的ALD工艺而言,这种前体的尖锐脉冲可以改善本发明的ALD工艺。
在上述处理中,当超临界流体进入室102时,该流体保持在超临界状态。应该理解,本发明包括其它方面,在这些方面中反应室构造成使得当超临界流体流入反应室时它变成非超临界状态。换句话说,操作反应室,使得该室中的一种或多种条件位于超临界流体的临界条件以下。在这些方面,超临界流体被用来在输送前体到反应室的过程中将前体保持在溶液中,而不是用作在反应室中储存前体的储存器。
第二前体组合物122对第一前体组合物而言是替换性的或者附加性的,其可以包括分散在超临界流体中的前体。在其中组合物122中所用的前体具有低挥发性的方面,对于组合物122而言,特别有用的是其包括超临界流体。
在示例性工艺中,第一前体120在超临界流体中包括金属(比如,例如,铪、铝、钽或钛的一种或多种),而且用来在基体12的表面上形成含金属的单层。第二前体组合物122包括氧化剂(比如,例如,一种或多种含氧的材料,可以包括例如H2O和O3),被用来将含金属单层的至少一些金属转变成氧化物。在具体方面,第二前体组合物(也可以称作反应物组合物)用来将含金属单层的基本所有、或者甚至全部金属转变成金属氧化物。第二前体组合物122的氧化剂通常不分散在超临界流体中。
如果组合物120包含含金属的前体并用于形成含金属的单层,则在单层中形成的金属可以当作由组合物120的前体形成的含金属组分。另外,如果组合物122的前体是含氧前体,那么由来自组合物122的前体和含金属层的反应而形成的氧化物可以当作来自组合物122的前体的含氧组分。
图1中大致描述了反应室100,应该理解该反应室可以包括除了图示之外的许多构造。例如,前体可以通过淋浴头(未示出)引入反应室100中,反应室100可以构造成使得在将材料吹扫出反应室的过程中,和/或在前体组合物流入反应室的过程中,使惰性气体流入室102。
参考图2-4描述了本发明的示例性实施方案。首先参见图1,示出了处于初步加工阶段的半导体晶片片断10。晶片片断10包括半导体基体12。半导体基体12可以包括略微掺杂有本底P型掺杂剂的多晶硅,或者基本由略微掺杂有本底P型掺杂剂的多晶硅组成,或者由略微掺杂有本底P型掺杂剂的多晶硅组成。为了帮助理解随后的权利要求,术语“半导性基体”和“半导体基体”定义成任何包括半导体材料的构造,包括但不限于块状半导性材料,比如半导性晶片(或者单独,或者处于在其上包括其它材料的组件的形式)和半导性材料层(或者单独,或者处于在其上包括其它材料的组件的形式)。术语“基体”是指任何支撑结构,包括但不限于上述半导性基体。
基体12具有上表面14,图示中正在用处理组合物20进行处理。组合物20在上表面的至少一部分上形成了层18,而且在所述的方面,层18在整个上表面14上形成。
处理组合物20包括一种或多种合适的前体,在具体方面,包括在参考图1时描述的组合物120(例如,包括分散在超临界流体中的一种或多种含金属的前体),或者包括从包含一种或多种前体与超临界流体的组合的组合物120中释放的一种或多种前体。
可以认为层18包括至少来自组合物20的前体的组分。在具体方面,该组分仅仅是前体的一部分,而在其它方面,该组分是前体的全部。
层18可以是单层,而且如果在真实ALD工艺中用组合物20处理基体12,那么通常是单层。组合物20和表面14相互作用形成单层18,可以对应于物理相互作用和/或化学相互作用,而且在具体方面可以对应于化学吸附。
组合物20可以包括任何导致形成所需层18的合适前体。在具体方面,层18包括金属(即,是含金属的层),而且在这些方面,前体包括在层18中所需的金属。可以结合到前体并最终结合到层18中的示例性金属包括铝、铪、钛和钽。在具体方面,组合物20的前体可以包括下列物质、或者基本由下列物质组成、或者由下列物质组成:TiF5、异丙醇钛、PDMAT、TaF5、甲醇钽和HfCl4的一种或多种。
如果处理组合物20包括不止一种前体,那么该处理组合物可以用来形成混合的金属层18。在具体方面,处理组合物20中的前体包括含铝前体和含铪前体,在有些方面,处理组合物20中的前体基本由、或者由含铝前体和含铪前体组成。在这些方面,形成的层18可以包括铝和铪、或者基本由铝和铪构成、或者由铝和铪构成。在其它方面,处理组合物20中的前体可以包括含钽前体和含钛前体、基本由含钽前体和含钛前体组成或者由含钽前体和含钛前体组成。在这些方面,形成的层18可以包括钛和钽、或者基本由钛和钽组成、或者由钛和钽组成。
可能希望层18包含掺杂少量钛的钽。在这些方面,处理组合物20可以基本由、或者由含钛前体和含钽前体组成,其中含钛前体和含钽前体的比例是约5∶95-约10∶90,示例性比例是8∶92。然后,形成的层18可以包括约5原子百分比(原子%)-约10原子%的钛,其余是钽。
在靠近基体12的表面14处,优选以快速脉冲形式(即,以尖锐浓度脉冲形式,或者换而言之以相对方形的浓度脉冲形式)提供高浓度的处理组合物20的前体。这可以通过初始将前体分散到超临界流体中来实现,如同参考图1的装置100所描述的那样。
下面参见图3,采用第二前体组合物22处理构造10,并将层18(图2)转变成层24。第二组合物22可以对应于例如参见图1时描述的前体组合物122,或者是从在超临界流体中含有前体的组合物中释放的前体。在具体方面,前体组合物22包括氧化剂。前体22可以例如包括O3和H2O之一或全部,或者基本由O3和H2O之一或全部组成,或者由O3和H2O之一或全部组成。氧化剂可用来处理含金属的层18,将该层的金属转变成金属氧化物。例如,如果层18包括铪、铝、钛和钽中的一种或多种,或者基本由铪、铝、钛和钽中的一种或多种组成,或者由铪、铝、钛和钽中的一种或多种组成;那么层24可以包括氧化铪、氧化铝、氧化钽和氧化钛中的一种或多种,或者基本由氧化铪、氧化铝、氧化钽和氧化钛中的一种或多种组成,或者由氧化铪、氧化铝、氧化钽和氧化钛中的一种或多种组成。在其它方面,前体组合物22可以包括氮化剂,并且可用来将层18的金属转变成含金属氮化物的材料24。
参见图4,示出的构造10是在多次重复用来形成层24的工艺后的情况,以至于形成了含有数个层24的叠层30。可以重复形成层24的重复操作,直到叠层30达到所需的厚度。可以认为层24中的每一个是采用参见图2和3所述的工艺的单次反复操作在基体12上沉积的材料。这种材料可以包括,在具体示例方面,一种或多种含有来自前体22的氧组分和来自前体20的金属组分的金属氧化物;在另外的或者可替换的具体方面,一种或多种含有来自前体22的氮组分和来自前体20的金属组分的金属氮化物。
图5-8示出了本发明的方法,该方法结合到了制备电容器的示例工艺中。首先参见图5,半导体构造40包括其上具有电绝缘材料44的基体42。基体42可以包括例如略微掺杂有本底p型掺杂剂的单晶硅。绝缘材料44可以例如包括硼磷硅酸盐玻璃(BPSG)。
导电基座46延伸穿过绝缘材料44。基座46可以包括任何合适的导电材料,包括例如金属、金属化合物、和/或导电掺杂的硅。基座46和晶体管器件48连接。具体而言,基座46电阻性地连接到晶体管器件48的源/漏扩散区。
导电层50位于基座46上,并和基座46电连接。导电层50可以包括任何合适的导电材料或者材料组合,包括例如金属、金属化合物和/或导电掺杂的硅。
尽管所示的基体42、绝缘材料44和导电材料50是均匀材料,但应该理解其中的每一种可以包括多个子组分(未示出)。例如,基体42可以包括众多水平的导电和绝缘材料,绝缘材料44可以包括多层绝缘材料,而且导电层50可以包括多层导电材料。
参见图6,用前体组合物52处理构造40,以在层50上形成层54。所示的层54是导电的,在具体方面包括两种或多种金属。层54可以通过ALD形成例如单层的形式。
前体组合物52包含分离的前体的混合物。在图6中示意性示出了两个分离的前体56和58,它们组合在一起形成前体组合物52。该分离的前体56和58可以是含金属的前体,其中前体56包含了和前体58所含的不同的金属。
在具体方面,前体56可以包含铝,前体58可以包含铪。铝和铪的比例可以使得形成的含金属层54在铪材料中具有小浓度的铝(小于10原子%),即铪材料进行了有效的铝掺杂。
在另一方面,前体56可以包含钛,前体58可以包含钽。含钛前体和含钽前体的比例可以使得形成的层54具有小于约10原子%的钛。例如,可以形成钛和钽的比例为约5∶95-约10∶90(原子%)的层54,这个比例可以和前体组合物52中含钛前体与含钽前体的比例相同。合适的含钛前体包括,例如,TiF5和异丙醇钛;合适的含钽前体包括TaF5、乙醇钽和TDMAT。
组合物52的前体优选在图6的处理之前或之中分散在超临界流体中,相应地,组合物52可以用于参见图1时描述的方法中。超临界流体可以包括例如CO2、或者基本由例如CO2组成、或者由例如CO2组成。在本发明的一个示例性方面,前体组合物可以基本由其中分散了含铝前体和含铪前体的超临界CO2组成。在另一示例性方面,前体组合物可以基本由其中分散了含钛前体和含钽前体的超临界CO2组成。本发明相对于现有技术方法的优点之一可以是在前体组合物52中可以以所需比例混合多种前体,而且,采用本发明的方法可以避免通常和预混合前体相关的涉及蒸气压和分解温度的困难。
如果组合物52包括超临界流体,那么该超临界流体可以,如同参见图1时讨论的那样,在形成层54的过程中保持在超临界状态,或者可以在反应室中释放到非超临界状态,以提供用来形成层54的前体组合物脉冲。
虽然在图6的实施方案中所示和描述的层54包括了至少两种金属而且由两种或多种含金属的前体形成,但是应该理解,本发明包括其它方面,其中层54仅仅由单一的含金属的前体形成(或者由两种或多种含金属的前体形成,所述前体包含彼此相同的金属),以使得层54基本由或者由单一金属组成。在具体方面,形成的层54可以包括铪、铝、钛和钽的一种或多种,或者基本由铪、铝、钛和钽的一种或多种组成,或者由铪、铝、钛和钽的一种或多种组成。
如果层54是在反应室中形成(比如参见图1时描述的反应室102),那么在形成该层后,用来形成层54的有些前体可能在反应室中保持游离状态。然后,基本上所有的游离前体可以从反应室中去除,随后可以用反应物处理层54,以将层54的至少一些材料转变成另一种材料。在这个方面,可以认为层54包含第一材料,而且该层的至少一部分可以认为被化学转变成第二材料。在具体方面,基本上全部或者全部层54转变成了第二材料。
图7示出了构造40,此时层54的金属(图6)用反应物组合物60的处理,以将层54化学转变成新材料62(所示为电绝缘材料)。反应物60可以例如包含氧,并可以用来将层54的金属转变成金属氧化物。相应地,如果层54基本由钛和钽组成,或者由钛和钽组成,则层62可以基本由氧化钽和氧化钛的混合物组成,或者由氧化钽和氧化钛的混合物组成。在具体方面,层62中,氧化钛和氧化钽的比为约5∶95-约10∶90,示例性比例为约8∶92。本发明的加工相对于现有技术方法的优点在于氧化钛均匀分散同时没有氧化钽,而不是和氧化钽相对以片状交替形式存在。
在本发明的另一示例性方面,层54可以基本由铝和铪组成,或者由铝和铪组成,层62可以基本上由氧化铝和氧化铪组成,或者由氧化铝和氧化铪组成。氧化铝的存在量可以小于或等于10原子%,氧化铪的存在量可以大于或等于90原子%。氧化铝可以在整个氧化铪中均匀分布。
在电容器构造中,层62可以用作介电材料。如图8所示。具体而言,在介电材料62上形成第二导电材料64,而且由介电材料62将其和第一导电材料50分开。第一导电材料50可以当作第一电容器电极,第二导电材料可以当作第二电容器电极,介电材料62可以当作将电极50和64彼此分开的电容器介电体。尽管所示的第二电极64直接形成在介电材料62上,应该理解本发明包括其它方面(未示出),其中在形成第二电极64之前,在介电材料62上形成了一种或多种附加的介电材料。
包含材料50、62和64的电容器构造可以结合到DRAM器件中。具体而言,电容器构造可以连接到晶体管器件48的第一源/漏区,该晶体管器件的栅(未示出)可以认为是字线,该晶体管器件的第二源/漏区可以连接到位线(未示出)。
所示的包含材料50、62和64的电容器构造仅仅是可以采用本发明的方法形成的许多电容器构造之一。其它电容器构造可以具有其它形状,包括,例如容器形。另外,应该理解电容器器件仅仅是可以采用本发明的方法形成的一种示例性器件,其它器件也可以采用本方法形成。
本发明可用于众多应用。例如,本发明的方法可以形成多孔膜,该膜具有所需的“掺杂”,同时缓解并甚至避免了来自在现有技术方法中会使用的溶剂的污染。根据本发明的方法形成的材料可以在进一步处理中(未示出)图案化(通过例如选择性蚀刻)。

Claims (73)

1、在基体上形层的方法,包括:
提供包含分散在其中的前体的超临界流体;
提供其中具有基体的反应室,所述基体具有表面;和
使其中分散有前体的超临界流体流入所述反应室;所述前体和所述基体的所述表面反应,在所述基体的至少一部分所述表面上形成单层。
2、权利要求1的方法,其中所述前体是在所述超临界流体中分散的前体的混合物的一部分,而且来自所述混合物的两种或多种前体与所述基体的所述表面反应形成所述单层。
3、权利要求2的方法,其中所述前体混合物中的所述前体包括含铝前体和含铪前体。
4、权利要求2的方法,其中所述前体混合物中的所述前体基本上由含铝前体和含铪前体组成。
5、权利要求2的方法,其中所述前体混合物中的所述前体包括含钛前体和含钽前体。
6、权利要求2的方法,其中所述前体混合物中的所述前体基本上由含钛前体和含钽前体组成。
7、权利要求6的方法,其中含钛前体和含钽前体的比是约5∶95-约10∶90。
8、权利要求6的方法,其中所述含钛前体是TiF5或者异丙醇钛,所述含钽前体是五(二甲基氨基)钽、TaF5或乙醇钽。
9、权利要求1的方法,其中所述前体包含金属。
10、权利要求1的方法,其中所述前体在100℃具有小于或等于约0.1Torr的蒸汽压。
11、权利要求1的方法,其中所述前体包括金属卤化物,而且其中所述超临界流体主要包含CO2。
12、权利要求1的方法,其中所述前体包括铪、钛或钽。
13、权利要求1的方法,其中所述前体是TiF5、异丙醇钛、五(二甲基氨基)钽、TaF5、乙醇钽或HfCl4
14、权利要求1的方法,其中所述反应室中的一种或多种条件在形成所述单层的至少一部分时低于所述超临界流体的临界条件,使得所述超临界流体在形成所述单层的至少一部分时在所述反应室中不处于超临界状态。
15、权利要求14的方法,其中所述反应室中处于所述超临界流体的临界条件以下的所述一种或多种条件包括低于所述超临界流体的临界压力的压力。
16、权利要求14的方法,其中当所述超临界流体流入所述室时反应室内的所述一种或多种条件低于所述临界条件,使得所述超临界流体在流入所述室中时转变成所述非超临界状态。
17、权利要求14的方法,其中:
所述超临界流体以超临界状态并且在适于将所述超临界流体保持在所述超临界状态的条件下流入所述室;和
在所述超临界流体流入所述反应室后,改变所述反应室中的条件,以将所述反应室中的所述一种或多种条件降到所述超临界流体的所述超临界条件以下。
18、在基体上沉积材料的方法,包括:
提供第一前体以及与所述第一前体不同的第二前体;
提供其中具有基体的原子层沉积反应室,所述基体具有表面;
执行下列次序的至少一次反复:
使所述第一前体流入所述室,以在所述基体的表面上形成沉积的第一组分;
在形成了所述第一组分后,从所述室中吹扫掉基本上所有的在所述室中保持游离态的所述第一前体;
在从反应室中吹扫掉基本上所有的所述第一前体以后,使所述第二前体流入所述室,以在所述基体的所述表面上形成第二组分;
在形成了所述第二组分以后,从所述室中吹扫掉基本上所有的在所述室中保持游离态的所述第二前体;和
其中所述第一和第二前体的至少之一在流入所述反应室时分散在超临界流体中。
19、权利要求18的方法,其中所述第一前体在流入所述反应室时分散在所述超临界流体中。
20、权利要求19的方法,其中所述第一前体包括金属。
21、权利要求19的方法,其中所述第一前体在100℃具有小于或等于约0.1Torr的蒸汽压。
22、权利要求19的方法,其中所述第一前体包括金属卤化物,而且其中所述超临界流体主要包含CO2
23、权利要求19的方法,其中所述第一前体包含铪、铝、钛或钽。
24、权利要求18的方法,其中所述第二前体在流入所述反应室时分散在所述超临界流体中。
25、权利要求18的方法,其中所述第一和第二前体在流入所述反应室时都分散在超临界流体中。
26、权利要求18的方法,其中:
所述第一前体包含金属;
所述第一组分包含所述金属;
所述第二前体包含氮;和
所述第二组分包含所述氮。
27、权利要求18的方法,其中:
所述第一前体包含金属;
所述第一组分包含所述金属;
所述第二前体包含氧;和
所述第二组分包含所述氧。
28、权利要求27的方法,其中:
所述第一前体包含铪;
所述第一组分包含来自所述第一前体的铪;和
所述第二前体的氧和所述第一组分的铪反应形成氧化铪。
29、权利要求28的方法,其中所述第一前体是HfCl4而所述第二前体是H2O或O3
30、权利要求27的方法,其中:
所述第一前体是前体混合物的一部分;
所述混合物中的所述前体包含两种或多种不同金属;和
所述第一组分包含所述两种或多种不同金属。
31、权利要求28的方法,其中所述前体的混合物基本上由含铝前体和含铪前体组成。
32、权利要求28的方法,其中所述前体混合物基本上由含钛前体和含钽前体组成。
33、权利要求32的方法,其中所述含钛前体和含钽前体的原子百分数之比是约5∶95-约10∶90。
34、权利要求32的方法,其中所述含钛前体是TiF5或者异丙醇钛,所述含钽前体是五(二甲基氨基)钽、TaF5或乙醇钽。
35、权利要求18的方法,其中所述反应室中的一种或多种条件在所述超临界流体位于所述反应室的至少部分时间中处于所述超临界流体的临界条件以下,使得所述超临界流体在所述反应室中转变成非超临界状态。
36、权利要求35的方法,其中所述反应室中处于所述超临界流体的临界条件以下的所述一种或多种条件包括低于所述超临界流体的临界压力的压力。
37、权利要求35的方法,其中当所述超临界流体流入所述室时反应室内的所述一种或多种条件低于所述临界条件,使得所述超临界流体在流入所述室中时转变成所述非超临界状态。
38、权利要求35的方法,其中:
使所述超临界流体以超临界状态并且在适于将所述超临界流体保持在所述超临界状态的条件下流入所述室;和
在所述超临界流体流入所述反应室后,改变所述反应室中的条件,以将所述反应室中的所述一种或多种条件降到所述超临界流体的所述临界条件以下。
39、在基体上沉积材料的方法,包括:
提供包括分散在其中的第一前体的超临界流体;
提供第二前体;
提供其中具有基体的原子层沉积反应室,所述基体具有表面;
使其中分散有所述第一前体的超临界流体流入所述室,从而在所述基体的表面上形成沉积的第一组分;和
在所述第一前体流入所述反应室后而且在时间上和所述第一前体向所反应室中的流入不同,使所述第二前体流入所述室,在所述反应室中的所述第二前体形成沉积在所述基体的所述表面的第二组分,所述第一和第二组分一起形成沉积在所述基体上的材料。
40、权利要求39的方法,其中所述其中分散有所述第一前体的超临界流体是第一超临界流体;和其中当所述第二前体流入所述反应室时所述第二前体分散在第二超临界流体中。
41、权利要求39的方法,其中所述第一前体在100℃具有小于或等于约0.1Torr的蒸汽压。
42、权利要求39的方法,其中:
所述第一前体包含金属;
所述第二前体包含氮;和
所述在所述基体上沉积的材料包括来自所述第一前体的金属的氮化物。
43、权利要求39的方法,其中:
所述第一前体包含金属;
所述第二前体包含氧;和
所述在所述基体上沉积的材料包括来自所述第一前体的金属的氧化物。
44、权利要求43的方法,其中所述第一前体包含铪、钛或钽。
45、权利要求39的方法,其中在当所述流体位于所述反应室中的某段时间时,所述反应室中的一种或多种条件位于所述超临界流体的临界条件以下,使得所述超临界流体在所述反应室中转变成非超临界状态。
46、在基体上形成层的方法,包括:
提供在其中分散有至少两种不同前体的超临界流体;
提供其中具有基体的反应室,所述基体具有表面;
使所述超临界流体流入所述反应室并形成包含所述至少两种不同前体的组分的第一材料,所述第一材料形成在所述基体的所述表面的至少一部分上;在形成了所述第一材料后,所述至少两种前体的一部分在所述室中保持游离状态;
从所述室中去除基本上所有保持游离状态的所述至少两种前体;和
在去除了基本所有所述至少两种前体后,使反应物流入所述室中以将所述第一材料的至少一部分转变成第二材料。
47、权利要求46的方法,其中所述至少两种不同前体之一包含铪,而所述至少两种不同前体的另一种包含铝;以及其中所述第一材料包含来自所述前体之一的铪和来自所述前体的另一种的铝。
48、权利要求47的方法,其中所述第一材料基本由铪和铝组成。
49、权利要求46的方法,其中所述至少两种不同前体之一包含钛,而所述至少两种前体的另一种包含钽;以及其中所述第一材料包含来自所述前体之一的钛和来自所述前体的另一种的钽。
50、权利要求49的方法,其中所述反应物包含氧,而且其中所述第一材料的至少一部分的化学转变包括所述第一材料的至少一些钽和钛的氧化。
51、权利要求49的方法,其中所述第一材料基本上由所述钛和所述钽组成。
52、权利要求51的方法,其中所述反应物包含氧;和其中所述第一材料的至少一部分的化学转变包含来自所述第一材料的钽和钛的氧化,以形成由氧化钽和氧化钛构成的所述第二材料。
53、权利要求49的方法,其中所述前体之一是TiF5或异丙醇钛;和其中所述前体的另一种是五(二甲基氨基)钽、TaF5或乙醇钽。
54、权利要求49的方法,其中在所述超临界流体中的所述前体之一和所述前体的另一种的比是约5∶95-约10∶90。
55、权利要求49的方法,其中所述第一材料中的钛和钽的比为约5∶95-约10∶90。
56、权利要求46的方法,其中所述反应物包含氧,而且其中所述第一材料的至少一部分的化学转变包括所述第一材料的氧化。
57、权利要求46的方法,其中在当所述流体位于所述室中的某段时间时,所述反应室中的一种或多种条件位于所述超临界流体的临界条件以下,使得所述超临界流体在所述反应室中转变成非超临界状态。
58、权利要求57的方法,其中所述反应室中处于所述超临界流体的临界条件以下的所述一种或多种条件包括低于所述超临界流体的临界压力的压力。
59、权利要求57的方法,其中当所述超临界流体流入所述室时反应室内的所述一种或多种条件低于所述临界条件,使得所述超临界流体在流入所述室中时转变成所述非超临界状态。
60、权利要求57的方法,其中:
使所述超临界流体以超临界状态并且在适于将所述超临界流体保持在所述超临界状态的条件下流入所述室;和
在所述超临界流体流入所述反应室后,改变所述反应室中的条件,以将所述反应室中的所述一种或多种条件降到所述超临界流体的所述临界条件以下。
61、在基体上形成层的方法,包含:
提供包含在其中分散的含钛组合物和含钽组合物的超临界流体;
提供其中具有基体的反应室,所述基体具有表面;和
使所述超临界流体流入所述反应室并且形成包含来自所述含钛组合物的钛和来自所述含钽组合物的钽的材料,所述材料形成在所述基体的所述表面的至少一部分上。
62、权利要求61的方法,还包括将所述材料暴露在氧中以将所述材料中的至少部分钽转变成氧化钽,以及将所述材料中的至少部分钛转变成氧化钛。
63、权利要求62的方法,其中所述材料的基本上所有的钽转变成氧化钽,而且其中所述材料中的基本上所有的钛转变成氧化钛。
64、权利要求61的方法,还包含在形成所述材料后使含氧组合物流入所述反应室,所述含氧组合物和所述材料中的钽和钛相互作用形成氧化钽和氧化钛。
65、权利要求64的方法,其中在形成了所述材料后一些游离的含钛组合物和游离的含钽组合物保留在所述室中,所述方法还包括在使所述含氧组合物流入所述室之前从所述室中吹扫掉基本上所有的游离含钛组合物和游离的含钽组合物。
66、权利要求61的方法,其中所述超临界流体基本由CO2组成,而且其中所述分散在所述超临界流体中的组合物由含钛组合物和含钽组合物组成。
67、权利要求61的方法,其中在所述超临界流体中所述含钛组合物和所述含钽组合物的比是约5∶95-约10∶90。
68、权利要求61的方法,其中所述材料中所述含钛组合物和含钽组合物的比是约5∶95-约10∶90。
69、权利要求61的方法,其中所述含钛组合物是TiF5或异丙醇钛;而且其中所述含钽组合物是乙醇钽、TaF5或五(二甲基氨基)钽。
70、权利要求61的方法,其中在当所述超临界流体位于所述反应室中的某段时间时,所述反应室中的一种或多种条件处于所述超临界流体的临界条件以下,使得所述超临界流体在所述反应室中转变成非临界状态。
71、权利要求70的方法,其中所述反应室中处于所述超临界流体的所述临界条件以下的一种或多种条件包括在所述超临界流体的临界压力以下的压力。
72、权利要求70的方法,其中当所述超临界流体流入所述室中时所述反应室中的一种或多种条件处于所述临界条件以下,使得所述超临界流体在流入所述室时转变成所述非超临界状态。
73、权利要求70的方法,其中:
所述超临界流体以所述超临界状态而且在适于将所述超临界流体保持在所述超临界状态的条件下流入所述室;和
在所述超临界流体流入所述反应室后,改变所述反应室中的条件,使得所述反应室中的所述一种或多种条件下降到所述超临界流体的临界条件以下。
CN2004800241623A 2003-08-22 2004-08-09 在基体上沉积材料的方法以及在基体上形成层的方法 Expired - Fee Related CN1839217B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/652,224 2003-08-22
US10/652,224 US7048968B2 (en) 2003-08-22 2003-08-22 Methods of depositing materials over substrates, and methods of forming layers over substrates
PCT/US2004/025804 WO2005047563A1 (en) 2003-08-22 2004-08-09 Methods of depositing materials over substrates and methods of forming layers over substrates

Publications (2)

Publication Number Publication Date
CN1839217A true CN1839217A (zh) 2006-09-27
CN1839217B CN1839217B (zh) 2010-04-21

Family

ID=34194673

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800241623A Expired - Fee Related CN1839217B (zh) 2003-08-22 2004-08-09 在基体上沉积材料的方法以及在基体上形成层的方法

Country Status (9)

Country Link
US (3) US7048968B2 (zh)
EP (1) EP1656469B1 (zh)
JP (1) JP2007502021A (zh)
KR (1) KR100762575B1 (zh)
CN (1) CN1839217B (zh)
AT (1) ATE402276T1 (zh)
DE (1) DE602004015300D1 (zh)
TW (1) TWI288785B (zh)
WO (1) WO2005047563A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101622376B (zh) * 2007-01-30 2012-04-04 朗姆研究公司 使用超临界溶剂在半导体基片上形成金属膜的组合物和方法
CN101651174B (zh) * 2008-08-12 2013-01-23 昆山中辰硅晶有限公司 供半导体光电元件外延用的半导体基板及其制造方法
CN102046856B (zh) * 2008-05-27 2015-11-25 皮考逊公司 用于沉积反应器的方法和装置
CN112176320A (zh) * 2020-09-11 2021-01-05 大连理工大学 一种超临界二氧化碳脉冲可控生长二维半导体薄膜的方法
TWI792008B (zh) * 2020-06-19 2023-02-11 國立中山大學 針具的表面改質方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7048968B2 (en) * 2003-08-22 2006-05-23 Micron Technology, Inc. Methods of depositing materials over substrates, and methods of forming layers over substrates
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
FR2915753B1 (fr) * 2007-05-02 2009-09-04 Commissariat Energie Atomique Procede et dispositif de preparation d'un revetement multicouche sur un substrat
US8404878B2 (en) * 2010-04-07 2013-03-26 American Air Liquide, Inc. Titanium-containing precursors for vapor deposition
US9269567B2 (en) * 2013-12-17 2016-02-23 Intermolecular, Inc. High productivity combinatorial processing using pressure-controlled one-way valves
US9330902B1 (en) 2015-06-04 2016-05-03 United Microelectronics Corp. Method for forming HfOx film based on atomic layer deposition (ALD) process
TWI579902B (zh) * 2015-06-12 2017-04-21 晶元光電股份有限公司 一種基板移除設備及利用此設備的基板移除製程
US10431695B2 (en) 2017-12-20 2019-10-01 Micron Technology, Inc. Transistors comprising at lease one of GaP, GaN, and GaAs
US10825816B2 (en) 2017-12-28 2020-11-03 Micron Technology, Inc. Recessed access devices and DRAM constructions
US10319586B1 (en) 2018-01-02 2019-06-11 Micron Technology, Inc. Methods comprising an atomic layer deposition sequence
US10734527B2 (en) 2018-02-06 2020-08-04 Micron Technology, Inc. Transistors comprising a pair of source/drain regions having a channel there-between

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4737384A (en) * 1985-11-01 1988-04-12 Allied Corporation Deposition of thin films using supercritical fluids
US4970093A (en) * 1990-04-12 1990-11-13 University Of Colorado Foundation Chemical deposition methods using supercritical fluid solutions
KR930019861A (ko) * 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
US5789027A (en) * 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
EP1024524A2 (en) 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US7629028B2 (en) * 1999-03-19 2009-12-08 Battelle Memorial Insitute Methods of making monolayers
US6531224B1 (en) * 1999-03-19 2003-03-11 Battelle Memorial Institute Self-assembled monolayer and method of making
US6689700B1 (en) * 1999-11-02 2004-02-10 University Of Massachusetts Chemical fluid deposition method for the formation of metal and metal alloy films on patterned and unpatterned substrates
IT1313967B1 (it) * 1999-12-27 2002-09-26 Thermoquest Italia Spa Sistema e metodo per controllare la temperatura di una colonna percromatografia.
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP4577464B2 (ja) 2000-06-27 2010-11-10 三菱瓦斯化学株式会社 銅−亜鉛系触媒前駆体組成物および該触媒の製造法
US6576345B1 (en) * 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
GB2371248A (en) * 2000-12-04 2002-07-24 Seiko Epson Corp Fabrication of self-assembled monolayers
US6451375B1 (en) * 2001-01-05 2002-09-17 International Business Machines Corporation Process for depositing a film on a nanometer structure
KR100384558B1 (ko) * 2001-02-22 2003-05-22 삼성전자주식회사 반도체 장치의 유전체층 형성방법 및 이를 이용한캐패시터 형성방법
US6951765B1 (en) * 2001-12-12 2005-10-04 Novellus Systems, Inc. Method and apparatus for introduction of solid precursors and reactants into a supercritical fluid reactor
US7030168B2 (en) * 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7119418B2 (en) * 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
JP4234930B2 (ja) 2002-01-24 2009-03-04 セイコーエプソン株式会社 成膜装置及び成膜方法
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
CN1202163C (zh) * 2002-02-08 2005-05-18 中国科学院化学研究所 用超临界二氧化碳制备导电聚吡咯复合膜材料的方法
US6653236B2 (en) 2002-03-29 2003-11-25 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates; and semiconductor constructions
US6809212B2 (en) 2002-06-12 2004-10-26 Praxair Technology, Inc. Method for producing organometallic compounds
JP4336769B2 (ja) 2002-06-27 2009-09-30 独立行政法人産業技術総合研究所 薄膜作製方法および薄膜作製装置
TWI274082B (en) 2002-10-31 2007-02-21 Praxair Technology Inc Methods for making metallocene compounds
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US6989172B2 (en) * 2003-01-27 2006-01-24 Micell Technologies, Inc. Method of coating microelectronic substrates
US6835664B1 (en) 2003-06-26 2004-12-28 Micron Technology, Inc. Methods of forming trenched isolation regions
US7048968B2 (en) * 2003-08-22 2006-05-23 Micron Technology, Inc. Methods of depositing materials over substrates, and methods of forming layers over substrates

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101622376B (zh) * 2007-01-30 2012-04-04 朗姆研究公司 使用超临界溶剂在半导体基片上形成金属膜的组合物和方法
CN102046856B (zh) * 2008-05-27 2015-11-25 皮考逊公司 用于沉积反应器的方法和装置
CN101651174B (zh) * 2008-08-12 2013-01-23 昆山中辰硅晶有限公司 供半导体光电元件外延用的半导体基板及其制造方法
TWI792008B (zh) * 2020-06-19 2023-02-11 國立中山大學 針具的表面改質方法
CN112176320A (zh) * 2020-09-11 2021-01-05 大连理工大学 一种超临界二氧化碳脉冲可控生长二维半导体薄膜的方法
CN112176320B (zh) * 2020-09-11 2021-09-24 大连理工大学 一种超临界二氧化碳脉冲可控生长二维半导体薄膜的方法

Also Published As

Publication number Publication date
US20090215252A1 (en) 2009-08-27
US20050042374A1 (en) 2005-02-24
US7544388B2 (en) 2009-06-09
JP2007502021A (ja) 2007-02-01
US20060222770A1 (en) 2006-10-05
KR100762575B1 (ko) 2007-10-01
CN1839217B (zh) 2010-04-21
ATE402276T1 (de) 2008-08-15
KR20060037422A (ko) 2006-05-03
EP1656469A1 (en) 2006-05-17
WO2005047563A1 (en) 2005-05-26
DE602004015300D1 (de) 2008-09-04
US7794787B2 (en) 2010-09-14
TW200512311A (en) 2005-04-01
US7048968B2 (en) 2006-05-23
EP1656469B1 (en) 2008-07-23
TWI288785B (en) 2007-10-21

Similar Documents

Publication Publication Date Title
CN1839217A (zh) 在基体上沉积材料的方法以及在基体上形成层的方法
TWI713551B (zh) 以peald形成氮化鋁基膜的方法
TWI410519B (zh) 於基材上形成含金屬層之氣相沈積方法
CN1302150C (zh) 形成贵金属薄膜的方法
CN101063196B (zh) 使用溶液基前体的原子层沉积方法和设备
TWI398543B (zh) 使用β-二酮亞胺金屬化合物之原子層沉積系統及方法
EP1866963A2 (en) Multilayer, multicomponent high-k films and methods for depositing the same
CN1184348C (zh) 选择性沉积铋基铁电薄膜的方法
CN108004523A (zh) 通过原子层沉积在基材上形成过渡金属铌氮化物膜的方法和相关半导体装置结构
CN1619820A (zh) 氧化铬及氧化铝合成介电层及其制造方法
US20050238808A1 (en) Methods for producing ruthenium film and ruthenium oxide film
CN1479805A (zh) 薄膜形成方法及薄膜形成装置
CN1415115A (zh) 在原子层沉积过程中使寄生化学气相沉积最小化的装置和原理
CN100590805C (zh) 原子层沉积方法以及形成的半导体器件
CN1893081A (zh) 具有纳米复合电介质层的电容器及其制造方法
WO2008137239A1 (en) Atomic layer deposition methods, methods of forming dielectric materials, methods of forming capacitors, and methods of forming dram unit cells
JP2011514433A (ja) 原子層堆積法のための溶液系ランタン前駆体
JP2010258411A (ja) ジルコニウム含有膜の原子層堆積に有用なジルコニウム前駆体
TWI420006B (zh) 結晶取向之五氧化二鉭及其製造方法
US8261908B2 (en) Container for precursors used in deposition processes
Gutsche et al. Atomic layer deposition for advanced DRAM applications
US20210066592A1 (en) Deposition Of Metal-Organic Oxide Films
KR100799110B1 (ko) 반도체 소자의 박막 형성방법
JP2005191290A (ja) 半導体装置の製造方法
JP2009016651A (ja) アモルファス膜の形成方法および形成装置、ならびに半導体デバイスの製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100421

Termination date: 20120809