CN1832142A - 制作用于cmos器件的自对准双应力衬里的方法和结构 - Google Patents

制作用于cmos器件的自对准双应力衬里的方法和结构 Download PDF

Info

Publication number
CN1832142A
CN1832142A CNA2006100042669A CN200610004266A CN1832142A CN 1832142 A CN1832142 A CN 1832142A CN A2006100042669 A CNA2006100042669 A CN A2006100042669A CN 200610004266 A CN200610004266 A CN 200610004266A CN 1832142 A CN1832142 A CN 1832142A
Authority
CN
China
Prior art keywords
layer
type
nfet
stressor layers
make
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100042669A
Other languages
English (en)
Other versions
CN100585833C (zh
Inventor
朱慧珑
钟汇才
埃芬迪·利奥班登
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
International Business Machines Corp
Original Assignee
Advanced Micro Devices Inc
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc, International Business Machines Corp filed Critical Advanced Micro Devices Inc
Publication of CN1832142A publication Critical patent/CN1832142A/zh
Application granted granted Critical
Publication of CN100585833C publication Critical patent/CN100585833C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/938Lattice strain control or utilization

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一种制作用于CMOS器件的自对准双应力衬里的方法,该方法包括:在第一极性类型的器件和第二极性类型的器件上方制作第一类型的应力层,以及在第一类型的氮化物层上方制作牺牲层。在第二极性类型的器件上方的部分第一类型的应力层和牺牲层被图案化和去除。在第二极性类型的器件上方和在第一极性类型的器件上牺牲层的剩余部分上方制作第二类型的应力层,使得第二类型的应力层被制作成在水平表面上方比在侧壁表面上方厚度更大。去除侧壁表面上的部分第二类型的应力衬里,以及去除第一极性类型的器件上方的部分第二类型的应力衬里。

Description

制作用于CMOS器件的 自对准双应力衬里的方法和结构
技术领域
本发明一般地涉及半导体器件处理技术,并且更具体地涉及通过制作自对准双应力衬里(SDSL)而改善CMOS器件可靠性的方法和结构。
背景技术
在金属氧化物半导体场效应晶体管(MOSFET)器件中的热载流子效应由接近源/漏扩散区的沟道端部处的高电场引起。更具体地,在经过高场区域时要求大能量的电子,可以由于例如碰撞电离而产生电子空穴对,从而通过经由栅氧化物向栅极材料注入热载流子而导致高的栅极漏电和早期栅氧化物击穿。作为进一步的结果,在栅介质中也存在着净的负电荷密度。被俘获的电荷随时间累积,导致NMOS晶体管中的正阈值漂移,或PMOS晶体管中的负阈值漂移。
由于热电子比热空穴更容易迁移,因此热载流子效应在NMOS晶体管中比在PMOS晶体管中导致更大的阈值倾斜(threshold skew)。虽然如此,如果其有效沟道长度(Leff)小于例如0.8微米(μm),PMOS晶体管仍将经历负阈值倾斜。今天的标准薄栅氧化物(例如小于1.5纳米)趋向于对热载流子退化较不敏感,因为热载流子可以容易地通过薄栅氧化物隧穿。另一方面,较厚的栅氧化物器件(例如大于1.5纳米)更易受热载流子退化影响,因为热载流子趋向于随时间在氧化物中累积。因而,对于专用于诸如输入/输出电路的集成电路的某些应用,在单个芯片上可以有一些器件相对于该芯片上的其它器件形成有较厚的栅氧化物(例如逻辑或模拟电路晶体管)。
已知减少热载流子退化效应的方法包括向栅氧化物中添加诸如氮、氟和氯的杂质。然而,由于杂质(如氮)趋向于在薄膜的表面局域化,添加杂质对较厚的栅氧化物效果比较不明显。而且,对栅氧化物的直接渗氮也可能伴随着不希望的效应,如电子迁移率的退化。
用于改善由热载流子效应引起的器件寿命的已经公开的另一种技术是使用氘退火。通过由氘置换标准界面钝化退火步骤中的氢,NFET器件的寿命可以改善至大约10-100倍。然而,必须在足够高的温度(例如500℃以上)才能有效进行氘退火,这可能引起导致器件退化的掺杂剂去激活。关于氘退火的附加信息可以在Thomas G.Ference等人的公开文献“The Combined Effects of DeuteriumAnneals and Deuterated Barrier-Nitride Processing on Hot-ElectronDegradation in MOSFET′s”,IEEE Transactions on Electron Devices,Vo1.46,No.4,1999年4月,第747-753页中找到。然而,再次地,该技术通常也应用到较薄的栅氧化物。
近来,已经引入了双衬里技术,以在P型MOS器件中提供相对于N型MOS器件不同的应力。例如,在CMOS器件的PFETs上方形成第一类型的氮化物衬里,而在CMOS器件的NFETs上方形成第二类型的氮化物衬里。更具体地,已经发现在PFET沟道中的压应力的应用改善其中的载流子迁移率,而NFET沟道中的张应力的应用改善其中的载流子迁移率。因而PFET器件上方的第一类型氮化物衬里按照实现压应力的方式而形成,而PFET器件上方的第一类型氮化物衬里按实现压应力的方式形成。
对于这种采用双衬里的CMOS器件,常规的方法是采用独立的光刻图案化步骤形成两种不同的氮化物。也即,例如在PFET和NFET器件上方形成第一类型的氮化物衬里,随后在NFET器件上方的第一类型的氮化物衬里的一部分被图案化和去除。在可选的氧化物层形成之后,在两个区域上方形成第二类型的氮化物衬里,使用第二图案化步骤随后去除PFET器件上方的第二类型氮化物衬里部分。不幸的是,由于与光刻层面对先前层面的对准相关的固有的不精确性,形成两个衬里会导致二者之间的间隙或不重叠。具体地,由于在蚀刻期间,不重叠/间隙区域中的硅化物将过蚀刻,因此这种间隙对于随后蚀刻用于金属接触通道的孔将引起问题。进而,这将增加硅化物的表面电阻。
另一方面,两个衬里也可以制作成一个衬里重叠另一个衬里的形式。事实上,用于两个独立的图案化步骤的模版(reticle)被典型地设计成保证重叠,使得在两个衬里材料之间没有间隙。然而,使某些区域具有重叠的氮化物衬里产生其它的随后处理的问题,这些问题由诸如可靠性和布图无效的问题而导致。例如,用于随后的接触形成的反应离子蚀刻(RIE)工艺可能不得不容许在电路的一些区域中的单一厚度的衬里,同时也容许在界面区域中的双厚度(重叠)衬里。而且如果这种重叠区域被排除在接触形成之外,则产生可用布图面积和关键尺寸(CD)公差方面的限制。重叠也将在随后蚀刻用于金属接触通道的孔期间引起问题,因为在蚀刻中,除了重叠区域下方的硅化物,所有的硅化物将被过蚀刻(over etched)。这将增加表面电阻和器件的结漏电。
因此,期望能够以不导致不同衬里类型之间的间隙和/或其重叠的自对准方式实现双衬里CMOS器件的制作。
发明内容
前面讨论的现有技术的缺点和不足可以通过制作用于互补金属氧化物半导体(CMOS)器件的自对准双应力衬里的方法来克服或减轻。在示例性的实施方式中,该方法包括在第一极性类型的器件和第二极性类型的器件上方制作第一类型的应力层,第二极性类型的器件上方的应力层和牺牲层被图案化和去除。在第二极性类型的器件上方,并且在第一极性类型的器件上方的牺牲层的剩余部分上方,制作第二类型的应力层,使得第二类型的应力层被制作成在水平表面上方比侧壁表面上方的厚度更大。去除侧壁表面上的第二类型的应力衬里部分,并去除第一极性类型的器件上方的第二类型的应力衬里部分。
在另一个实施方式中,制作用于半导体器件的自对准双材料衬里的方法包括在衬底上方制作第一类型的层,并且在第一类型的层上方制作牺牲层。在衬底的第一区域上方,一部分第一类型的层和牺牲层被图案化和去除。在衬底的第一区域上方,并且在衬底第二区域上方的牺牲层的剩余部分上方,制作第二类型的层,使得第二类型的层被制作成在水平表面上方比在侧壁表面上方的厚度更大。去除侧壁表面上第二类型的衬里部分和衬底的第二区域上方的第二类型的氮化物衬里部分。
在仍另一个实施方式中,互补金属氧化物半导体(CMOS)器件包括在第一极性类型的器件上方形成的第一类型的应力层,和在第二类型的器件上方形成的第二类型的应力层,第二类型的应力层与第一类型的应力层自对准。在与第一和所述第二极性类型的器件中的另一个自对准期间,第一和所述第二极性类型的器件之一具有至少一部分与之相关的侧壁隔层材料被去除。
附图说明
参照示例性的附图,其中在数个图中类似的要素以类似的方式标数。
图1是适合于按照本发明的实施方式使用的、在其上形成有一对互补金属氧化物半导体(CMOS)器件的半导体衬底的截面图;
图2(a)至2(k)说明按照本发明的第一实施方式制作用于CMOS器件的自对准双氮化硅衬里的示例性工艺流程;
图3(a)至3(j)说明按照本发明的第二实施方式制作用于CMOS器件的自对准双氮化硅衬里的示例性工艺流程。
具体实施方式
本文公开了一种通过制作自对准双氮化硅衬里改善CMOS器件可靠性的方法和结构。简言之,本文公开的实施方式导致两种类型的氮化物衬里之间重叠区域的消除,同时仍然保持着横跨器件的连续衬里作为有效的扩散阻挡。两个氮化物衬里结合在二者之间陡峭限定的自对准界面处,从而形成连续和均匀的单一氮化物层,不至于使随后的接触蚀刻工艺复杂化和/或导致附加限制的基本规则(groundrules)。本文描述的实施方式的原理也可以被一般地应用于期望从两个独立的层材料形成均匀的单一层材料的情形。
开始参照图1,其中示出了具有在其上形成、并被浅沟槽隔离105彼此隔开的一对示例性的互补金属氧化物半导体(CMOS)器件(即NFET器件102和PFET器件104)的半导体衬底100的截面图。在此处示出的器件制造的特定工艺阶段,但在其上形成第一层间介质(ILD)层之前,已经发生栅106材料(例如多晶硅)和被掺杂的源/漏扩散区108的硅化。图1还说明了用于形成NFET 102和PFET 104的栅氧化物层110(例如SiO2)、氧化物衬里112和氮化物隔层114,如同本领域的技术人员将认识到的那样。
按照第一实施方式,图2(a)至2(h)说明用于在硅化的NFET102和PFET 104器件上方形成自对准双氮化硅衬里的示例性工艺流程。在图2(a)中,在整个结构上方形成张应力氮化硅层116(例如采用BTBAS(双特丁基氨硅烷)前体沉积的Si3N4),示例性的厚度为约500-1000埃()。然后,在图2(b)中,在张应力氮化物层116上方形成厚氧化物层118,示例性的厚度为至少约1000埃,更特殊地,为约5000埃。施加光致抗蚀剂材料120以覆盖NFET器件区(即露出PFET器件区),实施图案化步骤,如图2(c)所示。
图2(d)说明去除PFET器件104上方露出的一部分厚氧化物层118以及张应力氮化物层116。这可以通过例如对氧化物和氮化物材料的反应离子蚀刻(RIE)来实施。特别地,由于去除张应力氮化物层116,与PFET器件104相关的侧壁隔层114在一定程度上尺寸减小。在NFET器件102上方去除剩余的光致抗蚀剂材料120之后,在整个器件上方形成压应力氮化物层122,如图2(e)所示。为了在水平表面上比在侧壁表面上形成厚度更大的压应力氮化物层122,如所示出的那样,可以通过在大约200℃-大约500℃下高密度等离子体(HDP)沉积或等离子体增强CVD(PECVD)例如SiH4/NH3/N2而形成压应力氮化物材料。因而,当压应力氮化物层122被各向同性地蚀刻或湿法蚀刻时,如图2(f)所示,在厚氧化物层118侧壁上初始形成的一部分压应力氮化物层122被去除。
在这方面,将看到在压应力氮化物层122和张应力氮化物层116之间限定了自对准的陡峭界面124,而没有采用直接的第二光刻图案化步骤以去除NFET器件102上方的压应力氮化物材料。然而为了便于其去除,然后在整个结构上方形成薄氧化物层126(例如约50-100埃),如图2(g)所示。然后,在图2(h)中,在结构上方形成光致抗蚀剂材料128,并随后图案化,从而部分地重叠在NFET器件102上方剩余的压应力氮化物材料116。诸如通过RIE去除NFET器件102上方的薄氧化物层126的露出部分,之后各向同性或湿法蚀刻(例如)以去除NFET器件102上方的压应力氮化物层122,停止于厚氧化物层118。这如图2(i)所示。作为图案化重叠的结果,在NFET器件102上方留下氧化物尖端。
在去除光致抗蚀剂材料128之后,蚀刻剩余的薄氧化物层126,直到去除尖端130,如图2(j)所示。这将有助于避免随后ILD沉积期间的可能无效(voiding)。最后,在ILD氧化物132的沉积和随后的平面化之前,任何剩余的薄氧化物材料126和厚氧化物层可以留在原位,如图2(k)所示。之后,可以继续常规的处理以完成CMOS器件。可选地,也可以在形成ILD氧化物132之前去除薄氧化物层126和厚氧化物层118。
现在一般地参照图3(a)至3(j)说明按照本发明的第二实施方式的制作用于CMOS器件的自对准双氮化硅衬里的另一个示例性工艺流程。如同第一实施方式,第二实施方式的工艺流程从图3(a)开始,在整个结构上方制作张应力氮化硅层116,示例性厚度为约500埃至约1000埃。随后是薄氧化物层302(例如约50-100埃)和牺牲氮化物层304(例如约500-700埃)的覆盖形成,如图3(b)所示。实施图案化步骤从而覆盖NFET器件区(即,露出PFET器件区),其中应用光致抗蚀剂材料306,如图3(c)所示。
图3(d)说明去除PFET器件104上方牺牲氮化物层304、薄氧化物层302和张应力氮化物层116的露出部分。这例如可以通过对氮化物、氧化物以及(再一次地)氮化物材料的连续反应离子RIE来实施。应当注意,由于去除张应力氮化物层116,也去除了与PFET器件104相关的侧壁隔层。在NFET器件102上方去除剩余的光致抗蚀剂材料306之后,在整个器件上方制作压应力氮化物层308,如图3(e)所示。为了将压应力氮化物308制作成在水平表面上比在侧壁表面上的厚度更大,如图所示,可以通过在约200℃至约500℃下高密度等离子体(HDP)沉积和PECVD例如SiH4/NH3/N2来制作压应力氮化物材料。并且,可以按相对于张应力氮化物层116稍大的水平厚度(例如约600-1200埃)制作压应力氮化物层308。
当压应力氮化物层308被各向同性蚀刻或湿法蚀刻(除去约100-200埃的示例性厚度)时,如图2(f)所示,去除了初始制作在各种侧壁形貌表面上的部分压应力氮化物层308。并且压应力氮化物层308的最终厚度大致等同于张应力氮化物层116的厚度。此外,在压应力氮化物层308和张应力氮化物层116之间限定了自对准的陡峭界面310,而没有采用用于去除NFET器件102上方的压应力氮化物材料的直接第二光刻图案化步骤。
然而,为了选择性地去除NFET器件102上方的压应力氮化物材料,然后在整个结构上方制作薄氧化物层312(如约50-100埃),如图3(g)所示。然后,在图3(h)中,在该结构上方制作光致抗蚀剂材料314,之后图案化光致抗蚀剂材料,使得光致抗蚀剂材料与在NFET器件102上方剩余的牺牲氮化物材料304(但不在NFET器件102上的张应力氮化物材料308上方)部分重叠。诸如通过RIE去除NFET器件102上方薄氧化物层312的露出部分,随后例如通过各向同性或湿法蚀刻(例如)去除NFET器件102上方的压应力氮化物层308,停止于薄氧化物层302上。这在图3(i)中说明。作为图案化重叠的结果,在NFET器件102上方留下氧化物尖端。最后,如图3(j)所示,去除剩余的光致抗蚀剂层314,随后对剩余的薄氧化物材料(即来自图3(i)的层312、302)进行湿法蚀刻。因为在图3(d)中去除PFET器件104的初始侧壁隔层,因此沉积保护薄氮化物层316。在这方面,可以继续常规的器件处理操作以完成CMOS结构。
尽管已经参照优选的一个实施方式或多个实施方式描述了本发明,但本领域的技术人员应当理解可以进行各种变化,并且对于其要素可以替换成等价物,而不背离本发明的范围。此外,对于本发明的教授内容可以作出各种变动,以适应特定的情形或材料,而不背离其必要的范围。因此,希望本发明不限于作为用于执行本发明而考虑的最佳模式所描述的特定实施方式,而是本发明将包括权利要求范围内所包含的所有实施方式。

Claims (17)

1.一种制作用于互补金属氧化物半导体(CMOS)器件的自对准双应力衬里的方法,该方法包括:
在第一极性类型的器件和第二极性类型的器件上方制作第一类型的应力层;
在所述第一类型的应力层上方制作牺牲层;
图案化并去除所述第二极性类型的器件上方的部分所述第一类型的应力层和所述牺牲层;
在所述第二极性类型的器件上方和在所述第一极性类型的器件上所述牺牲层的剩余部分上方制作第二类型的应力层,使得所述第二类型的应力层被制作成在水平表面上方比在侧壁表面上方厚度更大;
去除侧壁表面上的部分所述第二类型的应力衬里;以及
去除所述第一极性类型的器件上方的部分所述第二类型的应力衬里。
2.根据权利要求1的方法,其中所述第一类型的应力层是张应力氮化物层,所述第二类型的应力层是压应力氮化物层。
3.根据权利要求2的方法,其中所述第一极性类型的器件是NFET器件,所述第二极性类型的器件是PFET器件。
4.根据权利要求3的方法,其中在去除所述张应力氮化物层期间,去除与所述PFET器件相关的至少一部分侧壁隔层材料。
5.根据权利要求3的方法,其中所述牺牲层还包括厚度至少约1000埃的厚氧化物层。
6.根据权利要求5的方法,其中所述去除所述NFET器件上方的部分所述压应力氮化物衬里还包括:
在CMOS器件的NFET和PFET区域二者上方制作薄氧化物层,所述薄氧化物层被制作成约50埃至约100埃的厚度;
图案化并蚀刻所述NFET区域上方的一部分所述薄氧化物层;以及
采用所述厚氧化物层作为蚀刻停止层,去除所述NFET器件上方的所述压应力氮化物衬里的所述剩余部分。
7.根据权利要求6的方法,其中所述薄氧化物层被图案化成部分地与所述NFET器件上方的所述压应力氮化物衬里重叠,从而在去除所述NFET器件的所述压应力氮化物衬里之后产生氧化物尖端。
8.根据权利要求7的方法,还包括去除所述薄氧化物层的至少所述氧化物尖端部分。
9.根据权利要求3的方法,其中所述牺牲层还包括:
被制作成约50埃至约100埃的厚度的第一薄氧化物层;以及
被制作成约500埃至约700埃的厚度的牺牲氮化物层。
10.根据权利要求9的方法,其中所述去除所述NFET器件上方的部分所述压应力氮化物衬里还包括:
在CMOS器件的NFET和PFET区域二者上方制作第二薄氧化物层,所述第二薄氧化物层被制作成约50埃至约100埃的厚度;
图案化并蚀刻所述NFET区域上方的一部分所述第二薄氧化物层;以及
采用所述第一薄氧化物层作为蚀刻停止层,去除所述NFET器件上方的所述牺牲氮化物层和所述压应力氮化物衬里。
11.根据权利要求10的方法,还包括:
去除所述第一和所述第二薄氧化物层的剩余部分;以及
在CMOS器件的NFET和PFET区域二者上方制作薄氮化物层。
12.根据权利要求3的方法,其中所述压应力氮化物层被制作成大于所述张应力氮化物层的初始厚度,使得在所述去除侧壁表面上的部分所述压应力氮化物层之后,所获得的所述压应力氮化物层的厚度基本上等于所述张应力氮化物层的厚度。
13.一种制作用于半导体器件的自对准双材料衬里的方法,该方法包括:
在衬底上制作第一类型的层;
在所述第一类型的层上制作牺牲层;
图案化并去除衬底第一区域上方的部分所述第一类型的层和所述牺牲层;
在衬底的所述第一区域上方和在衬底第二区域上所述牺牲层的剩余部分上方制作第二类型的层,使得所述第二类型的层被制作成在水平表面上方比在侧壁表面上方厚度更大;
去除侧壁表面上的部分所述第二类型的衬里;以及
去除衬底的所述第二区域上方的部分所述第二类型的氮化物衬里。
14.一种互补金属氧化物半导体(CMOS)器件,包括:
在第一极性类型的器件上方形成的第一类型的应力层和在第二类型的器件上方形成的第二类型的应力层,所述第二类型的应力层与所述第一类型的应力层自对准;以及
在与所述第一和所述第二极性类型的器件中的另一个自对准期间,所述第一和所述第二极性类型的器件之一具有至少一部分与之相关的侧壁隔层材料被去除。
15.根据权利要求14的CMOS器件,其中所述第一类型的应力层是张应力氮化物层,所述第二类型的应力层是压应力氮化物层。
16.根据权利要求15的CMOS器件,其中所述第一极性类型的器件是NFET器件,所述第二极性类型的器件是PFET器件。
17.根据权利要求16的CMOS器件,还包括在所述第一类型的应力层和所述第二类型的应力层上方形成的保护氮化物层。
CN200610004266A 2005-03-01 2006-02-13 制作用于cmos器件的自对准双应力衬里的方法和结构 Expired - Fee Related CN100585833C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/906,669 2005-03-01
US10/906,669 US7288451B2 (en) 2005-03-01 2005-03-01 Method and structure for forming self-aligned, dual stress liner for CMOS devices

Publications (2)

Publication Number Publication Date
CN1832142A true CN1832142A (zh) 2006-09-13
CN100585833C CN100585833C (zh) 2010-01-27

Family

ID=36944604

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200610004266A Expired - Fee Related CN100585833C (zh) 2005-03-01 2006-02-13 制作用于cmos器件的自对准双应力衬里的方法和结构

Country Status (2)

Country Link
US (2) US7288451B2 (zh)
CN (1) CN100585833C (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101136371B (zh) * 2006-08-30 2010-06-09 国际商业机器公司 自对准双段衬垫及其制造方法
CN101207126B (zh) * 2006-12-22 2010-06-09 国际商业机器公司 可缩放的应变fet器件及其制备方法
CN101577252B (zh) * 2008-05-05 2011-10-05 中芯国际集成电路制造(北京)有限公司 浅沟槽隔离结构及其形成方法
CN102222692A (zh) * 2010-04-14 2011-10-19 中国科学院微电子研究所 半导体器件及其制造方法
CN102386130A (zh) * 2010-09-02 2012-03-21 中芯国际集成电路制造(上海)有限公司 双应力衬垫半导体器件的形成方法
CN102446762A (zh) * 2010-10-13 2012-05-09 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其制作方法
CN102446771A (zh) * 2011-11-11 2012-05-09 上海华力微电子有限公司 一种减小mos io器件gidl效应的方法
CN102487047A (zh) * 2010-12-01 2012-06-06 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
CN102623390A (zh) * 2011-01-26 2012-08-01 格罗方德半导体公司 制作具有本地接点的半导体装置的方法
CN103579110A (zh) * 2012-07-26 2014-02-12 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN101919045B (zh) * 2007-05-31 2014-05-07 格罗方德半导体公司 在半导体装置中通过使用在双应力衬层上方的额外层而获得的n沟道晶体管的增进的晶体管效能
CN109075193A (zh) * 2017-02-16 2018-12-21 华为技术有限公司 制作隧穿场效应晶体管的方法

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7288451B2 (en) * 2005-03-01 2007-10-30 International Business Machines Corporation Method and structure for forming self-aligned, dual stress liner for CMOS devices
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7445978B2 (en) * 2005-05-04 2008-11-04 Chartered Semiconductor Manufacturing, Ltd Method to remove spacer after salicidation to enhance contact etch stop liner stress on MOS
US7485521B2 (en) * 2005-07-05 2009-02-03 International Business Machines Corporation Self-aligned dual stressed layers for NFET and PFET
US7462527B2 (en) * 2005-07-06 2008-12-09 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved PFET device performance
US7569888B2 (en) * 2005-08-10 2009-08-04 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same
US7541234B2 (en) * 2005-11-03 2009-06-02 Samsung Electronics Co., Ltd. Methods of fabricating integrated circuit transistors by simultaneously removing a photoresist layer and a carbon-containing layer on different active areas
US20080128831A1 (en) * 2005-11-16 2008-06-05 United Microelectronics Corp. Cmos and mos device
US7485515B2 (en) * 2006-04-17 2009-02-03 United Microelectronics Corp. Method of manufacturing metal oxide semiconductor
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
US7439120B2 (en) * 2006-08-11 2008-10-21 Advanced Micro Devices, Inc. Method for fabricating stress enhanced MOS circuits
US7790540B2 (en) * 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7442601B2 (en) * 2006-09-18 2008-10-28 Advanced Micro Devices, Inc. Stress enhanced CMOS circuits and methods for their fabrication
US20080142897A1 (en) * 2006-12-19 2008-06-19 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system having strained transistor
US20080169510A1 (en) * 2007-01-17 2008-07-17 International Business Machines Corporation Performance enhancement on both nmosfet and pmosfet using self-aligned dual stressed films
US7868390B2 (en) * 2007-02-13 2011-01-11 United Microelectronics Corp. Method for fabricating strained-silicon CMOS transistor
US20080237734A1 (en) * 2007-03-29 2008-10-02 United Microelectronics Corp. Complementary metal-oxide-semiconductor transistor and method of fabricating the same
US20090014807A1 (en) * 2007-07-13 2009-01-15 Chartered Semiconductor Manufacturing, Ltd. Dual stress liners for integrated circuits
US7816271B2 (en) 2007-07-14 2010-10-19 Samsung Electronics Co., Ltd. Methods for forming contacts for dual stress liner CMOS semiconductor devices
US7911001B2 (en) 2007-07-15 2011-03-22 Samsung Electronics Co., Ltd. Methods for forming self-aligned dual stress liners for CMOS semiconductor devices
US7659583B2 (en) * 2007-08-15 2010-02-09 International Business Machines Corporation Ultrathin SOI CMOS devices employing differential STI liners
US7525162B2 (en) * 2007-09-06 2009-04-28 International Business Machines Corporation Orientation-optimized PFETS in CMOS devices employing dual stress liners
US20090090974A1 (en) * 2007-10-08 2009-04-09 International Business Machines Corporation Dual stress liner structure having substantially planar interface between liners and related method
US7615831B2 (en) * 2007-10-26 2009-11-10 International Business Machines Corporation Structure and method for fabricating self-aligned metal contacts
US7964923B2 (en) * 2008-01-07 2011-06-21 International Business Machines Corporation Structure and method of creating entirely self-aligned metallic contacts
US20090179308A1 (en) * 2008-01-14 2009-07-16 Chris Stapelmann Method of Manufacturing a Semiconductor Device
US7696542B2 (en) 2008-01-22 2010-04-13 International Business Machines Corporation Anisotropic stress generation by stress-generating liners having a sublithographic width
US7727834B2 (en) * 2008-02-14 2010-06-01 Toshiba America Electronic Components, Inc. Contact configuration and method in dual-stress liner semiconductor device
DE102008021565B4 (de) * 2008-04-30 2012-07-12 Advanced Micro Devices, Inc. Verfahren zum selektiven Entfernen eines Abstandshalters in einem dualen Verspannungsschichtverfahren
US7883953B2 (en) * 2008-09-30 2011-02-08 Freescale Semiconductor, Inc. Method for transistor fabrication with optimized performance
US8487354B2 (en) * 2009-08-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving selectivity of epi process
JP4796665B2 (ja) * 2009-09-03 2011-10-19 パナソニック株式会社 半導体装置およびその製造方法
DE102010038744B4 (de) * 2010-07-30 2012-08-30 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Erhöhung der Robustheit in einem Doppelverspannungsschichtenverfahren in einem Halbleiterbauelement durch Anwenden einer Nasschemie
US8445965B2 (en) 2010-11-05 2013-05-21 International Business Machines Corporation Strained semiconductor devices and methods of fabricating strained semiconductor devices
US8324041B2 (en) * 2011-02-09 2012-12-04 Globalfoundries Inc. Complementary stress liner to improve DGO/AVT devices and poly and diffusion resistors
US8765599B2 (en) 2012-01-06 2014-07-01 GlobalFoundries, Inc. Semiconductor devices having dielectric caps on contacts and related fabrication methods
CN103367363B (zh) * 2012-03-27 2016-08-10 中国科学院微电子研究所 半导体器件及其制造方法
US8927364B2 (en) 2012-04-10 2015-01-06 International Business Machines Corporation Structure and method of high-performance extremely thin silicon on insulator complementary metal—oxide—semiconductor transistors with dual stress buried insulators

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US5166771A (en) 1990-01-12 1992-11-24 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
JPH0799189A (ja) 1993-04-28 1995-04-11 Mitsubishi Electric Corp 半導体装置の製造方法
US5633552A (en) 1993-06-04 1997-05-27 The Regents Of The University Of California Cantilever pressure transducer
US5580815A (en) 1993-08-12 1996-12-03 Motorola Inc. Process for forming field isolation and a structure over a semiconductor substrate
JP3304621B2 (ja) 1994-07-29 2002-07-22 三菱電機株式会社 半導体装置の製造方法
US5633202A (en) 1994-09-30 1997-05-27 Intel Corporation High tensile nitride layer
US5506169A (en) 1994-10-20 1996-04-09 Texas Instruments Incorporated Method for reducing lateral dopant diffusion
US6040619A (en) 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
TW333671B (en) * 1996-03-25 1998-06-11 Sanyo Electric Co The semiconductor device and its producing method
TW328147B (en) 1996-05-07 1998-03-11 Lucent Technologies Inc Semiconductor device fabrication
US5891798A (en) 1996-12-20 1999-04-06 Intel Corporation Method for forming a High dielectric constant insulator in the fabrication of an integrated circuit
US5851893A (en) 1997-07-18 1998-12-22 Advanced Micro Devices, Inc. Method of making transistor having a gate dielectric which is substantially resistant to drain-side hot carrier injection
US5847463A (en) 1997-08-22 1998-12-08 Micron Technology, Inc. Local interconnect comprising titanium nitride barrier layer
US5985737A (en) 1998-03-04 1999-11-16 Texas Instruments - Acer Incorporated Method for forming an isolation region in an integrated circuit
US6146975A (en) 1998-07-10 2000-11-14 Lucent Technologies Inc. Shallow trench isolation
US6436848B1 (en) 1999-03-30 2002-08-20 Cypress Semiconductor Corp. Method for forming nitrogen-rich silicon oxide-based dielectric materials
US6228777B1 (en) 1999-06-08 2001-05-08 Intel Corporation Integrated circuit with borderless contacts
DE60001601T2 (de) * 1999-06-18 2003-12-18 Lucent Technologies Inc Fertigungsverfahren zur Herstellung eines CMOS integrieten Schaltkreises mit vertikalen Transistoren
US6395610B1 (en) 1999-06-24 2002-05-28 Lucent Technologies Inc. Method of making bipolar transistor semiconductor device including graded, grown, high quality oxide layer
US6509230B1 (en) 1999-06-24 2003-01-21 Lucent Technologies Inc. Non-volatile memory semiconductor device including a graded, grown, high quality oxide layer and associated methods
US6214733B1 (en) 1999-11-17 2001-04-10 Elo Technologies, Inc. Process for lift off and handling of thin film materials
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6261924B1 (en) 2000-01-21 2001-07-17 Infineon Technologies Ag Maskless process for self-aligned contacts
US6724053B1 (en) 2000-02-23 2004-04-20 International Business Machines Corporation PMOSFET device with localized nitrogen sidewall implantation
JP4173672B2 (ja) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6573172B1 (en) 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
CN1245760C (zh) * 2002-11-04 2006-03-15 台湾积体电路制造股份有限公司 Cmos元件及其制造方法
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
JP4557508B2 (ja) * 2003-06-16 2010-10-06 パナソニック株式会社 半導体装置
US7002209B2 (en) * 2004-05-21 2006-02-21 International Business Machines Corporation MOSFET structure with high mechanical stress in the channel
US20060079046A1 (en) 2004-10-12 2006-04-13 International Business Machines Corporation Method and structure for improving cmos device reliability using combinations of insulating materials
US20060080166A1 (en) * 2004-10-12 2006-04-13 Aiichiro Takahashi Advertising box and its use in an online advertising system
US7288451B2 (en) * 2005-03-01 2007-10-30 International Business Machines Corporation Method and structure for forming self-aligned, dual stress liner for CMOS devices

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101136371B (zh) * 2006-08-30 2010-06-09 国际商业机器公司 自对准双段衬垫及其制造方法
CN101207126B (zh) * 2006-12-22 2010-06-09 国际商业机器公司 可缩放的应变fet器件及其制备方法
CN101919045B (zh) * 2007-05-31 2014-05-07 格罗方德半导体公司 在半导体装置中通过使用在双应力衬层上方的额外层而获得的n沟道晶体管的增进的晶体管效能
CN101577252B (zh) * 2008-05-05 2011-10-05 中芯国际集成电路制造(北京)有限公司 浅沟槽隔离结构及其形成方法
CN102222692A (zh) * 2010-04-14 2011-10-19 中国科学院微电子研究所 半导体器件及其制造方法
WO2011127634A1 (zh) * 2010-04-14 2011-10-20 中国科学院微电子研究所 半导体器件及其制造方法
US9018739B2 (en) 2010-04-14 2015-04-28 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method of fabricating the same
CN102386130A (zh) * 2010-09-02 2012-03-21 中芯国际集成电路制造(上海)有限公司 双应力衬垫半导体器件的形成方法
CN102386130B (zh) * 2010-09-02 2013-09-11 中芯国际集成电路制造(上海)有限公司 双应力衬垫半导体器件的形成方法
CN102446762B (zh) * 2010-10-13 2014-02-05 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其制作方法
CN102446762A (zh) * 2010-10-13 2012-05-09 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其制作方法
CN102487047A (zh) * 2010-12-01 2012-06-06 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
CN102623390A (zh) * 2011-01-26 2012-08-01 格罗方德半导体公司 制作具有本地接点的半导体装置的方法
CN102623390B (zh) * 2011-01-26 2015-08-19 格罗方德半导体公司 制作具有本地接点的半导体装置的方法
CN102446771A (zh) * 2011-11-11 2012-05-09 上海华力微电子有限公司 一种减小mos io器件gidl效应的方法
CN103579110A (zh) * 2012-07-26 2014-02-12 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103579110B (zh) * 2012-07-26 2016-04-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN109075193A (zh) * 2017-02-16 2018-12-21 华为技术有限公司 制作隧穿场效应晶体管的方法

Also Published As

Publication number Publication date
CN100585833C (zh) 2010-01-27
US20080012019A1 (en) 2008-01-17
US7288451B2 (en) 2007-10-30
US20060199326A1 (en) 2006-09-07
US7569892B2 (en) 2009-08-04

Similar Documents

Publication Publication Date Title
CN100585833C (zh) 制作用于cmos器件的自对准双应力衬里的方法和结构
CN101661958B (zh) 半导体装置及其制造方法
US8558278B2 (en) Strained transistor with optimized drive current and method of forming
US7101744B1 (en) Method for forming self-aligned, dual silicon nitride liner for CMOS devices
US8138552B2 (en) Semiconductor device and method of manufacturing the same
US20080026523A1 (en) Structure and method to implement dual stressor layers with improved silicide control
US7244644B2 (en) Undercut and residual spacer prevention for dual stressed layers
US11387113B2 (en) Method of fabricating semiconductor device with reduced trench distortions
US20050214998A1 (en) Local stress control for CMOS performance enhancement
CN101661901B (zh) 制造半导体元件的方法与半导体元件
US7858484B2 (en) Semiconductor device and method for producing the same
CN100592479C (zh) 具有最优化应力效应的双沟槽的晶体管结构及其形成方法
US8115271B2 (en) Reducing device performance drift caused by large spacings between active regions
US6287897B1 (en) Gate dielectric with self forming diffusion barrier
US20050156199A1 (en) Method of forming a CMOS device
US20090020791A1 (en) Process method to fabricate cmos circuits with dual stress contact etch-stop liner layers
US7309637B2 (en) Method to enhance device performance with selective stress relief
US7384833B2 (en) Stress liner for integrated circuits
KR101033700B1 (ko) 동일 기판 상에 도전 타입이 같은 로우 및 하이 퍼포먼스장치를 갖는 반도체 장치 구조
US7545004B2 (en) Method and structure for forming strained devices
US7898036B2 (en) Semiconductor device and process for manufacturing the same
US20060079046A1 (en) Method and structure for improving cmos device reliability using combinations of insulating materials
US7618857B2 (en) Method of reducing detrimental STI-induced stress in MOSFET channels
JP2008539592A (ja) ブロッキング特性の異なるゲート絶縁膜を備えた半導体デバイス
KR20060020824A (ko) 반도체 소자의 게이트 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100127

CF01 Termination of patent right due to non-payment of annual fee