CN1711632A - 采用整合测量以增进介电质蚀刻效率的方法和设备 - Google Patents

采用整合测量以增进介电质蚀刻效率的方法和设备 Download PDF

Info

Publication number
CN1711632A
CN1711632A CNA2003801030663A CN200380103066A CN1711632A CN 1711632 A CN1711632 A CN 1711632A CN A2003801030663 A CNA2003801030663 A CN A2003801030663A CN 200380103066 A CN200380103066 A CN 200380103066A CN 1711632 A CN1711632 A CN 1711632A
Authority
CN
China
Prior art keywords
wafer
equipment
waveform
parameter value
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2003801030663A
Other languages
English (en)
Other versions
CN100349273C (zh
Inventor
季米特里斯·林贝罗普洛斯
加里·苏尔
苏克希·莫汉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1711632A publication Critical patent/CN1711632A/zh
Application granted granted Critical
Publication of CN100349273C publication Critical patent/CN100349273C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

本发明提供一种处理半导体晶圆的方法与设备,以缩减尺寸变动,它是在晶圆上多个点测量光阻罩幕CD与轮廓及其下层的厚度并反馈此类信息,以调整下一欲处理的已检测晶圆的制程(如蚀刻制程)。在此处理步骤后,制程所形成的结构尺寸,诸如沟槽的CD与深度,是在晶圆多个点上测量,并将此信息回馈至处理工具,以调整用于下一晶圆的制程,进一步缩减尺寸变动。在部分实施例中,CD、轮廓、厚度与深度测量值、蚀刻处理与后蚀刻清洗是在一受控环境的单一模块下实施。此模块所实施的所有传送与处理步骤是在一干净环境下进行,借此避免暴露晶圆于大气并避免步骤间可能的污染,以增加良率。

Description

采用整合测量以增进介电质蚀刻效率的方法和设备
技术领域
本发明是关于用以监视与控制在半导体基板上实施的制程的方法与设备,特别地,是在特征进行检测期间,经收集的回馈与反馈信息,而用以控制形成于半导体基板上的特征轮廓与关键尺寸(CD)的均一性。在制造具有次微米特征的高密度半导体组件期间,本发明具有特别适用于半导体晶圆的联机(in-line)检测。
背景技术
现在关于超大尺寸积体的高密度与效能需求,需要次微米特征、增加晶体管与电路速度及增进可靠度。此类需求需要高精确且均一地形成组件特征,故必须具有仔细的制程监控,包含当特征仍在半导体晶圆形式时,频繁且详细地检测组件。
传统半导体组件典型包含一半导体基板常掺杂单晶硅(Si),及多个接续形成的内金属介电层与电性传导图案。一集成电路是由含有多个个别传导线路的图案以及多个内联机线路(诸如总线线路、位线路、字符线路与逻辑内联机线路)而形成。垂直相隔金属层的多个传导图案系通过垂直定向传导插塞电性相连,其特征在于,所述的垂直定向传导插塞是填充形成于内金属介电层内的介层洞,该内金属介电层是分隔多个金属层,而其它传导插塞是填充接触孔,以建立电性接触于半导体基板内或上的主动组件区域,诸如晶体管源极/汲极区域。形成于似沟渠状的开口内的传导线路,典型实质平行于半导体基板而延伸。现有技术所获得的这种形式半导体组件,可包含五层或更多金属层,以满足组件几何与微小化需求。
镶嵌制程是为熟知常用以形成传导插塞的方法,以电性内连接垂直相隔的金属层。此制程大致牵涉在内金属介电层内或垂直间隔金属层间的内层介电(ILD)内形成一介层开口,并接续以金属填充,使介层洞电性连接垂直间隔的金属特征。介层开口典型使用传统微影成像与蚀刻技术而形成。在介层开口形成后,使用传统技术填充传导金属(如钨W)于介层洞,而后将内金属介电层表面上多余的传导材料以化学机械研磨(CMP)移除。
上述制程的变形为双镶嵌制程,其涉及形成一开口,该开口具有一下部接触或介层开口区段与上部沟渠区段连接。而后,填充传导材料于开口,以同时形成与传导线路连接的接触洞或介层洞。而后,通过CMP移除内金属介电层表面上过多的传导材料。双镶嵌制程的优点为接触洞或介层洞与上部线路同时形成。
微影成像技术为实施镶嵌制程(及其它制程)的一重要技术,其中使用罩幕以传移电路图案于半导体晶圆。此一系列罩幕是在后续预设制程中实施。每一微影成像罩幕包含,对应于欲形成于晶圆上的电路组件的一组精细几何图案。每一罩幕是用以转移其对应图案于感光层上(如光阻层),其特征在于,所述的感光层已先涂布于其下层上,诸如硅晶圆上的多晶硅层、氮化层或金属层。传统是通过曝光工具,诸如扫描仪或步进器,而将罩幕图案转移至光阻层上,其中曝光工具是导引光或其它辐射通经罩幕而曝光光阻。而后,光阻形成一光阻罩幕,并根据罩幕选择性蚀刻其下层,以形成诸如线路或门极的特征。
制造罩幕是容许一组由制程与设计限制所设定的预定设计规则。该设计规则是界定组件与内联机线路间的空间容忍度以及线路自身的宽度,以确保组件或线路无法以不欲求的方法与另一者重叠或互动。此设计规则限制是指如关键尺寸(CD),其界定线路的最小宽度或组件内的两线路间的最小空间。超大型尺寸积体应用的CD为微米等级。
当设计规则缩减以及制程窗口变小,表面特征CD及其横截面形状(轮廓)的检视与测量将更为重要。特征CD与轮廓与设计尺寸的误差是不利于最终半导体组件的效能。此外,特征CD与轮廓的测量值是指向微影成像的问题(诸如因过度曝光而使步进器失焦以及光阻损失)及/或蚀刻问题(诸如不适当的气流与磁场强度)。
借此,CD与轮廓值以及特征CD与设计尺寸的变动,为光阻与蚀刻制程具有精确性与稳定性的重要因素,而CD控制以缩减此类变动,是半导体制程一重要部分。CD控制必须涉及检视与调整微影成像与蚀刻制程,以解决晶圆内场对场(FTF)、晶圆对晶圆(WTW)以及批次对批次(LTL)的CD变动。
由于现在CD具有极小的尺寸,故用以测量微影成像制程,诸如双镶嵌制程,所制得的表面特征的仪器,为扫瞄电子显微镜(SEM),熟知地,如一关键尺寸扫描电子显微镜(CD-SEM)。虽然传统SEM有助于测量CD,但其无法测量部分重要参数,诸如预蚀刻层的厚度,且其大致无法提供实时测量。换句话说,其无法提供立即回馈于微影成像制程或反馈至蚀刻器,以缩减LTL变动。由于SEM测量是相对地慢,且典型需要在个别检查站实施,故其在离线状态实施,且检测结果需在数小时后才得知。
因此,传统SEM检测结果无法用以调整接续蚀刻制程,以致无法使用特定晶圆的CD测量值以决定处理该晶圆的蚀刻配方。明确地说,形成光阻罩幕而后蚀刻晶圆,假设是按照规格形成罩幕及实施蚀刻制程。但因不欲求的大量刮痕,一般具有低产率。此外,当晶圆直径尺寸增加至300毫米与更大,如果制程问题发生,刮痕量会因测量延迟而指数倍增。因为在每一检测时,晶圆必须传送入与离开工具,故在实体个别的工具上会发生进一步的检测结果。因其暴露晶圆于大气环境,而使晶圆表面产生不欲求的氧化物或在表面上沉积外在颗粒,借此降低良率。
部分传统双镶嵌制程包含以时间或以原位(in-situ)光学装置(诸如干涉传感器,实时监控沟槽蚀刻)控制蚀刻步骤。蚀刻处理室盖件中心的传感器,是测量芯片平均沟槽深度并同时进行蚀刻制程。然而,只是测量沟槽深度。干涉传感器并不提供轮廓信息,诸如沟槽侧墙角度、刻痕、或底切。此外,由于仅中心芯片被传感器看见,故无法通过干涉传感器监控晶圆上的蚀刻均一性。因此远离中心的芯片可能不适当地蚀刻,而干涉传感器无法监控或校正此情形。
因此,极需一简易、符合成本效益的测量法,以快速且有效地识别与校正CD变动而不影响产率。
发明内容
本发明的优点是通过利用晶圆进行检测期间所收集的信息,而缩减半导体晶圆的CD变动且不降低产率。
本发明达到上述与其它优点是通过一种处理一半导体晶圆的方法,其包含:形成一其下层于晶圆上以及一图案层于该其下层上;以晶圆上多个不同预定位置,测量图案层上的图案尺寸及其下层的厚度;以尺寸与厚度的测量值为基础,选择一第一组制程参数值,以用于晶圆上欲实施的制程;通过制程在多个预定位置测量形成于其下层的结构尺寸;以及,使用该结构测量值,选择一第二组制程参数值以用于接续处理的晶圆。
本发明另一方面为一种处理半导体晶圆的设备,其包含:一测量工具,是在晶圆上多个不同预定位置,用以测量晶圆上的一其下层的厚度以及形成在该其下层上的图案层上的图案尺寸;一处理工具,用以使用一第一组制程参数值实施晶圆上的一制程;及,一处理器,设以依据该尺寸与厚度的测量值,选择该第一组制程参数值。
本发明又一方面为一种处理半导体晶圆的设备,其包含:一测量工具,是在晶圆上多个不同预定位置,用以测量晶圆上的一其下层的厚度以及形成在该其下层上的图案层上的图案CD与轮廓;一处理工具,用以使用一第一组制程参数值实施晶圆上的一制程;一传送装置,用以在该测量工具与该处理工具间传送晶圆;一处理室,用以围绕该传送装置并容许传送装置、测量工具与处理工具间在一干净环境下联系;及,一处理器,设以依据图案CD与轮廓的测量值以及该其下层的厚度,选择该第一组制程参数值。测量工具是通过制程在多个预定位置,而测量其下层内结构的CD,而处理器进一步设以使用该结构CD测量值,选择第二组制程参数值以用于接续处理的晶圆。
本发明其它优点将在以下详细描述而使熟悉此项技术的人立即明了,其中本发明所显示与描述的实施例仅为示范用以简单说明本发明的最佳实施例。应了解的是,本发明可为其它及不同实施例以及各式变形,其均不偏离本发明的范围。因此,本发明的附图与描述是为说明用途,而非限制本发明范围。
附图说明
请参考所附的图式,其中具有相同参考符号的组件是表示相似组件,其中:
图1为本发明实施例的设备方块示意图;
图2为本发明实施例的制程流程图
图3为本发明实施例的方法的流程表,以说明接续步骤;
图4A-4C为本发明实施例分别产生的CD、厚度与蚀刻深度图;
图5为本发明实施例的测量法概念说明图;
图6A-D为本发明实施例制程模块的示意图;
图7为本发明实施例的方法流程表,以说明接续步骤。
附图标记说明:
200基板                        210停止层
220介电层                      230停止层230
240介电层                      250图案光阻层
300制程线                      310测量工具
320处理器                      330监控器
340内存组件                    360光室
350计算机软件执行数据库系统    370传统蚀刻器
901骨架                        902处理室
903传送处理室                  904机械人手臂
905工厂接口                    906测量工具
907机械人手臂                  908卡匣
1010-1130步骤                  3000-3600步骤
具体实施方式
传统在制程中对于半导体晶圆表面上所形成的特征进行检测的测量法,是无法有效分析CD及/或轮廓与设计规则间的误差,以提供早期正向识别缺陷源的信息,或进行制程控制以缩减尺寸变动。本发明解决此CD控制问题,是通过反馈关于光阻罩幕CD与轮廓的信息而缩减CD变动,以及在晶圆上多个点测量其下层厚度而调整已检测晶圆下一进行的制程(如蚀刻制程)。在本发明的部分实施例中,CD、轮廓与厚度测量、蚀刻处理与后蚀刻清洗,是在一可控环境的单一模块内实施,借此增加产率与增进良率。本发明是提供一自身含有的蚀刻模块,其可在一封闭环境内接收任何具有光阻罩幕形成于其表面上的兼容晶圆、检测该晶圆、并蚀刻处理该晶圆。
根据本发明测量法,一其下层,诸如一介电层,形成于一晶圆上,一图案层,诸如一光阻罩幕,是在一光室(如,在步进器内曝光,接续为建立光阻)通过一微影成像制程形成于该其下层上。在晶圆上多个点检测罩幕上的一图案,以使用一整合测量单元(如光检测工具)测量其CD与轮廓。在相同检测工具的晶圆上相同点也测量其下层的厚度。如果CD及/或厚度不在规格中,就回送晶圆至光室重工,否则,晶圆是传送至一蚀刻处理室,诸如传统介电蚀刻处理室。通过处理器使用已控制CD与厚度资料,以调整蚀刻配方,并考虑蚀刻器固有的蚀刻均一效能。处理器可调整气流速度、磁场强度、磁场轮廓等。
在蚀刻后,任意地清洗晶圆,如通过一去灰光阻剥除而后接续一湿式清洗,并传送回整合测量单元,其中测量蚀刻制程形成的特征的CD、轮廓与深度并与欲求尺寸相比较。与欲求结果间的变动是触动警铃而使制程停止。然而,此类信息一般是回馈至处里器,以当蚀刻下一晶圆时,通过调整蚀刻配方补偿蚀刻制程飘移。
现有技术在蚀刻制程典型只检测晶圆中心,以决定蚀刻制程终点。通过在蚀刻前横越晶圆上多个点测量厚度、CD与轮廓,本发明有效察看整个晶圆,而非晶圆中心。本发明特意操作非理想状态的蚀刻器以补偿测量的CD与厚度变动,借此达到横越晶圆提供一均一蚀刻。此外,由于每一晶圆接收一定做制程以达到理想结果,故无须建立对于不同应用与产品理想化实施的配方。
本发明示范实施例在制程线300所使用的检测工具如图1所示,其包含一测量工具310,如一光学检测工具,诸如Nanometrics of Milpitas,CA所生产的Nano OCD,或美国专利第5963329号所述的光学仪。光学测量工具310可使用散射仪或反射仪技术。检测工具的散射仪是公开于Microlithography World 2000年冬季号Raymond所刊的Angle-resolvedscatterometry for semiconductor manufacturing。检测工具的反射仪是公开于Characterization and Metrology fro ULSI Technology 1998年国际会议(美国物理协会1998)由Lee发表的Analysis of reflectometry and ellipsometrydata from patterned structures。测量工具310可为一单一光学工具,或可包含两光学工具,一个用以实施CD与轮廓测量,而另一个用以实施厚度测量。
在本发明替代实施例中,测量工具310只是任意地测量CD与轮廓,而厚度测量是在一个别传统厚度测量工具310A实施,如图1虚线所示,诸如Nanometrics of Milpitas,CA所生产的Nano 900或美国专利第5963329号所述的光学仪。厚度测量工具310A可自由设置或合并于如化学气相沉积(CVD)工具(附图未显示)。
制程线300还包含实施电性分析的处理器320及显示处理器320分析结果的监控器330。处理器320可与内存组件340(如半导体内存)联系,而一计算机软件执行数据库系统350已知为制造执行系统(MES),用于存储制程资料。处理器320也与上述测量工具310(及测量工具310A)及传统蚀刻器370联系。
兹将参考图1-3详细描述本发明实施例。如图2的制程流程图所示,欲以介电蚀刻器处理的晶圆W是通过沉积制程,包含一基板200、基板上任意形成的一停止层210(诸如氮化硅)、一介电层220、任意第二停止层230、及一第二介电层240。具有图案P的图案光阻层250(如,在光室360形成的光阻罩幕),形成于介电层240上。其下层240可替代地为一氮化硅层,其欲使用光阻层250蚀刻而图案化以形成一硬式罩幕。本发明可应用于任何与所有传统蚀刻操作。如,本发明非常适用于后段打线蚀刻操作,其中其下层(如层240)包含以溅镀形成的金属层,并使用光阻罩幕(如罩幕250)以蚀刻图案而形成打线层。此类打线层典型形成于彼此之上,并以介电层分开,介电层具有介层洞延伸通过,此介层洞是以微影成像与蚀刻而图案化。
如图3的流程表所示,步骤3000,晶圆W由光室360带至测量工具3100,其中图案P的CD与轮廓及其下层240的厚度是任意地测量。在本发明实施例中,测量工具310仅测量CD与轮廓,其下层240的厚度是在晶圆W带至测量工具310前,在步骤3000A的厚度测量工具310A加以测量(虚线所示)。如图1所示,在晶圆W上述的预定位置测量CD、轮廓与厚度值并反馈至处理器320。测量次数最终由蚀刻制程产率需求而限制且受到制程成熟度与过去效能影响。一般说来,越不成熟的制程所需的测量次数越多。典型约五次样本测量,包含如晶圆的顶部、左方、底部、右方与中央。
测量工具310可直接测量光阻层250上的部分图案CD与轮廓,诸如使用传统光学检测技术的沟渠等。如,实施严格耦合波分析(RCWA),其中对应于给予波形的CD是由计算导出,如通过光学检测工具的处理器。RCWA是讨论于Chateau在Journal of the Optical Society ofAmerica,Vol.11,No.4(1994年4月)所发表的“Algorithm for the rigorouscouple-wave analysis of grating diffraction”,及Moharam在Journal of theOptical Society of America,Vol.12,No.3(1995年5月)所发表的“Stableimplementation of the rigorous coupled-wave analysis for surface-reliefgratings:enhanced transmittance matrix approach”。除了上述测量法外,也可使用另一技术,其利用欲检测图案的光谱并获致参考图案数据库,而将检测图案的光谱与参考图案数据库加以对比,以找出相符配对。此技术详细描述于美国专利申请案第09/714984号中,其全文在此并入本文参考文献中。
在此技术中,测量工具310是成像晶圆W,以获致代表光阻层250图案P的CD与轮廓的波形。存储媒体,诸如内存340,是存储多个参考波形,每一参考波形是代表相较于图案P的参考图案CD与轮廓。一处理器,诸如处理器320,是设以识别最接近图案P波形的参考波形,以获致图案P的CD与轮廓。处理器320可进一步设以选择参考波形的一者作为黄金波形、比较图案P的波形与黄金波形、及比较图案P的波形与数据库内的其它参考波形,以当图案P的波形通过大于一预定门槛量所选出的黄金波形而导出时,辨明最相符图案P波形的参考波形。
当决定CD、轮廓与厚度后,在步骤3100包含轮廓信息的一光阻CD图像可以传统方法由处理器320所收集的CD与厚度资料而产生,如图4A所示,其中纵轴表示已测量CD而水平轴表示晶圆上测量芯片的位置(如,芯片指针为芯片的识别号码,是由晶圆底部至顶部增加)。传统厚度图像也可产生,如图4B所示,其中纵轴表示已测量厚度而水平轴表示晶圆上测量芯片的位置。一模拟蚀刻深度图像也可产生,如图4C所示,已收集的CD与厚度资料,诸如上述图像形式,在步骤3200由处理器320所使用,已决定晶圆W的蚀刻配方(即蚀刻制程参数),如通过考虑CD与厚度测量值以及蚀刻器370特性的算法而决定。蚀刻制程参数可以处理器320使用此算法加以调整,其还包含蚀刻功率、蚀刻气流速度与压力、磁场强度及磁场轮廓。如,若其下层240具有厚度变动,可调整磁场强度以补偿。若图案P建立CD均一性,则与蚀刻处理器半径为函数关系的蚀刻气流速度可加以调整以补偿。
图5是说明一一般示范例,左侧图显示在测量步骤3000当距离由晶圆W中间增加时,其下层240的厚度亦增加。图5中间图显示依据本发明所选择的蚀刻配方补偿厚度变动。所选择的蚀刻配方使得当距离由晶圆W中央增加时,增加移除的材料。结果显示于右侧图,可看见均一的后蚀刻CD、深度与厚度。借此,通过操作一非理想的制程工具使其适用于每一晶圆,本发明使使用者获致其欲求结果。
处理器320所使用的算法以调整晶圆W的蚀刻配方,是通过经验法则(DOE)而建立,其决定制程参数改变对蚀刻效能的影响。如,DOE根据经验决定蚀刻气流速度的改变如何影响CD均一性及蚀刻速度均一性。借此,DOE用以特性化蚀刻处理室370。DOE处理室特性化也作为蚀刻器的年代(ages),也就是说,在蚀刻清洗步骤后,蚀刻效能变化是标记为蚀刻周期次数的函数。借此可产生制程飘移时间线,以致制程飘移可在算法中被考虑,如以下所述。算法可通过处理器320及/或内存340加以存储。
再次回到图3,在步骤3300,使用通过处理器320所决定的蚀刻配方来蚀刻晶圆W,其特征在于,所述的处理器320使用经验法则决定的算法。图2右侧图是显示结果,其中沟槽T形成于其下层240内。而后,晶圆W带至光阻去灰剥除处理室(步骤3400),并在步骤3500带回测量工具310。在晶圆W上多个位置测量沟槽T的CD与深度,诸如在步骤3000光阻层250的预蚀刻测量值的位置。
提供已收集CD与深度至处理器320,如在步骤3600产生的CD与深度图像形式,其比较图标于图4A与图4C。算法是使用与目标结果的变动,以调整蚀刻配方用于下一预蚀刻晶圆。如,通过产生的CD与深度图像以及先前建立的DOE模式,可决定蚀刻器制程飘移,也就是说,蚀刻器制程的年代,或蚀刻器在其制程的时间线。而后,蚀刻配方可调整用于下一晶圆,以使蚀刻结果更接近目标。此外,若测量尺寸变动超出预定边界,或若处理结果由一晶圆至下一者戏剧化地改变,就产生警示,以指示蚀刻器应带离服务范围,如应修理或维修。深度图像也可反馈至化学机械研磨(CMP)工具,以调整CMP的晶圆配方。
借此,本发明依照两因素调整蚀刻配方:(1)进入晶圆的光阻CD与其下层厚度变动(反馈),及(2)蚀刻器制程年代(回馈)。
在本发明其它实施例中,提供一种处理半导体晶圆的设备,其中一晶圆由晶圆卡匣移除、使用光学测量工具在晶圆上数个位置测量晶圆图案层上的图案的CD与轮廓及其下层厚度。而后,一制程,诸如一蚀刻制程,使用一组制程参数值实施于晶圆上,其中此制程参数值,诸如蚀刻配方,是根据图案CD与轮廓测量值与厚度测量值而选择。后蚀刻处理,诸如去灰剥除与湿式清洗,是任意地通过设备而实施,而后,在晶圆回至卡匣前,在数个位置上测量通过蚀刻制程形成于其下层内的结构的CD与深度。回馈后蚀刻测量值至蚀刻器,以调整接续晶圆的蚀刻配方。设备所实施的所有传送与处理步骤是在一干净环境内实施,借此避免暴露晶圆于大气环境以及步骤间可能的污染,进而增加良率。
本发明该些实施例是提供每一晶圆的预蚀刻CD、轮廓与厚度测量值,及根据其CD、轮廓与厚度测量值用于每一晶圆的蚀刻配方的调整值,以校正上述参与工具的制程变动,诸如在沉积模块的沉积均一性变动及/或光室的曝光与聚焦变动。本发明也提供用于蚀刻器制程飘移的蚀刻配方调整值。
现将参考图6A,依据本发明的实施例描述一种处理半导体晶圆的设备。设备包含一处理室或骨架901,诸如加州圣克克拉的应用材料公司所生产的EntekTM处理系统,用以架设多个处理室,诸如传统蚀刻处理器902,诸如加州圣克克拉的应用材料公司所生产的eMaxTM介电蚀刻处理室,及一个或多个传送处理室903,也称为负载阻隔。在本发明的实施例中,用以蚀刻(如用于沟槽蚀刻与介层洞蚀刻)的两蚀刻器902,一者用于后蚀刻清洗(如在蚀刻后自晶圆移除光阻聚合物与其它剩余物)而另一者用于氮化物开启步骤。骨架901可于其内维持一真空环境。机械人手臂904是在处理室902与传送处理室903间传送晶圆。
传送处理室903是连接至工厂接口905,熟知地也作为维持一控制环境的一微型环境。测量工具906,诸如利用干涉仪或反射仪技术的光学测量工具,架设于工厂接口905内。作为测量工具906的示范工具为上述测量工具310(图1),其可包含美国专利第5963329号的测量工具。一处理器(如对应于处理器320的处理器)是根据上述晶圆CD、轮廓与厚度测量值而提供蚀刻器902一蚀刻配方,而处理器可为蚀刻器902或骨架901的部分。一个或多个机械人手臂907或轨道机械人手臂也可架设于工厂接口905内,以于传送处理室903、测量工具906及标准晶圆卡匣908间传送晶圆,其中标准晶圆卡匣可移动地贴附至工厂接口905。骨架901、传送处理室903、工厂接口905与机械人手臂904及907为传统处理系统(诸如应用材料的CenturaTM)的所有部分,并彼此联系且同时维持一干净、可控的环境。此传统处理室更包含一处理器,诸如一计算机(附图未显示),以电性控制系统操作,包含由系统一部分传送晶圆至另一部分。
现将参考图7的流程表依据本发明此实施例,描述设备操作。在处理工具(诸如上述的光室)处理多个晶圆以在其下层上形成一光阻罩幕后,该些晶圆是负载于卡匣908内,而在步骤1010传送卡匣至工厂接口905。而后,由卡匣908解负载晶圆,并通过机械人手臂907传送至测量工具906(步骤1020)。步骤1030,在晶圆上多个不同位置测量光阻上图案的CD与轮廓并在该位置测量其下层的厚度。在本发明替代实施例中,测量工具906仅用于测量CD与轮廓,而在晶圆W被带至光室360前,在步骤1010A(虚线所示)以测量工具310A测量其下层240的厚度。所采用的各测量值是关于本发明前述实施例,亦即不论是直接或经由波形影像与比对。
在步骤1040,CD图像与厚度图像是任意地使用图案尺寸与其下层厚度测量值而产生。在步骤1050,如上所述,根据CD与厚度测量值或图像选择晶圆的蚀刻配方。
在步骤1060,晶圆由测量工具906传送至蚀刻器902是通过使用机械人手臂907移动晶圆至传送处理室903,再使用机械人手臂904移动晶圆至蚀刻器902而完成。而后,蚀刻晶圆(步骤1070),并传送至一光阻剥除处理室902(步骤1080),诸如传统去灰剥除处理室,以移除光阻(步骤1090)。接着,晶圆回送至测量工具906,以在其负载于卡匣908(步骤1130)前,测量后蚀刻CD、轮廓与深度测量值(步骤1100与1110)。在步骤1120,由处理器320产生CD与深度图像,且使用算法导出与目标结果的误差,以调整用于下一预蚀刻晶圆的蚀刻配方(如上所述)。
本发明图6B所示的另一实施例,工厂接口905a具有CD测量工具906a架设于上(取代图6A实施例架设于内)。根据上述图7的流程表操作图6B的设备。
本发明图6C说明又一实施例,测量工具906a架设于骨架901上而非工厂接口905a。根据上述图7的流程表操作图6C的设备。
本发明图6D所示的另一实施例,工厂接口905a具有一测量工具906a及一传统湿式清洗处理室909架设于上。湿式清洗处理室909可为使用超音波换能器的一单晶圆清洗站。骨架901上的多个处理室902之一为上述传统去灰剥除处理室。在晶圆蚀刻后,传送至去灰剥除处理室902以移除光阻(图7的步骤1080与1090),而后在传送至步骤1110的测量工具906a之前或之后,传送至湿式清洗处理室909进行清洗。
本发明图6A-D的实施例是在可控环境条件下,提供预蚀刻CD、轮廓与厚度测量值、蚀刻、清洗与后蚀刻CD测量值。因蚀刻、清洗与测量工具提供于骨架及/或工厂接口上,晶圆可在回送至卡匣前进行蚀刻、清洗与检测,借此缩减处理时间与成本。此外,图6A-D的实施例实时提供反馈与回馈测量资料于每一晶圆,借此可定做每一晶圆的蚀刻处理进而增加良率。先前系统是以批次对批次为基础回馈CD测量值而非每一晶圆,且晶圆在测量、蚀刻与清洗步骤间必须暴露至大气,相较之下,本发明增加良率并降低生产成本。
本发明制程控制技术通过在检测期间使用收集的资料调整蚀刻制程参数,而可用于缩减批次对批次的CD变动而不有效降低产率。
本发明可应用于各种半导体组件的制造,特别为具有约0.18微米与以下设计尺寸的高密度半导体组件。
本发明可使用传统材料、测量法与设备而实施。因此,此类材料、设备与测量法的细节在此不再详细描述。在以上描述中,为了完整理解本发明,说明了多种特定细节,诸如特定材料、结构、化学物、制程等。然而,应了解的是,本发明并不是限制于在此所描述的细节。在其它实施例中,熟知处理结构的就不再详细描述,以避免混淆本发明。
在此是显示公开本发明多功能的部分示范例。应了解的是,本发明可为各式其它组合物与环境,且其它改变或变形是包含于本发明在此所述概念的范围内。

Claims (39)

1.一种处理一半导体晶圆的方法,其至少包含下列步骤:
(a)在该晶圆上多个不同预定位置,测量形成于该晶圆上一其下层的厚度;
(b)在该多个不同预定位置,测量形成于该其下层上一图案层的图案的尺寸;
(c)以该尺寸与厚度的测量值为基础,选择一第一组制程参数值,以用于欲实施于该晶圆上的一制程;
(d)使用该第一组制程参数值,在一处理工具实施该制程于晶圆上;及
(e)在该预定位置,测量通过该制程形成于该其下层内的结构的尺寸。
2.如权利要求1所述的方法,其特征在于,至少包含使用该结构的测量值,选择一第二组制程参数值,以用于接续处理的一晶圆。
3.如权利要求1所述的方法,其特征在于,至少包含测量该图案的一关键尺寸(CD)与一轮廓。
4.如权利要求3所述的方法,其特征在于,至少包含在该处理工具实施一蚀刻制程于该晶圆上。
5.如权利要求4所述的方法,其特征在于,所述的第一组制程参数值包含一气流速度、一磁场强度与一磁场轮廓。
6.如权利要求4所述的方法,其特征在于,至少包含在实施该蚀刻制程之后与测量该结构尺寸之前,清洗该晶圆。
7.如权利要求1所述的方法,其特征在于,形成该图案层的步骤至少包含以微影成像形成一光阻罩幕。
8.如权利要求7所述的方法,其特征在于,形成该其下层的步骤至少包含形成一氮化硅层。
9.如权利要求3所述的方法,其特征在于,至少包含光学地测量该图案的CD与轮廓、该其下层的厚度、与该结构的一CD与一深度。
10.如权利要求1所述的方法,其特征在于,测量该结构的尺寸的步骤至少包含测量该结构的一CD与一深度。
11.如权利要求3所述的方法,其特征在于,选择该第一组制程参数值至少包含:
使用该尺寸与厚度测量值,产生一CD图像与一厚度图像;
提供关于该处理工具操作特性的信息;及
使用所述的CD图像与厚度图像及操作特性,决定第一组制程参数值。
12.如权利要求2所述的方法,其特征在于,至少包含:
通过决定该处理工具操作特性内的一变化而决定一制程飘移(drift),而处理工具操作特性与处理工具实施的处理周期量呈函数关系;及
使用该制程飘移,选择第二组制程参数值,以用于接续欲处理晶圆。
13.一种处理半导体晶圆的设备,其至少包含:
一第一测量工具,用于在晶圆上多个不同预定位置,测量形成于该晶圆上一其下层的厚度;
一第二测量工具,用于在该晶圆上多个不同预定位置,测量该其下层上一图案层的图案的尺寸;
一处理工具,用以使用一第一组制程参数值实施一制程于该晶圆上;及
一处理器,是设以依据该尺寸与该厚度的测量值,选择该第一组制程参数值。
14.如权利要求13所述的设备,其特征在于,所述的第二测量工具是用以在所述的预定位置,测量通过该制程形成于该其下层内的一结构的尺寸,而该处理器进一步设以使用该结构测量值选择一第二组制程参数值,以用于接续欲处理晶圆。
15.如权利要求14所述的设备,其特征在于,所述的第二测量工具是用以测量该图案层上该图案的一CD与一轮廓,及用以测量该其下层内该结构的一CD与一深度。
16.如权利要求14所述的设备,其特征在于,所述的处理工具至少包含一蚀刻器,而该第一与第二制程参数值每一者是包含一蚀刻配方。
17.如权利要求16所述的设备,其特征在于,所述的第一组与第二组制程参数值包含一气流速度、一磁场强度与一磁场轮廓。
18.如权利要求13所述的设备,其特征在于,所述的第一与第二测量工具是包含于一单一光学测量工具内。
19.如权利要求18所述的设备,其特征在于,所述的光学测量工具是用于使用干涉仪或反射仪。
20.如权利要求15所述的设备,其特征在于,所述的处理器是设以:
使用该图案尺寸与厚度的测量值,产生一CD图像与一厚度图像;
存储关于该处理工具操作特性的信息;及
使用该CD图像与厚度图像及该操作特性,决定该第一组制程参数值。
21.如权利要求14所述的设备,其特征在于,所述的处理器是设以:
通过决定该处理工具操作特性内的一变化而决定一制程飘移(drift),而该处理工具操作特性与该处理工具实施的处理周期量呈函数关系;及
使用该制程飘移,选择该第二组制程参数值,以用于接续欲处理晶圆。
22.一种处理一半导体晶圆的设备,其至少包含:
一测量工具,用以在该晶圆上多个不同预定位置,测量形成于该晶圆上一其下层的厚度以及形成于该晶圆上一图案层上一图案的一轮廓与CD;
一处理工具,用以使用一第一组制程参数值,实施一制程于该晶圆上;
一传送装置,用以在该测量工具与处理工具间传送该晶圆;
一处理室,用以围绕该传送装置,并容许该传送装置、测量工具与处理工具间在一干净环境联系;及
一处理器,是设以根据该图案CD与轮廓及该其下层厚度的测量值,选择该第一组制程参数值;
其中,所述的测量工具是用以在该预定位置,测量形成在该其下层内一结构的一CD,而该处理器进一步设以使用该结构的CD测量值,选择一第二组制程参数值,以用于接续欲处理晶圆。
23.如权利要求22所述的设备,其特征在于,所述的结构至少包含一沟槽,该测量工具是在该预定位置测量该结构的一沟槽深度,而处理器进一步设以使用该结构的沟槽深度测量值选择第二组制程参数值。
24.如权利要求22所述的设备,其特征在于,所述的测量工具为一光学测量工具。
25.如权利要求24所述的设备,其特征在于,所述的测量工具使用干涉仪或反射仪。
26.如权利要求22所述的设备,其特征在于,所述的处理室至少包含:
一骨架,用以架设多个处理工具,包含该第一处理工具;
一工厂接口,用以架设一晶圆卡匣;及
一传送处理室,位于该骨架与该工厂接口间并与其联系;
其中该传送装置至少包含一第一机械人手臂与一第二机械人手臂,该第一机械人手臂用以在该测量工具、传送处理室与晶圆卡匣间传送该晶圆,而该第二机械人手臂用以在该传送处理室与处理工具间传送该晶圆,其特征在于,所述的测量工具是架设在该工厂接口或该骨架上。
27.如权利要求22所述的设备,其特征在于,所述的处理工具至少包含一蚀刻器,而该第一与第二制程参数值每一者至少包含一蚀刻配方。
28.如权利要求22所述的设备,其特征在于,所述的处理器是设以:
通过决定该处理工具操作特性内的一变化而决定一制程飘移(drift),而该处理工具操作特性与处理工具实施的处理周期量呈函数关系;及
使用该制程飘移,选择该第二组制程参数值,以用于接续欲处理晶圆。
29.如权利要求22所述的设备,其特征在于,所述的处理器是设以:
控制该传送装置,以在该制程实施于该晶圆上后,将该晶圆由处理工具传送至测量工具;及
控制该测量工具,以测量该其下层的结构的CD。
30.如权利要求26所述的设备,其特征在于,还包含一去灰剥除处理单元架设于该骨架,以在该制程实施于该晶圆上后,自该晶圆移除光阻剩余物。
31.如权利要求27所述的设备,其特征在于,所述的第一组与第二组制程参数值包含一气流速度、一磁场强度与一磁场轮廓。
32.如权利要求22所述的设备,其特征在于,所述的测量工具用以成像(image)该晶圆,以获致代表该图案CD与轮廓的一波形;
该设备还包含一存储媒体,是存储多个参考波形,每一参考波形代表一参考图案的CD与轮廓;
其中该处理器还设以识别与该图案波形最相符的参考波形以获致该图案的CD与轮廓。
33.如权利要求32所述的设备,其特征在于,所述的处理器还设以:
选择该参考波形之一作为一黄金波形;
比较该图案波形与黄金波形;及
比较该图案波形与数据库内的其它波形,以当该图案波形偏离黄金波形超过一预定门槛量时,识别与该图案波形最相符的参考波形。
34.如权利要求22所述的设备,其特征在于,所述的测量工具用以成像该晶圆,以获致代表该结构CD的一波形;
该设备还包含一存储媒体,存储多个参考波形,每一参考波形代表一参考结构CD;
其中该处理器更设以识别与该结构波形最相符的参考波形以获致该结构的CD。
35.如权利要求34所述的设备,其特征在于,所述的处理器还设以:
选择该参考波形之一作为一黄金波形;
比较该结构波形与黄金波形;及
比较该结构波形与数据库内的其它波形,以当该结构波形偏离黄金波形超过一预定门槛量时,识别与该结构波形最相符的参考波形。
36.如权利要求1所述的方法,其特征在于,至少包含在一单一光学工具处实施步骤(b)与步骤(c)。
37.如权利要求1所述的方法,其特征在于,至少包含在个别光学工具处实施步骤(b)与步骤(c)。
38.如权利要求13所述的设备,其特征在于,所述的第一与第二测量工具为个别光学测量工具。
39.如权利要求30所述的设备,其特征在于,进一步包含一湿式清洗工具架设于该工厂接口,以在该剩余物通过该去灰剥除处理单元移除后,清洗该晶圆。
CNB2003801030663A 2002-11-12 2003-11-10 采用整合测量以增进介电质蚀刻效率的方法和设备 Expired - Fee Related CN100349273C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/293,595 US7265382B2 (en) 2002-11-12 2002-11-12 Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US10/293,595 2002-11-12

Publications (2)

Publication Number Publication Date
CN1711632A true CN1711632A (zh) 2005-12-21
CN100349273C CN100349273C (zh) 2007-11-14

Family

ID=32229682

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003801030663A Expired - Fee Related CN100349273C (zh) 2002-11-12 2003-11-10 采用整合测量以增进介电质蚀刻效率的方法和设备

Country Status (8)

Country Link
US (1) US7265382B2 (zh)
EP (1) EP1563532A2 (zh)
JP (1) JP5416329B2 (zh)
KR (2) KR20110111513A (zh)
CN (1) CN100349273C (zh)
MY (1) MY137068A (zh)
TW (1) TWI250601B (zh)
WO (1) WO2004044974A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101459123B (zh) * 2007-12-13 2011-08-17 中芯国际集成电路制造(上海)有限公司 通孔及双镶嵌结构的形成方法
CN103970922A (zh) * 2013-01-25 2014-08-06 英属开曼群岛商达盟系统有限公司 在半导体晶圆厂中以设计为基础的制程最佳化装置
CN106415792A (zh) * 2014-06-23 2017-02-15 应用材料公司 用于感应监测导电沟槽深度的基板特征
CN107316810A (zh) * 2017-06-20 2017-11-03 上海华力微电子有限公司 一种改善刻蚀关键尺寸稳定性的方法
CN107346749A (zh) * 2016-05-04 2017-11-14 台湾积体电路制造股份有限公司 半导体制程及其制程设备与控制装置
CN110931377A (zh) * 2018-09-20 2020-03-27 台湾积体电路制造股份有限公司 反射率测量系统与方法
US11756840B2 (en) 2018-09-20 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
CN101256945B (zh) * 2003-06-20 2011-08-03 东京毅力科创株式会社 处理方法和处理系统
US8207532B2 (en) * 2003-09-12 2012-06-26 Taiwan Semiconductor Manufacturing Company Constant and reducible hole bottom CD in variable post-CMP thickness and after-development-inspection CD
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
US7268084B2 (en) * 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
US20060240651A1 (en) * 2005-04-26 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for adjusting ion implant parameters for improved process control
US8260446B2 (en) 2005-08-22 2012-09-04 Applied Materials, Inc. Spectrographic monitoring of a substrate during processing using index values
US8392012B2 (en) * 2008-10-27 2013-03-05 Applied Materials, Inc. Multiple libraries for spectrographic monitoring of zones of a substrate during processing
JP5165878B2 (ja) * 2006-10-20 2013-03-21 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
JP4981410B2 (ja) * 2006-10-31 2012-07-18 株式会社日立ハイテクノロジーズ 走査型電子顕微鏡、走査型電子顕微鏡を用いたパターンの複合検査方法、および走査型電子顕微鏡の制御装置
US8401272B2 (en) * 2007-08-02 2013-03-19 Asti Holdings Limited Patterned wafer defect inspection system and method
US7800108B2 (en) * 2007-11-30 2010-09-21 Nec Electronics Corporation Semiconductor device and method of manufacturing semiconductor device including optical test pattern above a light shielding film
US20090275265A1 (en) * 2008-05-02 2009-11-05 Applied Materials, Inc. Endpoint detection in chemical mechanical polishing using multiple spectra
JP5027753B2 (ja) 2008-07-30 2012-09-19 東京エレクトロン株式会社 基板処理制御方法及び記憶媒体
KR101616024B1 (ko) * 2008-10-27 2016-04-28 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 동안에 기판의 분광 사진 모니터링에 있어서의 적합도
US20100103422A1 (en) * 2008-10-27 2010-04-29 Applied Materials, Inc. Goodness of fit in spectrographic monitoring of a substrate during processing
US8232538B2 (en) * 2009-10-27 2012-07-31 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and UV exposure
US8525139B2 (en) * 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8232199B2 (en) 2010-07-01 2012-07-31 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device comprises a photoresist pattern having a desired critical dimension
US8954186B2 (en) 2010-07-30 2015-02-10 Applied Materials, Inc. Selecting reference libraries for monitoring of multiple zones on a substrate
JP5652654B2 (ja) * 2011-02-07 2015-01-14 株式会社村田製作所 成膜システム及び成膜方法
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
KR20180011119A (ko) 2015-05-22 2018-01-31 어플라이드 머티어리얼스, 인코포레이티드 방위방향으로 튜닝가능한 다중-구역 정전 척
US9934351B2 (en) * 2015-11-09 2018-04-03 Applied Materials, Inc. Wafer point by point analysis and data presentation
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
KR102527659B1 (ko) 2017-11-27 2023-05-03 삼성전자주식회사 공기청정기
TWI728267B (zh) * 2018-09-25 2021-05-21 聯華電子股份有限公司 半導體製程控制方法
WO2020154896A1 (en) * 2019-01-29 2020-08-06 Yangtze Memory Technologies Co., Ltd. Intelligent customizable wet processing system
EP3931863A4 (en) * 2019-02-25 2023-04-26 Board of Regents, The University of Texas System LARGE SURFACE METROLOGY AND PROCESS CONTROL FOR ANISOTROPIC CHEMICAL ETCHING
JP7383554B2 (ja) 2020-04-02 2023-11-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5795056A (en) * 1980-12-05 1982-06-12 Hitachi Ltd Appearance inspecting process
JPS61290312A (ja) 1985-06-19 1986-12-20 Hitachi Ltd 断面形状測定装置
US5109430A (en) * 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5171393A (en) * 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
JPH05102268A (ja) * 1991-10-09 1993-04-23 Fujitsu Ltd 半導体装置の製造方法
US5653894A (en) * 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US5452521A (en) * 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5607800A (en) 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
US5711849A (en) * 1995-05-03 1998-01-27 Daniel L. Flamm Process optimization in gas phase dry etching
US6001699A (en) 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
JP3679195B2 (ja) * 1996-06-04 2005-08-03 松下電器産業株式会社 エッチング方法
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5913102A (en) * 1997-03-20 1999-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterned photoresist layers with enhanced critical dimension uniformity
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6054710A (en) * 1997-12-18 2000-04-25 Cypress Semiconductor Corp. Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy
US6452677B1 (en) * 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6033814A (en) * 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6067357A (en) * 1998-03-04 2000-05-23 Genesys Telecommunications Laboratories Inc. Telephony call-center scripting by Petri Net principles and techniques
IL125338A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) * 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
JP3090139B1 (ja) * 1999-03-05 2000-09-18 ミノルタ株式会社 プロジェクタ用光学系
JP2001143982A (ja) * 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6225639B1 (en) * 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6707544B1 (en) 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
KR100342392B1 (ko) * 1999-12-31 2002-07-04 황인길 반도체 소자의 게이트 형성 방법
US6133132A (en) * 2000-01-20 2000-10-17 Advanced Micro Devices, Inc. Method for controlling transistor spacer width
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
TW533526B (en) * 2000-10-06 2003-05-21 Applied Materials Inc Method and apparatus to provide for automated process verification and hierarchical substrate examination
JP4437611B2 (ja) * 2000-11-16 2010-03-24 株式会社ルネサステクノロジ 半導体装置の製造方法
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
JP4213871B2 (ja) * 2001-02-01 2009-01-21 株式会社日立製作所 半導体装置の製造方法
US6509238B1 (en) * 2002-03-18 2003-01-21 Silicon Integrated Saystems Corp. Method for manufacturing a MOS device with improved well control stability

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101459123B (zh) * 2007-12-13 2011-08-17 中芯国际集成电路制造(上海)有限公司 通孔及双镶嵌结构的形成方法
CN103970922A (zh) * 2013-01-25 2014-08-06 英属开曼群岛商达盟系统有限公司 在半导体晶圆厂中以设计为基础的制程最佳化装置
CN106415792A (zh) * 2014-06-23 2017-02-15 应用材料公司 用于感应监测导电沟槽深度的基板特征
CN106415792B (zh) * 2014-06-23 2019-11-01 应用材料公司 用于感应监测导电沟槽深度的基板特征
CN107346749A (zh) * 2016-05-04 2017-11-14 台湾积体电路制造股份有限公司 半导体制程及其制程设备与控制装置
CN113013049A (zh) * 2016-05-04 2021-06-22 台湾积体电路制造股份有限公司 半导体制程及其制程设备与控制装置
CN107316810A (zh) * 2017-06-20 2017-11-03 上海华力微电子有限公司 一种改善刻蚀关键尺寸稳定性的方法
CN110931377A (zh) * 2018-09-20 2020-03-27 台湾积体电路制造股份有限公司 反射率测量系统与方法
US11756840B2 (en) 2018-09-20 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof
CN110931377B (zh) * 2018-09-20 2023-11-03 台湾积体电路制造股份有限公司 反射率测量系统与方法

Also Published As

Publication number Publication date
KR101124186B1 (ko) 2012-03-27
KR20110111513A (ko) 2011-10-11
WO2004044974A3 (en) 2004-06-17
US20040092047A1 (en) 2004-05-13
KR20050063806A (ko) 2005-06-28
TWI250601B (en) 2006-03-01
US7265382B2 (en) 2007-09-04
TW200416931A (en) 2004-09-01
MY137068A (en) 2008-12-31
WO2004044974A2 (en) 2004-05-27
JP5416329B2 (ja) 2014-02-12
EP1563532A2 (en) 2005-08-17
CN100349273C (zh) 2007-11-14
JP2006506812A (ja) 2006-02-23

Similar Documents

Publication Publication Date Title
CN100349273C (zh) 采用整合测量以增进介电质蚀刻效率的方法和设备
US6625497B2 (en) Semiconductor processing module with integrated feedback/feed forward metrology
US8513625B2 (en) Track-based metrology method and apparatus
US6392229B1 (en) AFM-based lithography metrology tool
US7482178B2 (en) Chamber stability monitoring using an integrated metrology tool
US6614050B1 (en) Semiconductor manufacturing apparatus
WO2003075342A2 (en) Methodology for repeatable post etch cd in a production tool
JP2715289B2 (ja) 半導体素子の3次元的な欠陥分析方法
CN101055422A (zh) 用于透明基材的整合式测量室
CN1745470A (zh) 检查方法、解析片的制作方法、解析方法、解析装置、soi晶片的制造方法以及soi晶片
CN110870053B (zh) 重复缺陷检查
KR101328611B1 (ko) 반도체 메모리 디바이스 제조를 위한 패턴 매칭 방법
CN1290166C (zh) 集成电路中检查层之间的覆盖偏移的修正
JP2008034475A (ja) 半導体装置の製造方法
US7375829B2 (en) Method for inspecting an insulator with a library of optic images
US20060258023A1 (en) Method and system for improving integrated circuit manufacturing yield
KR100819094B1 (ko) 반도체 메모리 디바이스 제조를 위한 글로벌 매칭 방법
CN1910742A (zh) 生产工具中实现可重复蚀刻后临界尺寸的方法
Kawada et al. CD-SEM for 65-nm Process Node
US7502702B1 (en) Method and apparatus for dynamic adjustment of sensor and/or metrology sensitivities
Ridley et al. The implementation of AFM for process monitoring and metrology in trench MOSFET device manufacturing
JP2007066941A (ja) 半導体装置の配線用溝形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071114

Termination date: 20141110

EXPY Termination of patent right or utility model