CN1644251A - 清洁反应室的方法 - Google Patents

清洁反应室的方法 Download PDF

Info

Publication number
CN1644251A
CN1644251A CN200510000591.3A CN200510000591A CN1644251A CN 1644251 A CN1644251 A CN 1644251A CN 200510000591 A CN200510000591 A CN 200510000591A CN 1644251 A CN1644251 A CN 1644251A
Authority
CN
China
Prior art keywords
chamber
nitrogen trifluoride
cleaning
gas
nitrous oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200510000591.3A
Other languages
English (en)
Inventor
张简旭珂
陈圣文
张宏睿
张振凉
王英郎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1644251A publication Critical patent/CN1644251A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明揭露一种适于清洁一反应室内部表面的方法,且本发明对于将化学气相沉积室的内部表面上的氮化硅以及氧化硅残余物移除特别有效。该方法包括将三氟化氮气体与氧化亚氮气体于一等离子体中反应以产生一氧化氮与氟自由基;而由于自氧化亚氮所产生的一氧化氮自由基密度的增加将使得腔室内部表面的残余物的蚀刻以及移除率增加,因而可减少所需用于有效且迅速执行腔室清洁的三氟化氮数量。

Description

清洁反应室的方法
技术领域
本发明有关于一种清洁反应室(process chamber)内部表面的残余物(residue)的方法;且本发明特别有关于一种新颖的反应室清洁的方法,其中氧化亚氮(nitrous oxide)气体是与三氟化氮(nitrogen tri-fluoride)气体反应而产生用以清洁蚀刻室(etchant chamber)的一氧化氮(nitric oxide)以及氟自由基(radical)。
背景技术
于半导体生产工业中,多种制程步骤是用于制造集成电路于一半导体晶片上,这些步骤包括不同材料层如包含金属层、护层、以及绝缘层于晶片基底上的沉积,以及光阻的去除与侧壁保护聚合物层(sidewall passivationpolymer layer)的移除。举例来说,近代的存储元件中需提供多层金属导体(metal conductor)以用于形成定义晶片上的电路(circuit)的多层金属内联机(interconnection)结构。而化学气相沉积(chemical vapor depositionCVD)制程则广泛应用在半导体晶片上形成材料层。
化学气相沉积制程包括热沉积(thermal deposition)制程,其中气体是与半导体晶片基底的受热表面反应以及等离子体加强型化学气相沉积(plasma-enhanced CVD PECVD)制程,其中气体为转变成一反应性更强的等离子体而受到电磁能量的支配,而形成该反应性更强的等离子体后是可用于降低在晶片基底上沉积材料层的所需温度、增加层别的沉积速率,或两者均可。然而,在进行这些不同化学气相沉积制程的等离子体反应室中,例如聚合物等材料是于反应进行时覆于该反应室屏壁以及其它内部反应室组件与表面上,而这些聚合物的覆料则经常不慎从反应室表面逐出而产生颗粒,并污染晶片。
在半导体的生产中,半导体晶片上集成电路的品质是与生产制程环境的清洁直接相关,其有赖于制造环境的洁净度。再者,近年来在半导体电路愈加小型化的技术进步下亦需要相对地对于等离子体反应室中杂质与污染物实行严密的控制。当芯片上的电路为次微米(submicron)尺寸时,再小量的污染物都有可能显著降低芯片良率;例如,当颗粒存在下进行薄膜的沉积或蚀刻将可能引起空隙(void)、差排(dislocation)、或电流短路(short-circuit)等问题,而因此对该电路所构成的组件效能以及可信度具有不利的影响。
多年来,在半导体工业中,颗粒与薄膜的污染已藉由提升无尘室(cleanroom)的品质、使用设计于处理半导体基底的自动化设备、以及清洁基底表面的技术改进等方法而有显著的下降。然而,材料的沉积例如氮化硅或氧化硅于反应室内部表面的残余物却始终是个问题。有鉴于此,近年来已发展各种不同可用于原位(in-situ)清理反应室的方法。
用以清洁的气体例如三氟化氮、三氟化氯(chlorine trifluoride)、六氟乙烷(hexafluoroethane)、六氟化硫(sulfur hexafluoride)、四氟化碳(carbon tetrafluoride)以及其中的混合物已于多种清洁的应用上使用,将这些气体引入至具有一预定温度与压力的反应室中以得到一清理反应室内部表面所欲的时间长。然而,这些清洁的方法对于清洁或移除所有覆于反应室屏壁上的薄膜或颗粒并非总是有效,极少量的污染物经此清理程序后仍然留于该腔室中,并可能在接下来的制造过程中引发显著的问题。
直到最近,氟碳化合物(fluorocarbon)气体广泛地用于移除反应室内部表面的残余物。在一离子化的等离子体中,氟碳化合物气体分离成二氧化碳以及氟自由基;然而,氟碳化合物气体对于环境施加了一可观的全球暖化潜能(global warming potential;GWP)的影响,因此有越来越多的各国政府以及国际条约开始要求应该减少或排除具有高全球暖化潜能的化学物质的排放,也因此近来不断地寻找在半导体制造工业中可用于清理反应室的气体氟碳化合物的替代品。
其中一种近来用以替代清洁反应室的氟碳化合物的化合物为三氟化氮,且特别是用于清洁化学气相沉积室。通常,三氟化碳与氧及氩混合并以一气体混合物形式引入至腔室中,在反应室的等离子体环境下,三氟化碳分离成氟自由基,其可用于蚀刻腔室内部表面的氮化硅与氧化硅的残余物。
然而当三氟化氮的清洁效率要符合要求时,三氟化氮的使用成本将近为氟碳化合物的四倍;再者,三氟化氮的蚀刻率也相对较低,如移除反应室内部表面的碳化硅(silicon carbide)以及/或有机硅酸物(organosilicate)的效率小于每分钟5,000埃。有鉴于此,业者需要一种可促进三氟化碳清理反应室的移除效率以及减低成本的方法。
发明内容
本发明的目的之一就是提供一种新颖且适用于清理反应室内部表面的方法。
本发明的另一目的是提供一种新颖的方法,其可增加三氟化碳用以清理反应室的清洁效果。
本发明更另一目的是提供一种新颖的方法,其可降低三氟化碳为求达到有效清理反应室的所需量。
本发明又另一目的是提供一种新颖的腔室清洁方法,其不仅有效且成本并不昂贵。
本发明更进一步目的是提供一种新颖的腔室清洁方法,其包括将氧化亚氮气体与三氟化氮反应以产生一氧化氮与氟自由基,并可将氮化硅以及/或氧化硅残余物自反应室内部表面移除。
本发明又另一目的是提供一种新颖并可促进腔室的清理时间的方法。
本发明的另一目的是提供一种新颖的腔室清洁方法,并可适用于不同类型的反应室。
为达上述与其它目的,本发明大体而言是针对一种适于清洁一反应室内部表面的新颖方法;且本发明对于移除化学气相沉积室的内部表面的氮化硅以及氧化硅残余物特别有效。该方法包括于一等离子体中利用三氟化氮气体与氧化亚氮气体反应以产生一氧化氮与氟自由基;而由于自氧化亚氮所产生的一氧化氮自由基密度的增加将使得腔室内部表面的残余物的蚀刻以及移除率增加,因而可减少所需用于有效且迅速执行腔室清洁的三氟化氮数量。
附图说明
图1是显示一可用于实施本发明的典型化学气相沉积室系统的概略图式。
图2是阐述一依照本发明方法所实施的连续处理步骤的流程图。
图3为一用以说明氮化硅蚀刻率(Y轴)相对氧化亚氮/三氟化氮的气体混合物于不同体积比(X轴)情况下的图表。
符号说明:
10~气体混合物;           12~等离子体;
36~化学气相沉积室系统;
100~反应室;              101~反应室内部表面;
102~真空泵浦;            103~物质残余物;
106~电源供应器;          110~控制装置;
120~喷头或气体分配板;    130~气体控制盘;
150~晶片支撑座;          151~等离子体产生室;
153~气体供应器;          155~气流控制器;
157~腔室入口;            170~加热组件;
172~温度传感器;          180~遥距等离子体源;
S1~将氧化亚氮与三氟化氮混合;
S2~将气体混合物引入反应室中;
S3~由气体混合物产生等离子体;
S4~将等离子体自该反应室抽离。
具体实施方式
为让本发明的上述和其它目的、特征、和优点能更明显易懂,下文特举出较佳实施例,并配合所附图式,作详细说明如下:
本发明对于在半导体晶片基底上沉积材料层的化学气相沉积室的内部表面残余的物质的移除具有特别有利的功效。然而,本发明并非受限于此应用,而仅以此类化学气相沉积室为例作为参考;本发明更可大体应用于移除蚀刻反应室以及其它用于半导体晶片基底制造集成电路制程的反应室内部表面的残余物。
本发明是关于一种新颖且适用于清理反应室例如一化学气相沉积室内部表面的方法。该方法包括将三氟化氮气体与氧化亚氮气体于一等离子体中反应以产生一氧化氮与氟自由基;而由于来自氧化亚氮以及三氟化氮所产生的一氧化氮自由基密度的增加将使得腔室内部表面的残余物的蚀刻以及移除率增加,因而可大致减少该成本相对昂贵的三氟化碳所需用以有效而迅速执行腔室清洁的数量。
图1中是显示一可用于实施本发明的化学气相沉积室系统36。该化学气相沉积室36是可用于沉积不同材料层例如氮化硅及氧化硅于一半导体晶片上。一化学气相沉积系统36的实施例为美国加州圣克拉拉市(Santa Clara)的应用材料公司(Applied materials,Inc)商用的DXZ.TM系统。然而,图1所示的化学气相沉积系统36是仅为可适于实施本发明的化学气相沉积处理系统的一个范例,因此,本发明所述的方法可同样适用于清理具有与图1中所示的化学气相沉积处理系统36的不同特征的反应室。
一般化学气相沉积处理系统36包含有一反应室100,而该反应室100则包含有一晶片支撑座(wafer support pedestal)150;一可埋置于该晶片支撑座150内的加热组件170,其可用于加热晶片支撑座150上所支撑的晶片;一交流电源供应器106,其通常与该加热组件170连接;一温度传感器(temperature sensor)172,其通常是埋置于晶片支撑座150内以监测支撑座150的温度,而该所量测的温度则于一回馈线路(feedback loop)中藉由交流电源供应器106以控制该供应至加热组件170的电力。
提供一喷头(showerhead)或气体分配板(gas distribution plate)120于反应室100的上部;一气体控制盘(gas panel)130与喷头120连接,该气体控制盘是用于选择欲经由喷头120引入至反应室100中的气体;一真空泵浦102连接至该反应室100以维持反应室100中适当的气流及压力,并抽离反应室100中反应物的副产物(by-product)。
控制装置110连接至气体控制盘130以及其它反应室100中不同的操作组件,例如该真空泵浦102以及该交流电源供应器106,以控制反应室100中所发生的化学气相沉积制程。而藉由质流控制器(mass flow controller)(未示)以及一微处理控制器(microprocessor controller)(未示)的帮助可对于流经气体控制盘130的反应气体(process gas)的控制有所促进。在化学气相沉积的制程中,该喷头120是可帮助反应气体于该支撑座150上的晶片基底(未示)表面有一均匀的分布。
喷头120及晶片支撑座150是于反应室100中形成一对空间上分离的电极。当一电场(electric field)于上述电极间产生,则经由喷头120流至反应室100中的反应气体将受到激发而形成等离子体。一般来说,该电场是藉由将该晶片支撑座150经一匹配网络(matching network)而连接至一射频(radio-frequency;RF)功率源;而另外也可将射频功率源(RF power source)与匹配网络连接至喷头120,或者同时连接至该喷头120以及晶片支撑座150。
反应室100可连接至一遥距等离子体源(remote plasma source)180以提供一远程产生的等离子体至该反应室100。该遥距等离子体源180包含一气体供应器153、一气流控制器155、一等离子体产生室151以及一腔室入口157。该气流控制器155是用于控制气体供应器153至该等离子体产生室151中的反应气体流速。
藉由对等离子体产生室151中的反应气体施加一电场可产生遥距等离子体,进而引发具有活性物种(reactive species)的等离子体。一般而言,等离子体产生室151中的电场是以一射频功率源(未示)产生,而在遥距等离子体源180中所产生的活性物种则经由腔室入口157而引入至反应室100内。
化学气相沉积系统36的一般操作过程中,在晶片支撑座150上的晶片(未示)上以化学气相沉积材料层时,物质残余物103将逐渐累积于反应室100的内部表面101上,这些物质残余物103包含例如氮化硅与氧化硅。而来自残余物103中的粒子则倾向于阻断或可能污染后续于该反应室100中处理的晶片上所制造的组件,故为求达到理想的制造过程,必须定期将残余物103自反应室内部的表面101上移除。
依照本发明的方法,利用一氧化亚氮/三氟化氮的混合物10将反应室100内部表面101上的氮化硅与氧化硅残余物103移除。该氧化亚氮/三氟化氮的混合物10于反应室100内形成一等离子体12,在等离子体12中,氧化亚氮是与三氟化氮反应而形成一氧化氮自由基、氟自由基、以及氮分子,依照下列反应式:
高活性的一氧化氮自由基以及氟自由基与反应室内部表面101的氮化硅及氧化硅残余物103反应并将其移除。而在清洁程序后,则通常利用真空泵浦102将反应室100中所产生的以氮及氟为主的气体抽离。
图2是阐述一依照本发明方法所实施的连续处理步骤的流程图。在处理步骤S1时,氧化亚氮气体是与三氟化氮气体混合,而一般而言,该氧化亚氮气体以及三氟化氮气体是通常与一惰性载流气体(inert carrier gas)例如为氩(Ar)或氦(He)一同混合。由于氩相对于氦而言是可提供一较有效率的清洁方法,故较佳使用氩为载流气体。
氧化亚氮与三氟化氮一般是以一氧化亚氮/三氟化氮体积比至少大体为0.2的气体混合物10存在;且一般而言该氧化亚氮/三氟化氮于气体混合物中的体积比是较佳大体介于0.2至0.8之间。在该气体混合物10中,一氧化亚氮/三氟化氮的至少为大体0.8的体积比对于反应室内部表面101的氮化硅及氧化硅残余物103而言是具有最佳蚀刻以及移除的效果。
在图2的处理步骤S2时,是将图1的气体混合物10引入至反应室100中。而在处理步骤S3时,则由该气体混合物10产生等离子体12。一般而言,接下来所举例的制程参数(process parameter)是可于反应室100中用以产生一以氧化亚氮/三氟化氮为基本的等离子体。有关反应室温度的制程参数是大体介于65℃至300℃之间;反应室压力则大体介于1托耳(torr)至20托耳间;气体混合物的流速一般是大体介于5sccm至500sccm之间;而一般射频功率是大体介于1至20瓦特/平方公分(Watt/cm2)。
等离子体12与该反应室100内部表面101接触,而自氧化亚氮所产生的一氧化氮自由基以及自三氟化氮所产生的氟自由基将蚀刻反应室内部表面101上的残余物103。因此相较于以三氟化氮作为清洁作用的唯一来源气体的情况,可仅仅使用一较为少量的相对昂贵的三氟化氮,并已足够用于清洁反应室内部表面101。
在处理步骤S4时,将等离子体12自反应室100中抽离,此步骤是可藉由真空汞浦102的操作而完成。当清理反应室内部表面101上的残余物103后,反应室100已足够干净并可重新用以继续处理反应室100中的晶片。
图3为一图表,用以说明氮化硅蚀刻率(沿Y轴增加)相对氧化亚氮/三氟化氮的气体混合物于不同体积比(沿X轴增加)的情况下所绘制的函数图形。依照该图示,氮化硅的蚀刻率是随着气体混合物中该氧化亚氮相对三氟化氮的比例增加而稳定增加。而对于可促进清洁作用、相较于单独使用三氟化氮而言较为迅速的氧化亚氮/三氟化氮的体积比范围一般大体至少介于0.2至0.8,而更佳的氧化亚氮/三氟化氮体积比是至少为大体0.8,而超过此范围,该氮化硅的蚀刻以及移除率则大体趋向平稳。相较于习知使用三氟化氮的反应室的清洁方法,本发明的反应室的清洁方法已减少大致20%的清洁时间。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明,任何熟习此技艺者,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,因此本发明的保护范围当视所附的权利要求范围所界定者为准。

Claims (10)

1.一种清洁反应室的方法,包括下列步骤:
提供一气体混合物,其包含氧化亚氮以及三氟化氮,其中一氧化亚氮/三氟化氮的体积比至少为0.2;
引入该气体混合物至该反应室;以及
以该气体混合物产生一等离子体。
2.根据权利要求1所述的清洁反应室的方法,其更包括提供一惰性载流气体于该气体混合物中的步骤。
3.根据权利要求1所述的清洁反应室的方法,其中该氧化亚氮/三氟化氮的体积比介于至少0.2至0.8。
4.根据权利要求2所述的清洁反应室的方法,其中该惰性载流气体包含氦或氩。
5.一种清洁反应室的方法,包括下列步骤:
提供一气体混合物,其包含氧化亚氮以及三氟化氮,其中氧化亚氮/三氟化氮的体积比至少为0.8;
引入该气体混合物至该反应室;以及
以该气体混合物产生一等离子体。
6.一种清洁反应室的方法,其是利用三氟化氮以迅速清洁该反应室,包括以下步骤:
形成一气体混合物,其包含氧化亚氮以及三氟化氮,其中氧化亚氮/三氟化氮的体积比至少为0.2;
引入该气体混合物至该反应室;以及
以该气体混合物产生一等离子体,并因而于该反应室中形成一氧化氮自由基及氟自由基。
7.根据权利要求6所述的清洁反应室的方法,其更包括提供一惰性载流气体于该气体混合物中的步骤。
8.根据权利要求6所述的清洁反应室的方法,其中该氧化亚氮/三氟化氮的体积比介于至少0.2至0.8。
9.根据权利要求6所述的清洁反应室的方法,其中该氧化亚氮/三氟化氮的体积比至少为0.8。
10.根据权利要求9所述的清洁反应室的方法,其更包括提供一惰性载流气体于该气体混合物中的步骤,其中该惰性载流气体包含氦或氩。
CN200510000591.3A 2004-01-20 2005-01-07 清洁反应室的方法 Pending CN1644251A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/761,654 2004-01-20
US10/761,654 US20050155625A1 (en) 2004-01-20 2004-01-20 Chamber cleaning method

Publications (1)

Publication Number Publication Date
CN1644251A true CN1644251A (zh) 2005-07-27

Family

ID=34750217

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200510000591.3A Pending CN1644251A (zh) 2004-01-20 2005-01-07 清洁反应室的方法

Country Status (3)

Country Link
US (1) US20050155625A1 (zh)
CN (1) CN1644251A (zh)
TW (1) TWI254363B (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101783296B (zh) * 2009-01-20 2011-09-14 中芯国际集成电路制造(上海)有限公司 栅极侧壁层的形成方法
CN101670345B (zh) * 2008-09-11 2012-03-07 和舰科技(苏州)有限公司 反应室的清洁方法
CN102397859A (zh) * 2011-11-22 2012-04-04 镇江大全太阳能有限公司 石墨舟(框)干式清洗机
CN102958622A (zh) * 2010-08-25 2013-03-06 琳德股份公司 使用分子氟的化学气相沉积腔室清洁
CN103849852A (zh) * 2012-12-03 2014-06-11 上海华虹宏力半导体制造有限公司 改善化学气相淀积炉管工艺颗粒的方法
CN106180079A (zh) * 2016-07-07 2016-12-07 洛阳高昌机电科技有限公司 一种三氟化氮等离子清洗装置
CN107610998A (zh) * 2017-07-21 2018-01-19 江苏鲁汶仪器有限公司 一种能够调节内外压差的气相腐蚀腔体及利用其进行气相腐蚀的方法
CN109585247A (zh) * 2017-09-29 2019-04-05 台湾积体电路制造股份有限公司 加工腔室的清洁方法及等离子体加工装置
CN110899271A (zh) * 2018-09-17 2020-03-24 北京北方华创微电子装备有限公司 远程等离子源的调整装置及远程等离子源清洗系统
CN111479774A (zh) * 2018-11-23 2020-07-31 韩国化学研究院 三氟胺氧化物的制备方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7582212B2 (en) * 2005-09-08 2009-09-01 United Microelectronics Corp. Method of removing silicon dioxide from waste liquid, method of cleaning membrane tube and method of processing waste water
US20140091417A1 (en) * 2012-10-01 2014-04-03 Applied Materials, Inc. Low refractive index coating deposited by remote plasma cvd
US20140117545A1 (en) * 2012-10-26 2014-05-01 Globalfoundries Singapore Pte. Ltd Copper hillock prevention with hydrogen plasma treatment in a dedicated chamber
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR102493908B1 (ko) * 2020-10-14 2023-01-30 에스케이스페셜티 주식회사 산화 삼불화아민의 제조방법
JP7393409B2 (ja) * 2021-12-24 2023-12-06 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、プログラム及び基板処理装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5221414A (en) * 1991-07-16 1993-06-22 Micron Technology, Inc. Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6644324B1 (en) * 2000-03-06 2003-11-11 Cymer, Inc. Laser discharge chamber passivation by plasma
JP2001284340A (ja) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
US6569257B1 (en) * 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101670345B (zh) * 2008-09-11 2012-03-07 和舰科技(苏州)有限公司 反应室的清洁方法
CN101783296B (zh) * 2009-01-20 2011-09-14 中芯国际集成电路制造(上海)有限公司 栅极侧壁层的形成方法
CN102958622A (zh) * 2010-08-25 2013-03-06 琳德股份公司 使用分子氟的化学气相沉积腔室清洁
CN102397859A (zh) * 2011-11-22 2012-04-04 镇江大全太阳能有限公司 石墨舟(框)干式清洗机
CN103849852A (zh) * 2012-12-03 2014-06-11 上海华虹宏力半导体制造有限公司 改善化学气相淀积炉管工艺颗粒的方法
CN106180079A (zh) * 2016-07-07 2016-12-07 洛阳高昌机电科技有限公司 一种三氟化氮等离子清洗装置
CN107610998A (zh) * 2017-07-21 2018-01-19 江苏鲁汶仪器有限公司 一种能够调节内外压差的气相腐蚀腔体及利用其进行气相腐蚀的方法
CN107610998B (zh) * 2017-07-21 2020-09-15 江苏鲁汶仪器有限公司 一种能够调节内外压差的气相腐蚀腔体及利用其进行气相腐蚀的方法
CN109585247A (zh) * 2017-09-29 2019-04-05 台湾积体电路制造股份有限公司 加工腔室的清洁方法及等离子体加工装置
CN109585247B (zh) * 2017-09-29 2022-08-09 台湾积体电路制造股份有限公司 加工腔室的清洁方法及等离子体加工装置
CN110899271A (zh) * 2018-09-17 2020-03-24 北京北方华创微电子装备有限公司 远程等离子源的调整装置及远程等离子源清洗系统
CN110899271B (zh) * 2018-09-17 2021-10-15 北京北方华创微电子装备有限公司 远程等离子源的调整装置及远程等离子源清洗系统
CN111479774A (zh) * 2018-11-23 2020-07-31 韩国化学研究院 三氟胺氧化物的制备方法
CN111479774B (zh) * 2018-11-23 2021-04-13 韩国化学研究院 三氟胺氧化物的制备方法

Also Published As

Publication number Publication date
TWI254363B (en) 2006-05-01
TW200525611A (en) 2005-08-01
US20050155625A1 (en) 2005-07-21

Similar Documents

Publication Publication Date Title
CN1644251A (zh) 清洁反应室的方法
TWI674617B (zh) 用於在電漿清潔製程之後執行電漿處理製程的方法
CN1282992C (zh) 半导体制造装置的净化方法和半导体器件的制造方法
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US8211808B2 (en) Silicon-selective dry etch for carbon-containing films
JP5491170B2 (ja) エッチング方法
KR100855597B1 (ko) 육불화황 원격 플라즈마 소스 세정
WO2012154429A2 (en) Methods of dry stripping boron-carbon films
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
EP2159829A2 (en) Selective etching of silicon dioxide compositions
CN110140193B (zh) 用于实现高温处理而没有腔室漂移的方法
CN1551307A (zh) 半导体器件的制造方法和等离子体蚀刻装置的清洁方法
US7201807B2 (en) Method for cleaning a deposition chamber and deposition apparatus for performing in situ cleaning
EP1154036A1 (en) Gas reactions to eliminate contaminates in a CVD chamber
JP5214316B2 (ja) プラズマ成膜装置のクリーニング方法
CN113498442A (zh) 清洁处理腔室的方法
KR20210058954A (ko) 에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체
CN1241243C (zh) 在图案化材料上形成高分子层的方法
US20060054183A1 (en) Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
EP1154037A1 (en) Methods for improving chemical vapor deposition processing
JP3820212B2 (ja) Cvdチャンバクリーニング後にcvdチャンバをコンディショニングする方法
US11955318B2 (en) Ash rate recovery method in plasma strip chamber
EP1154038A1 (en) Method of conditioning a chamber for chemical vapor deposition
JP2004273991A (ja) 半導体製造方法
CN117012635A (zh) 一种硅化物的蚀刻方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication