CN1604278A - 处理栅极结构的方法 - Google Patents

处理栅极结构的方法 Download PDF

Info

Publication number
CN1604278A
CN1604278A CNA2004100739255A CN200410073925A CN1604278A CN 1604278 A CN1604278 A CN 1604278A CN A2004100739255 A CNA2004100739255 A CN A2004100739255A CN 200410073925 A CN200410073925 A CN 200410073925A CN 1604278 A CN1604278 A CN 1604278A
Authority
CN
China
Prior art keywords
grid structure
structure according
dielectric layer
electricity slurry
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100739255A
Other languages
English (en)
Other versions
CN1604278B (zh
Inventor
王铭芳
侯拓宏
麦凯玲
姚亮吉
陈世昌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1604278A publication Critical patent/CN1604278A/zh
Application granted granted Critical
Publication of CN1604278B publication Critical patent/CN1604278B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种处理栅极结构的方法,以改善电效能特性,此栅极结构包括高介电常数栅极堆栈介电层,此处理栅极结构的方法包括提供一栅极堆栈介电层于硅基底上,此栅极堆栈介电层包括一二元(binary)氧化物;形成一多晶硅层于上述栅极堆栈介电层上;进行微影图案化与蚀刻以形成一栅极结构;以及对上述栅极结构进行至少一电浆处理,此电浆处理包括一电浆源气体是择自于氢气、氮气、氧气与氨气所组成的族群中。

Description

处理栅极结构的方法
技术领域
本发明是有关于一种在微集成电路制程里的高介电常数CMOS晶体管的栅极堆栈层的制程,且特别有关于一种处理栅极结构的方法,包括高介电常数介电栅极堆栈层,以改善CMOS组件中的平带电压的电性。
背景技术
金属氧化物半导体(MOS)集成电路的制造涉及许多制程步骤,其中栅极氧化层通常是位于硅基底上的热成长二氧化硅,而此硅基底掺杂n型或p型掺杂质;为了形成个别MOS场效晶体管(MOSFET),其中的栅极电极形成于栅极介电层上,然后引入掺杂质于半导体基底中以形成源极与漏极。许多现代半导体微电子的制程可形成具有小于0.25微米的临界尺寸(criticaldimensions),例如包括特征尺寸(features sizes)小于0.13微米的较新组件。当设计标准(design rule)减小时,晶体管的尺寸也会随晶体管特征一样根据尺寸关系(scaling relationships)减小,如栅极长度;当栅极长度减小时,电流漏电的问题就会变得更加严重,如栅极引发漏极漏电(gateinduced drain leakage,简称GIDL),此问题属于低功率组件的问题,需要增加晶体管的关闭电流(off current)来解决。
为了克服此现象,可在栅极堆栈介电层中利用高介电常数材料以得到与低介电常数材料相当的等效氧化层厚度(equivalent oxide thickness,简称EOT),而EOT在半导体电子组件制造中有增加的趋势。由于高穿遂电流的因素,小于20埃的二氧化硅膜在CMOS组件中无法确实地当作栅极氧化层使用,所以现在业界努力地想以高介电材料来取代传统的二氧化硅栅极介电膜,使得较厚的栅极介电层得以形成,以大幅地地降低穿遂电流与栅极漏电流,进而克服在较小组件临界尺寸中以二氧化硅作为栅极介电层的严重限制。
然而,CMOS组件中的高介电常数栅极介电层的形成的困难点在于难以得到可令人接受的启始电压行为,如当高介电常数材料在NMOS与PMOS组件中作为栅极堆栈介电层时,平带电压或当量启始电压会常发生相对大的偏移,例如以氧化铪用作栅极堆栈介电层时,比一般用于NMOS组件中的二氧化硅栅极介电层具有300mV的偏移,而在PMOS组件则有700mV的偏移。
界面状态(interfacial states)不佳的存在被认为是造成平带电压与启始电压偏移的原因之一,有许多方法已被提出用来处理此现象,从基层(base)氧化层的处理至在多晶硅电极层沉积前的高介电常数介电质的后沉积退火,但这些方法迄今的成功有限,如其启始电压与一般或达成预期行为的二氧化硅栅极介电层的启始电压相比还是有很大的偏差,因此,具有令人可接受的电性的高介电常数的栅极堆栈介电层依然具有问题需克服,此令人可接受的电性包括在低功率CMOS组件中具有令人可接受的启始电压。
因此需要发展一种用于形成栅极结构的改良方法,包括用于CMOS组件中的高介电常数堆栈介电层,且其具有改良的电性,包括启始电压效能。
因此本发明的目的就是提供一种用于形成栅极结构的改良方法,包括在CMOS组件中的高介电常数堆栈介电层,且其具有改良的电性,包括启始电压效能,同时克服先前技艺中的其它缺点与不足。
发明内容
为达上述、其它与本发明的目的,本发明提供一种处理栅极结构的方法,此栅极结构包括高介电常数栅极堆栈介电层以改善电效能特性。
在一第一实施例中,处理栅极结构的方法包括提供一栅极堆栈介电层于硅基底上,此栅极堆栈介电层包括一二元(binary)氧化物;形成一多晶硅层于上述栅极堆栈介电层上;进行微影图案化与蚀刻以形成一栅极结构;以及对上述栅极结构进行至少一电浆处理,此电浆处理包括一电浆源气体是择自于氢气、氮气、氧气与氨气所组成的族群中。
附图说明
图1为本发明实施例的CMOS组件,此组件包括高介电常数堆栈介电层的形成。
图2A~图2C为本发明实施例栅极结构部分的剖面图,包括多层高介电常数堆栈介电层的制造步骤。
图3A为藉由较佳实施例所形成的CMOS组件的电容-电压(CV)数据图,以对应本发明实施例的制程方法。
图3B为藉由较佳实施例所形成的CMOS组件中,由CV数据所得的平带电压图,以对应本发明实施例的制程方法。
图4为本发明实施例的制程流程图。
符号说明:
12、22~半导体基底            14A~轻掺杂区
14B~源极漏极区               16~浅沟隔离区
18A~界面二氧化硅层           18B~高介电常数介电部分
18C~多晶硅栅极电极部分       20A~侧壁间隙壁
24~热成长SiO2界面层       26~高介电常数介电层
26B~高介电常数栅极介电部分   28~多晶硅栅极电极部分
具体实施方式
本发明这些与其它实施例、观点与特征可由下列更详尽地描述与附图的发明较佳实施例得以更清楚地了解。
虽然本发明的方法是以高介电常数栅极堆栈介电层的形成来作说明,但也可将本发明的方法应用在MOSFET组件中高介电常数栅极介电层的形成与微集成电路制程中的堆栈电容。
虽然本发明的方法是以高介电常数栅极介电层的使用来作说明,但也可将本发明的方法用在任何高介电常数的氧化物上,例如用于栅极介电层的形成的二元(binary)氧化材料,在此所称的高介电常数介电质是表示此材料具有的介电常数高于10,而基底是定义为任何的半导体基材材料,包括一般的硅半导体晶片。
图1为本发明实施例的CMOS晶体管剖面图,此晶体管具有的栅极结构包括高介电常数介电的栅极堆栈区域。如所示的半导体基底12,例如此基底可为硅基底,且包括以现有技艺方法在硅基底中形成轻掺杂区,即14A、源极漏极区,即14B,与浅沟隔离区,即16,其中的区域14A与14B常在栅极结构形成后形成。栅极结构的栅极介电部分为多层结构,如包括界面二氧化硅层18A、高介电常数介电部分18B。多晶硅栅极电极部分18C形成在上述栅极介电部分上。上述栅极结构是藉由现有方法所形成,包括先进行一全面性的多层沉积,且其最上层为多晶硅层,再进行光微影图案化与非等向性蚀刻步骤。
在栅极结构形成后,再进行一般的第一离子布植制程以在硅基底中形成LDD区域,即14A。然后形成侧壁间隙壁,即20A,例如包括至少为氧化硅(即SiO2)、氮氧化硅(即SiON)与氮化硅(SiN)之一,此也包括藉由利用现有技艺中的一般沉积与回蚀刻制程以形成多层间隙壁的方法。然后再进行一自行对准的第二离子布植制程以形成源极/漏极区,即14B,此时侧壁间隙壁,即20A,作为布植罩幕且根据所要形成的PMOS或NMOS型的组件来形成N型或P型掺杂区。
图2A为本发明实施例的栅极堆栈区域制造的局部放大(expanded)剖面图。在本实施例中所示的半导体基底22,可为(111)或(100)配向(orientation)的单晶硅,可为层状的半导体基底,如Si/SiGe或Si/SiO2/Si;此基底可为n或p型且较佳包括许多主动区,如N或P掺杂区以形成主动电荷载子区,以形成MOSFET组件的一部分。
请依然参阅本发明实施例中的图2A;在一第一步骤中,于硅基底22上方形成热成长SiO2界面层(也称为基层(base)氧化层)24前,先进行清洁步骤,例如,以标准清洁1(SC-1)与/或标准清洁2(SC-2)对硅基底进行清洁步骤,此清洁步骤可逐个或相继使用清洁溶液,包括NH4OH-H2O2-H2O与HCl-H2O2-H2O的混合液。
依然请参阅本发明实施例的图2A;在硅基底清洁制程后,界面氧化(SiO2)层24藉由湿或干热氧化或化学氧化方法形成于硅基底20上,其较佳的厚度约为5埃至30埃,其中高温湿或干热氧化成长方法可成长较佳高品质的Si/SiO2界面。
请参阅图2B;接下来至少一高介电常数介电层,即26,藉由一般方法沉积于界面氧化层22上;而界面氧化层24可选择性地进行表面处理,包括化学、电浆与/或退火处理,这些表面处理为现有技艺中用于高介电常数材料沉积里;此外,高介电常数介电层或高介电常数堆栈介电层也可直接沉积在硅基底上;然而,当使用二元(binary)氧化高介电常数介电质,如氧化铪时,界面氧化层,即24,具有较佳高介电常数介电稳定性。
高介电常数介电层或高介电常数堆栈介电层26可藉由一般方法沉积,包括原子层化学气相沉积(atomic layer chemical vapor deposition,简称ALCVD)、雷射镕削(Laser Ablation)与反应性直流电溅镀(reactive DCsputtering)。较佳的高介电常数介电质为二元金属氧化物,如氧化钽(Ta2O5)、氧化钛(TiO2)、氧化铪(HfO2)、氧化钇(Y2O3)、氧化镧(La2O5)、氧化锆(ZrO2)与其硅酸盐及铝酸盐。
上述的ALCVD沉积制程较佳在晶片基底被加热至约200℃~400℃时进行,此较佳的ALCVD制程可提供高等级的界面与膜的品质,例如,分子层相继地沉积,此分子层包括金属前驱物的分子层,而此前驱物可为金属-有机前驱物,接着控制金属-有机分子层的分解与氧化,以形成高介电常数介电层的一部分,此制程一直重复至完全形成高介电常数介电层;其它制程如利用金属-有机前驱物的MOCVD或PECVD也适用,但由于其电性品质较差,故为较不理想的沉积方法。
在一最佳实施例中,高介电常数介电材料可藉由ALCVD方法沉积单层或堆栈层氧化铪,此沉积温度需小于约300℃,较佳约为200℃,以减少晶格不相称的问题产生,而氧化铪的厚度与所需的等效氧化层厚度(equivalentoxide thickness,简称EOT)相当有关系,如为约5~30埃间,也可能在40~100埃间;接下来高介电常数介电层或多层高介电常数介电质可进行一次或多次退火步骤,较佳为一次介于约550~900℃间的氮气、氢气或氧气的退火步骤。
请参阅图2C;在高介电常数介电层,即26,沉积后,接着藉由一般方法沉积多晶硅层,如小于约580℃的LPCVD;接着再利用一般微影图案化与蚀刻以蚀刻多晶硅层与氧化铪层,即26的一厚度,以形成多晶硅栅极电极部分28与高介电常数栅极介电部分26B;此蚀刻方式较佳为活性离子蚀刻(RIE)与/或化学蚀刻(chemically dependent etching,简称CDE),同时留下至少部分的界面氧化层24以覆盖硅基底,以在随后的电浆处理制程中用以保护硅基底22。
根据本发明实施例的目的,在栅极蚀刻制程后,晶片的处理包括对栅极结构进行电浆处理制程;此电浆处理的压力较佳为100mTorr与10Torr间,更佳为1Torr与5Torr间;此电浆处理较佳的电浆源气体为氢气、氮气、氧气、氨气或上述的混合物,更佳为氢气、氮气或上述的混合物,最佳为氢气,因为它可以减少电浆所造成的损害,且在随后的退火制程中具有较有效率的热活性扩散特性。
电浆处理可包括一般电浆操作条件与电浆反应组件,其中电浆反应组件可包括诱导偶合电浆(inductively coupled plasma,简称ICP)源、平行板、电子回旋加速器共振(electron cyclotron resonance,简称ECR)、双电浆源(dual plasma source,简称DPS)与磁性增强结构(configurations),较佳的电浆反应组件包括一DPS以容许个别晶片的偏压(biasing);一般的电浆操作条件包括约100~600瓦的射频(RF)能量,且晶片的偏压介于0~300瓦间。
视反应组件的结构而定,如DPS反应组件,电浆处理的实行时间约为10~60分钟;在此电浆处理后,较佳再执行一后电浆处理退火制程,其气氛包括至少氢气、氮气、氧气与氨气之一,更佳为氢气、氮气与氨气的电浆处理且其以氮气或氢气为主要气氛,此退火步骤较佳在550℃~750℃下执行5~30分钟,且可在电浆处理原处(in-situ)或是在电浆处理外处(ex-situ)执行,当在电浆处理外处(ex-situ)执行时,可在分别单一晶片处理工具或一般炉管的批次晶片退火制程中执行。根据本发明的较佳实施例,栅极结构的电浆处理包括对多晶硅栅极电极与高介电常数堆栈介电层侧壁部分作电浆处理,接着再藉由后电浆处理退火以对平带电压以及与平带电压相关的启始电压作最佳的调整,以使与二氧化硅栅极介电质相关的CMOS组件的电性操作参数落在操作范围中。以下讨论未受特定理论限制;如因晶格不相称效应而在二元氧化物(即氧化铪)与多晶硅界面所产生的不连接或未饱和配位硅键,可藉由进行至少一电浆处理减少;在较佳实施例中,在电浆处理后更加再进行一退火处理;电浆植入原子如氢、氮与氧,更佳为氢与/或氮以渗入及热扩散至氧化铪/多晶硅界面,以保护不连续键或与不连续键键结,使界面态作为电子/电洞陷阱(traps)的情形可有效降低,进而改善PMOS与NMOS组件中的平带电压与平带电压相关的启始电压的行为。
如图3A为NMOS组件中的电容电压曲线(CV curve)图,其中纵轴为电容值,而横轴为供给在栅极上的电压,且A、B、C线分别表示沉积(A):无电浆处理、沉积(B):在氢气中进行30分钟电浆处理、沉积(C):在氢气中进行60分钟电浆处理,而D线表示较佳实施例中在电浆处理后再进行氮气退火步骤;由图3A可知本发明较佳实施例(D线)所使用的方法的确可大幅改善CV曲线的特性,包括平带电压。
在图3B中,纵轴为平带电压的数据,横轴为则个别的栅极处理条件,其中栅极处理条件A1为单纯的沉积(无电浆处理或退火处理),而栅极处理条件B1、C1、D1则分别对应较佳实施例中进行10、30、60分钟的氢气电浆处理,而栅极处理条件E1为较佳实施例中进行60分钟氢气电浆处理后再进行退火处理,而得到大幅改善的平带电压。
回到图1,在退火处理后再进行一般制程以完成CMOS组件,包括利用离子布植制程以在硅基底中形成LDD区,即14A,接着藉由侧壁间隙壁的形成,即20A,与随后的离子布植制程以形成源极/漏极,即14B。
图4为本发明实施例的制程流程图。在第一制程401中,提供界面氧化层于硅基底上;在制程403中,沉积至少一高介电常数介电层于界面氧化物上,其中的高介电常数介电层较佳为氧化铪;在制程405中沉积多晶硅层;在制程407中,图案化多晶硅层,且蚀刻多晶硅与高介电常数介电质的一厚度部分,以形成栅极结构;在制程409中,根据较佳实施例进行电浆处理;在制程411中,根据较佳实施例进行后电浆处理退火;在制程413中,进行一般制程以完成CMOS组件。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明,任何熟习此技艺者,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,因此本发明的保护范围当视所附的权利要求范围所界定者为准。

Claims (20)

1.一种处理栅极结构的方法,以改善电效能特性,此栅极结构包括高介电常数栅极堆栈介电层,此处理栅极结构的方法包括:
提供一栅极堆栈介电层于硅基底上,此栅极堆栈介电层包括一二元氧化物;
形成一多晶硅层于上述栅极堆栈介电层上;
进行微影图案化与蚀刻以形成一栅极结构;以及
对上述栅极结构进行至少一电浆处理,此电浆处理包括一电浆源气体是择自于氢气、氮气、氧气与氨气所组成的族群中。
2.根据权利要求1所述的处理栅极结构的方法,尚包括在该至少一电浆处理后对该栅极结构的退火步骤。
3.根据权利要求2所述的处理栅极结构的方法,其中该退火步骤的温度为600℃~750℃。
4.根据权利要求2所述的处理栅极结构的方法,其中该退火步骤的气氛基本为氮气。
5.根据权利要求1所述的处理栅极结构的方法,其中该栅极堆栈介电层包括一最下方的二氧化硅层形成于硅基底上。
6.根据权利要求1所述的处理栅极结构的方法,其中该栅极堆栈介电层包括一高介电常数材料,是择自于氧化钽、氧化钛、氧化铪、氧化钇、氧化镧、氧化锆与其硅酸盐及铝酸盐所组成的族群中。
7.根据权利要求1所述的处理栅极结构的方法,其中该堆栈介电层基本由一最下层的二氧化硅层与一于其上的氧化铪层所组成。
8.根据权利要求7所述的处理栅极结构的方法,其中该氧化铪层以原子层化学气相沉积在300℃以下形成。
9.根据权利要求1所述的处理栅极结构的方法,其中该电浆源气体是择自于氢气与氮气所组成的族群中。
10.根据权利要求1所述的处理栅极结构的方法,其中该电浆处理所进行的时间为10~90分钟。
11.根据权利要求1所述的处理栅极结构的方法,其中该电浆处理所进行的压力为100mTorr~10Torr。
12.根据权利要求11所述的处理栅极结构的方法,其中该电浆处理所进行的压力为100mTorr~5Torr。
13.一种处理栅极结构的方法,以改善CMOS组件的平带电压与启始电压特性,此栅极结构包括高介电常数栅极堆栈介电层,此处理栅极结构的方法包括:
提供一栅极堆栈介电层于硅基底上,此栅极堆栈介电层包括至少一高介电常数介电质,此介电常数为10以上;
形成一多晶硅层于上述栅极堆栈介电层上;
进行微影图案化与蚀刻以形成一栅极结构;
对上述栅极结构进行至少一电浆处理,此电浆处理包括一电浆源气体是择自于氢气、氮气、氧气与氨气所组成的族群中;以及
在上述至少一电浆处理后对上述栅极结构进行退火。
14.根据权利要求13所述的处理栅极结构的方法,其中该退火步骤的温度为600℃~750℃。
15.根据权利要求14所述的处理栅极结构的方法,其中该退火步骤的气氛是择自于氢气、氮气、氧气与氨气所组成的族群中。
16.根据权利要求13所述的处理栅极结构的方法,其中该栅极堆栈介电层包括一最下方的二氧化硅层形成于硅基底上。
17.根据权利要求13所述的处理栅极结构的方法,其中该高介电常数介电质是择自于氧化钽、氧化钛、氧化铪、氧化钇、氧化镧、氧化锆与其硅酸盐及铝酸盐所组成的族群中。
18.根据权利要求13所述的处理栅极结构的方法,其中该堆栈介电层基本由一最下层的二氧化硅层与一于其上的氧化铪层所组成。
19.根据权利要求13所述的处理栅极结构的方法,其中该电浆源气体基本由氢气所组成。
20.根据权利要求13所述的处理栅极结构的方法,其中该电浆处理所进行的压力为100mTorr~5Torr。
CN2004100739255A 2003-10-01 2004-09-06 处理栅极结构的方法 Active CN1604278B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/677,158 US7303996B2 (en) 2003-10-01 2003-10-01 High-K gate dielectric stack plasma treatment to adjust threshold voltage characteristics
US10/677,158 2003-10-01

Publications (2)

Publication Number Publication Date
CN1604278A true CN1604278A (zh) 2005-04-06
CN1604278B CN1604278B (zh) 2010-04-28

Family

ID=34393669

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004100739255A Active CN1604278B (zh) 2003-10-01 2004-09-06 处理栅极结构的方法

Country Status (4)

Country Link
US (1) US7303996B2 (zh)
CN (1) CN1604278B (zh)
SG (1) SG120170A1 (zh)
TW (1) TWI252527B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100459053C (zh) * 2006-03-14 2009-02-04 中芯国际集成电路制造(上海)有限公司 半导体器件栅极结构的制造方法
CN100565837C (zh) * 2005-08-12 2009-12-02 株式会社半导体能源研究所 制造显示设备的方法
US8138076B2 (en) 2008-05-12 2012-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. MOSFETs having stacked metal gate electrodes and method
CN103632976A (zh) * 2012-08-29 2014-03-12 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
CN104701240A (zh) * 2015-03-31 2015-06-10 上海华力微电子有限公司 用于制备高k介质层的方法
CN104979176A (zh) * 2014-04-04 2015-10-14 中芯国际集成电路制造(上海)有限公司 栅极的制作方法、晶体管的制作方法
CN105826175A (zh) * 2015-01-06 2016-08-03 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
CN106711034A (zh) * 2015-08-31 2017-05-24 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060177987A1 (en) * 1997-05-09 2006-08-10 Bergman Eric J Methods for forming thin oxide layers on semiconductor wafers
US7087480B1 (en) 2002-04-18 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-k transistor dielectrics
US7074680B2 (en) * 2004-09-07 2006-07-11 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7361608B2 (en) * 2004-09-30 2008-04-22 Tokyo Electron Limited Method and system for forming a feature in a high-k layer
TWI237867B (en) * 2004-10-29 2005-08-11 Taiwan Semiconductor Mfg Method of improving to deposit dielectric
WO2006111203A1 (en) * 2005-04-21 2006-10-26 Freescale Semiconductor, Inc. METHOD OF FABRICATING A MOS DEVICE WITH NON-SiO2 GATE DIELECTRIC
US20060289948A1 (en) * 2005-06-22 2006-12-28 International Business Machines Corporation Method to control flatband/threshold voltage in high-k metal gated stacks and structures thereof
US20070056925A1 (en) * 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
US7824990B2 (en) * 2005-12-05 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-metal-oxide high-K gate dielectrics
US20080128821A1 (en) * 2006-12-04 2008-06-05 Texas Instruments Incorporated Semiconductor Device Manufactured Using Passivation of Crystal Domain Interfaces in Hybrid Orientation Technology
US20080242114A1 (en) * 2007-04-02 2008-10-02 Texas Instruments Incorporated Thermal anneal method for a high-k dielectric
US8735235B2 (en) * 2008-08-20 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
CN102655168A (zh) * 2011-03-04 2012-09-05 中国科学院微电子研究所 栅极结构及其制造方法
KR20120140112A (ko) * 2011-06-20 2012-12-28 삼성전자주식회사 반도체 장치의 제조 방법
US8987095B2 (en) 2011-08-19 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a carbon-free dielectric layer over a carbon-doped dielectric layer
KR20130047054A (ko) * 2011-10-31 2013-05-08 에스케이하이닉스 주식회사 고유전층 및 금속게이트전극을 갖는 반도체장치 및 그 제조 방법
US9112138B2 (en) * 2012-06-14 2015-08-18 Micron Technology, Inc. Methods of forming resistive memory elements
US8802527B1 (en) 2013-03-15 2014-08-12 International Business Machines Corporation Gate electrode optimized for low voltage operation
US9425279B1 (en) 2015-10-21 2016-08-23 International Business Machines Corporation Semiconductor device including high-K metal gate having reduced threshold voltage variation
TWI635539B (zh) * 2017-09-15 2018-09-11 金巨達國際股份有限公司 高介電常數介電層、其製造方法及執行該方法之多功能設備

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4457825A (en) * 1980-05-16 1984-07-03 Varian Associates, Inc. Sputter target for use in a sputter coating source
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5075641A (en) * 1990-12-04 1991-12-24 Iowa State University Research Foundation, Inc. High frequency oscillator comprising cointegrated thin film resonator and active device
US5282546A (en) * 1993-07-15 1994-02-01 Hoover Group, Inc. Composite above ground liquid storage vault
JP3119997B2 (ja) * 1994-06-21 2000-12-25 松下電子工業株式会社 半導体装置の製造方法
US6136725A (en) * 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
US6797576B1 (en) * 2000-03-31 2004-09-28 National Semiconductor Corporation Fabrication of p-channel field-effect transistor for reducing junction capacitance
KR100333375B1 (ko) * 2000-06-30 2002-04-18 박종섭 반도체 소자의 게이트 제조방법
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6458714B1 (en) * 2000-11-22 2002-10-01 Micron Technology, Inc. Method of selective oxidation in semiconductor manufacture
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6596599B1 (en) * 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
CN1209796C (zh) * 2002-01-23 2005-07-06 旺宏电子股份有限公司 以软性含氮等离子体来形成超薄栅介电层的方法
JP4099092B2 (ja) * 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
JP4643884B2 (ja) * 2002-06-27 2011-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6803275B1 (en) * 2002-12-03 2004-10-12 Fasl, Llc ONO fabrication process for reducing oxygen vacancy content in bottom oxide layer in flash memory devices
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US6740605B1 (en) * 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US7300829B2 (en) * 2003-06-02 2007-11-27 Applied Materials, Inc. Low temperature process for TFT fabrication
US20050101147A1 (en) * 2003-11-08 2005-05-12 Advanced Micro Devices, Inc. Method for integrating a high-k gate dielectric in a transistor fabrication process

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8674366B2 (en) 2005-08-12 2014-03-18 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method of display device
CN100565837C (zh) * 2005-08-12 2009-12-02 株式会社半导体能源研究所 制造显示设备的方法
US7838347B2 (en) 2005-08-12 2010-11-23 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method of display device
CN100459053C (zh) * 2006-03-14 2009-02-04 中芯国际集成电路制造(上海)有限公司 半导体器件栅极结构的制造方法
US8138076B2 (en) 2008-05-12 2012-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. MOSFETs having stacked metal gate electrodes and method
CN101582379B (zh) * 2008-05-12 2012-06-27 台湾积体电路制造股份有限公司 半导体装置、场效应晶体管及其栅极的制造方法
CN103632976A (zh) * 2012-08-29 2014-03-12 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
CN104979176A (zh) * 2014-04-04 2015-10-14 中芯国际集成电路制造(上海)有限公司 栅极的制作方法、晶体管的制作方法
CN104979176B (zh) * 2014-04-04 2019-07-30 中芯国际集成电路制造(上海)有限公司 栅极的制作方法、晶体管的制作方法
CN105826175A (zh) * 2015-01-06 2016-08-03 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
CN105826175B (zh) * 2015-01-06 2019-05-28 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
CN104701240A (zh) * 2015-03-31 2015-06-10 上海华力微电子有限公司 用于制备高k介质层的方法
CN106711034A (zh) * 2015-08-31 2017-05-24 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN106711034B (zh) * 2015-08-31 2020-05-08 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Also Published As

Publication number Publication date
TW200514152A (en) 2005-04-16
US7303996B2 (en) 2007-12-04
SG120170A1 (en) 2006-03-28
US20050074978A1 (en) 2005-04-07
CN1604278B (zh) 2010-04-28
TWI252527B (en) 2006-04-01

Similar Documents

Publication Publication Date Title
CN1604278B (zh) 处理栅极结构的方法
US7446052B2 (en) Method for forming insulation film
US6444592B1 (en) Interfacial oxidation process for high-k gate dielectric process integration
JP4334225B2 (ja) 電子デバイス材料の製造方法
CN1280906C (zh) 半导体器件结构及其制造方法
US6881657B2 (en) Semiconductor device and method for manufacturing semiconductor device
US8450161B2 (en) Method of fabricating a sealing structure for high-k metal gate
US7037816B2 (en) System and method for integration of HfO2 and RTCVD poly-silicon
US8445975B2 (en) Replacement metal gate transistors with reduced gate oxide leakage
US7109085B2 (en) Etching process to avoid polysilicon notching
US20070063294A1 (en) Semiconductor Device Having a Fully Silicided Gate Electrode and Method of Manufacture Therefor
KR20050045737A (ko) 이종의 게이트 절연막을 가지는 반도체 소자 및 그 제조방법
US6573197B2 (en) Thermally stable poly-Si/high dielectric constant material interfaces
KR20050033831A (ko) 절연체 박막의 제조 방법과 절연체 박막 및 반도체 장치의제조 방법과 반도체 장치
US7157339B2 (en) Method for fabricating semiconductor devices having dual gate oxide layers
US20070196970A1 (en) Method for manufacturing a semiconductor device using a nitrogen containing oxide layer
JP2001085427A (ja) 酸窒化膜およびその形成方法
US8163626B2 (en) Enhancing NAND flash floating gate performance
US8513080B2 (en) Reducing contamination in a process flow of forming a channel semiconductor alloy in a semiconductor device
US6809043B1 (en) Multi-stage, low deposition rate PECVD oxide
KR100400253B1 (ko) 반도체소자의 박막 트랜지스터 제조방법
US7268088B2 (en) Formation of low leakage thermally assisted radical nitrided dielectrics
US6830996B2 (en) Device performance improvement by heavily doped pre-gate and post polysilicon gate clean
US20080150028A1 (en) Zero interface polysilicon to polysilicon gate for semiconductor device
KR20120089147A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant