US20070063294A1 - Semiconductor Device Having a Fully Silicided Gate Electrode and Method of Manufacture Therefor - Google Patents

Semiconductor Device Having a Fully Silicided Gate Electrode and Method of Manufacture Therefor Download PDF

Info

Publication number
US20070063294A1
US20070063294A1 US11/556,834 US55683406A US2007063294A1 US 20070063294 A1 US20070063294 A1 US 20070063294A1 US 55683406 A US55683406 A US 55683406A US 2007063294 A1 US2007063294 A1 US 2007063294A1
Authority
US
United States
Prior art keywords
gate electrode
semiconductor device
source
silicided
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/556,834
Inventor
Haowen Bu
Jiong-Ping Lu
Shaofeng Yu
Ping Jiang
Clint Montgomery
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to US11/556,834 priority Critical patent/US20070063294A1/en
Publication of US20070063294A1 publication Critical patent/US20070063294A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the present invention is directed, in general, to a semiconductor device and, more specifically, to a semiconductor device having a fully silicided gate electrode, a method of manufacture therefor, and an integrated circuit including the same.
  • Metal gate electrodes are currently being investigated to replace polysilicon gate electrodes in today's ever shrinking and changing transistor devices.
  • One of the principle reasons the industry is investigating replacing the polysilicon gate electrodes with metal gate electrodes is in order to solve problems of poly-depletion effects and boron penetration for future CMOS devices.
  • a polysilicon gate electrode with an overlying silicide was used for the gate electrodes in CMOS devices.
  • poly depletion becomes a serious issue when using polysilicon gate electrodes.
  • metal gates have been proposed.
  • the metal gates need dual tunable work functions.
  • the metal gates need tunable work functions for NMOS and PMOS devices similar to present polysilicon gate technology, requiring the work functions of metal gates to range from 4.1 ⁇ 4.4 eV for NMOS and 4.8 ⁇ 5.1 eV for PMOS (see, B. Cheng, B. Maiti, S. Samayedam, J. Grant, B. Taylor, P. Tobin, J. Mogab, IEEE Intl. SOI Conf. Proc., pp. 91-92, 2001).
  • silicided metal gates have been investigated based on the extension of existing self-aligned silicide (SALICIDE) technology.
  • SALICIDE self-aligned silicide
  • polysilicon is deposited over the gate dielectric.
  • a metal is deposited over the polysilicon and reacted to completely consume the polysilicon resulting in a fully silicided metal gate, rather than a deposited metal gate.
  • the silicided metal gate provides a metal gate with the least perturbation to the conventional process and avoids contamination issues.
  • poly doping has been shown to affect the work function of the silicided metal gates.
  • the silicided metal gates are not without their problems.
  • One of the more significant problems associated with the silicided metal gates is attributed to the simultaneous formation of the silicided metal gate and the silicided source/drain regions.
  • the depth of the silicided source/drain regions is directly proportional to the thickness of the polysilicon gate electrode.
  • the polysilicon gate electrodes currently range in thickness from about 60 nm to about 120 nm, the silicided source/drain regions ultimately extend into the silicon substrate by up to about 60 nm to about 120 nm, respectively. Deep silicided source/drain regions are nonetheless undesireable.
  • CMP chemical mechanical polishing
  • the poly height on the active area may be different from the designed poly height.
  • the height of the poly gate after polishing may suffer significant variation. Therefore, the silicidation may be inconsistent across-wafer, and/or wafer-to-wafer due to the thickness variation in poly-gate.
  • the present invention provides a semiconductor device, a method of manufacture therefor, and a method for manufacturing an integrated circuit.
  • the semiconductor device includes a silicided gate electrode located over a substrate, the silicided gate electrode having gate sidewall spacers located on sidewalls thereof.
  • the semiconductor device further includes source/drain regions located in the substrate proximate the silicided gate electrode, and silicided source/drain regions located in the source/drain regions and at least partially under the gate sidewall spacers.
  • the present invention further includes a method for manufacturing a semiconductor device.
  • the method includes forming a protective layer over a polysilicon gate electrode located over a substrate to provide a capped polysilicon gate electrode and then forming source/drain regions in the substrate proximate the capped polysilicon gate electrode.
  • the method further includes removing the protective layer using an etchant, siliciding the polysilicon gate electrode to form a silicided gate electrode, and siliciding the source/drain regions.
  • FIG. 1 illustrates a cross-sectional view of one embodiment of a semiconductor device constructed according to the principles of the present invention
  • FIG. 2 illustrates a cross-sectional view of a partially completed semiconductor device manufactured in accordance with the principles of the present invention
  • FIG. 3 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 2 after formation of a portion of gate sidewall spacers;
  • FIG. 4 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 3 after formation of lightly doped extension implants within the substrate;
  • FIG. 5 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 4 after forming the remaining portions of the gate sidewall spacers;
  • FIG. 6 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 5 after etching the cap oxide from the top of the substrate and top of the protective layer, as well as after formation of highly doped source/drain implants within the substrate;
  • FIG. 7 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 6 after subjecting the semiconductor device to a standard source/drain anneal, thereby activating source/drain regions;
  • FIG. 8 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 7 after removing the protective layer from over the polysilicon gate electrode to form an opening;
  • FIG. 9 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 8 after converting the polysilicon gate electrode to a silicided gate electrode;
  • FIG. 10 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 9 after removing the silicide blocking layers
  • FIG. 11 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 10 after depositing a source/drain silicidation layer over the entire surface of the partially completed semiconductor device;
  • FIG. 12 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 11 after subjecting it to a second RTA process and wet etch;
  • FIG. 13 illustrates a cross-sectional view of an embodiment of the present invention wherein the method of manufacturing semiconductor devices as discussed with respect to FIGS. 2-12 is applied to fabricate a high performance stacked capacitor;
  • FIG. 14 illustrates an exemplary cross-sectional view of an integrated circuit (IC) incorporating devices constructed according to the principles of the present invention.
  • IC integrated circuit
  • the semiconductor device 100 includes a substrate 110 .
  • a well region 120 Located within the substrate 110 in the embodiment of FIG. 1 is a well region 120 . Additionally located over the substrate 110 and well region 120 is a gate structure 130 .
  • the gate structure 130 illustrated in FIG. 1 includes a gate oxide 140 located over the substrate 110 , as well as a fully silicided gate electrode 150 located over the gate oxide 140 .
  • the silicided gate electrode 150 may have a variety of thicknesses, nonetheless, a thickness ranging from about 50 nm to about 150 nm is exemplary.
  • the silicided gate electrode 150 when constructed in accordance with the principles of the present invention, may comprise a number of different materials. For instance, in the illustrative embodiment shown in FIG.
  • the silicided gate electrode 150 comprises nickel, however, it is envisioned that the silicided gate electrode 150 could comprise cobalt, platinum, titanium, tantalum, molybdenum, tungsten, etc., while staying within the scope of the present invention.
  • the silicided gate electrode 150 may also include a dopant or combination of several types of dopants therein.
  • the dopant such as boron, phosphorous, arsenic or another similar dopant based on whether the semiconductor device 100 is operating as a PMOS device or an NMOS device, is configured to tune the minimum energy required to bring an electron from the Fermi level to the vacuum level, or the so called work function.
  • the gate structure 130 further contains gate sidewall spacers 160 flanking both sides of the silicided gate electrode 150 and gate oxide 140 .
  • the gate sidewall spacers 160 in the embodiment of FIG. 1 each include a number of different layers.
  • the gate sidewall spacers 160 among other layers, each include L-shaped nitride spacers 163 and sidewall oxides 168 .
  • the L-shaped nitride spacers 163 comprise a unique nitride layer that includes carbon.
  • the carbon content may be within a wide range, however, it is believed that a carbon content ranging from about 5% to about 10% is advantageous.
  • the other layers, among other materials, could include a collection of oxides and nitrides.
  • the gate sidewall spacers 160 may comprise many different types and numbers of layers while staying consistent with the principles of the present invention.
  • the semiconductor device 100 illustrated in FIG. 1 additionally includes conventional source/drain regions 170 located within the substrate 110 and proximate the gate oxide 140 . Located within the source/drain regions 170 are silicided source/drain contact regions 180 .
  • the silicided source/drain contact regions 180 in this embodiment comprise nickel silicided source/drain contact regions 180 . Nonetheless, other silicidation materials could be used to form the silicided source/drain regions 180 and remain within the scope of the present invention.
  • the silicided source/drain contact regions 180 may have a depth into the source/drain regions 170 ranging from about 10 nm to about 30 nm, among others.
  • the silicided source/drain contact regions 180 may be located from about 2 nm to about 10 nm under the gate sidewall spacers 160 . This unique feature is due at least in part to the novel method used to form the semiconductor device 100 .
  • FIGS. 2-12 illustrated are cross-sectional views of detailed manufacturing steps instructing how one might, in an advantageous embodiment, manufacture a semiconductor device similar to the semiconductor device 100 depicted in FIG. 1 .
  • FIG. 2 illustrates a cross-sectional view of a partially completed semiconductor device 200 manufactured in accordance with the principles of the present invention.
  • the partially completed semiconductor device 200 of FIG. 2 includes a substrate 210 .
  • the substrate 210 may, in an exemplary embodiment, be any layer located in the partially completed semiconductor device 200 , including a wafer itself or a layer located above the wafer (e.g., epitaxial layer).
  • a wafer itself e.g., epitaxial layer
  • the substrate 210 is a P-type substrate; however, one skilled in the art understands that the substrate 210 could be an N-type substrate without departing from the scope of the present invention. In such a case, each of the dopant types described throughout the remainder of this document would be reversed. For clarity, no further reference to this opposite scheme will be discussed.
  • a well region 220 Located within the substrate 210 in the embodiment shown in FIG. 2 is a well region 220 .
  • the well region 220 in light of the P-type semiconductor substrate 210 , would more than likely contain an N-type dopant.
  • the well region 220 would likely be doped with an N-type dopant dose ranging from about 1E13 atoms/cm 2 to about 1E14 atoms/cm 2 and at a energy ranging from about 100 keV to about 500 keV. This results in the well region 220 having a peak dopant concentration ranging from about 5E17 atoms/cm 3 to about 1E19 atoms/cm 3 .
  • the gate structure 230 includes a gate oxide 240 and a polysilicon gate electrode 250 .
  • the gate oxide 240 may comprise a number of different materials and stay within the scope of the present invention.
  • the gate oxide 240 may comprise silicon dioxide, or in an alternative embodiment comprise a high dielectric constant (K) material.
  • the gate oxide 240 is a silicon dioxide layer having a thickness ranging from about 0.5 nm to about 5 nm.
  • the gate oxide 240 may be either grown or deposited. Additionally, the growth or deposition steps may require a significant number of different temperatures, pressures, gasses, flow rates, etc.
  • the polysilicon gate electrode 250 comprise standard polysilicon
  • the polysilicon gate electrode, or at least a portion thereof, comprises amorphous polysilicon.
  • the amorphous polysilicon embodiment may be particularly useful when a substantially planar upper surface of the polysilicon gate electrode 250 is desired.
  • the deposition conditions for the polysilicon gate electrode 250 may vary, however, if the polysilicon gate electrode 250 were to comprise standard polysilicon, such as the instance in FIG. 2 , the polysilicon gate electrode 250 could be deposited using a pressure ranging from about 100 torr to about 300 torr, a temperature ranging from about 620 ⁇ C to about 700 ⁇ C, and a SiH 4 or Si 2 H 6 gas flow ranging from about 50 sccm to about 150 sccm.
  • the amorphous polysilicon gate electrode could be deposited using a pressure ranging from about 100 torr to about 300 torr, a temperature ranging from about 450 ⁇ C to about 550 ⁇ C, and a SiH 4 or Si 2 H 6 gas flow ranging from about 100 sccm to about 300 sccm.
  • the polysilicon gate electrode 250 desirably has a thickness ranging from about 50 nm to about 150 nm.
  • the partially completed semiconductor device 200 illustrated in FIG. 2 further includes a protective layer 260 located over the polysilicon gate electrode 250 , resulting in a capped polysilicon gate electrode.
  • the protective layer 260 which may comprise silicon nitride among other materials, may have a thickness ranging from about 5 nm to about 50 nm. It is desirable for the protective layer 260 to have a thickness small enough to allow a dopant to easily penetrate therethrough, however, large enough to provide the sufficient amount of protection to the polysilicon gate electrode 250 .
  • a silicon dioxide layer 270 is located over the protective layer 260 .
  • the optional silicon dioxide layer 270 is designed to help pattern the gate oxide 240 , polysilicon gate electrode 250 and protective layer 260 .
  • the gate oxide 240 , polysilicon gate electrode 250 , protective layer 260 and optional silicon dioxide layer 270 were originally blanket deposited. Those blanket layers were subsequently patterned resulting in the gate oxide 240 , polysilicon gate electrode 250 , protective layer 260 and optional silicon dioxide layer 270 illustrated in FIG. 2 .
  • the polysilicon gate electrode 250 and protective layer 260 are formed using a chemical vapor deposition (CVD) technique.
  • CVD chemical vapor deposition
  • FIG. 3 illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 2 after formation of portions of gate sidewall spacers 310 .
  • the portions of the gate sidewall spacers 310 shown in FIG. 3 include an oxide layer 320 and an offset nitride spacer 330 .
  • the oxide layer 320 is formed at least partially using a deposition process. In an exemplary process the oxide layer 320 is initially formed using a first deposition process, and then finished using a second oxidation process. The first deposition process allows the oxide layer 320 to form on the top and sidewalls of the protective layer 260 . In an alternative embodiment the entire oxide layer 320 is deposited.
  • the offset nitride spacer 330 may comprise a standard silicon nitride spacer or a silicon nitride layer having carbon therein. If the offset nitride spacer 330 were to contain the carbon, the carbon might form from about 5% to about 10% of the layer. While the oxide layer 320 and the offset nitride spacer 330 are shown located only along the sides of the gate structure 230 , those skilled in the art are aware that the layers were previously blanket formed and subsequently anisotropically etched to form the oxide layer 320 and the offset nitride spacer 330 .
  • FIG. 4 illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 3 after formation of lightly doped extension implants 410 within the substrate 210 .
  • the lightly doped extension implants 410 are conventionally formed and generally have a peak dopant concentration ranging from about 1E19 atoms/cm 3 to about 2E20 atoms/cm 3 .
  • the lightly doped extension implants 410 have a dopant type opposite to that of the well region 220 they are located within. Accordingly, the lightly doped extension implants 410 are doped with a P-type dopant in the illustrative embodiment shown in FIG. 4 .
  • FIG. 5 illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 4 after forming the remaining portions of the gate sidewall spacers 310 .
  • a cap oxide 510 , L-shaped nitride spacers 520 and sidewall oxides 530 complete the gate sidewall spacers 310 .
  • the cap oxide 510 among other purposes, has the job of preventing the L-shaped nitride spacers 520 from directly contacting the substrate 210 . Most likely, the cap oxide 510 will be deposited over the partially completed semiconductor device 200 using a process similar to that used to form the oxide layer 320 .
  • the L-shaped nitride spacers 520 may comprise any type of nitride, however, in an exemplary embodiment the L-shaped nitride spacers 520 comprise a nitride material that includes carbon. The carbon content, which may range from about 5% to about 10% of the L-shaped nitride spacers 520 , is included within the L-shaped nitride spacers 520 to change the rate at which they etch. In the embodiment where the L-shaped nitride spacers 520 include carbon, the L-shaped nitride spacers 520 may be deposited using bis t-butylaminosilane (BTBAS) and ammonia (NH 3 ) precursors in a CVD reactor.
  • BBAS bis t-butylaminosilane
  • NH 3 ammonia
  • the carbon causes the L-shaped nitride spacers 520 to etch at a slower rate than a traditional nitride layer.
  • the carbon after having been annealed using a temperature ranging from about 1000 ⁇ C to about 1100 ⁇ C, the carbon causes the L-shaped nitride spacers 520 to have an etch selectivity of about 50:1 when compared to the traditional nitride layer.
  • the sidewall oxides 530 that are located over the L-shaped nitride spacers 520 are conventional. In the given embodiment of FIG. 5 , the sidewall oxides 530 were blanket deposited and then subjected to an anisotropic etch. The resulting sidewall oxides 530 complete the gate sidewall spacers 310 illustrated in the embodiment of FIG. 5 .
  • FIG. 6 illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 5 after etching the cap oxide 510 from the top of the substrate 210 and top of the protective layer 260 , as well as after formation of highly doped source/drain implants 610 within the substrate 210 .
  • etching the cap oxide 510 from the top of the substrate 210 and top of the protective layer 260 as well as after formation of highly doped source/drain implants 610 within the substrate 210 .
  • highly doped source/drain implants 610 within the substrate 210 .
  • the formation of the highly doped source/drain implants 610 is also conventional. Generally the highly doped source/drain implants 610 have a peak dopant concentration ranging from about 1E18 atoms/cm 3 to about 1E21 atoms/cm 3 . Also, the highly doped source/drain implants 610 should typically have a dopant type opposite to that of the well region 220 they are located within. Accordingly, in the illustrative embodiment shown in FIG. 6 , the highly doped source/drain implants 610 are doped with a P-type dopant.
  • FIG. 7 illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 6 after subjecting the semiconductor device 200 to a standard source/drain anneal, thereby activating source/drain regions 710 . It is believed that a source/drain anneal conducted at a temperature ranging from about 1000 ⁇ C to about 1100 ⁇ C and a time period ranging from about 1 second to about 5 seconds would be sufficient. It should be noted that other temperatures, times, and processes could be used to activate the source/drain regions 710 .
  • silicide blocking layers 720 are formed over the exposed portions of the source/drain regions 710 .
  • the silicide blocking layers 720 are designed to protect the source/drain regions 710 from contacting a silicidation layer used in subsequent steps.
  • the silicide blocking layers 720 in an exemplary embodiment, have a thickness ranging from about 2 nm to about 10 nm. Nevertheless, many thicknesses outside of the prescribed range are within the scope of the present invention.
  • a number of different manufacturing techniques could be used to manufacture the silicide blocking layers 720 . While both techniques include one form or another of a dry oxidation, one technique is a high temperature oxidation and the other is a low temperature oxidation (e.g., a plasma oxidation process). As one would expect, each technique has its benefits and drawbacks. For instance, the low temperature oxidation technique may be conducted at a temperature ranging from about 200 ⁇ C to about 600 ⁇ C and has the benefit of not changing the doping profile of the source/drain regions 710 . Unfortunately, the low temperature oxidation technique often involves oxygen radicals or energetic ions that form a thin oxide layer on the protective layer 260 .
  • the thickness of the oxide grown on the protective layer 260 should be less than the thickness grown on the source/drain regions 710 , it must nonetheless subsequently be removed. At the same time a portion of the silicide blocking layer 720 must remain on the source/drain regions 710 .
  • the higher temperature oxidation technique may be conducted with a rapid thermal oxidation (RTO) technique at a temperature ranging from about 900 ⁇ C to about 1000 ⁇ C and has the benefit of not forming the thin layer of oxide on the protective layer 260 .
  • RTO rapid thermal oxidation
  • the higher temperature oxidation technique causes the doping profiles of the source/drain regions 710 to move. This can be accommodated in the transistor design, but it must be addressed at some point. Nonetheless, while the low and high oxidation techniques each have specific but different benefits, it is currently believed that the low temperature oxidation technique provides better results.
  • FIG. 8 illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 7 after removing the protective layer 260 from over the polysilicon gate electrode 250 to form an opening 810 .
  • the etchant used to remove the protective layer 260 does not substantially affect other structures or films. More specifically, the hot phosphoric acid etchant used to remove the protective layer 260 does not affect the L-shaped nitride spacer 520 and silicide blocking layer 720 . Even though the protective layer 260 and the L-shaped nitride spacer 520 both comprise silicon nitride, the carbon content of the L-shaped nitride spacer 520 provides substantial protection from the etchant.
  • FIG. 9 illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 8 after converting the polysilicon gate electrode 250 to a silicided gate electrode 910 .
  • a blanket layer of nickel may be formed over the polysilicon gate electrode 250 , the blanket layer of nickel being the silicidizing agent for the polysilicon gate electrode 250 .
  • the blanket layer of nickel and the polysilicon gate electrode 250 may then be subjected to a first rapid thermal anneal (RTA).
  • RTA rapid thermal anneal
  • the first RTA is designed to convert the polysilicon gate electrode 250 to the silicided gate electrode 910 .
  • the annealing temperature depends on the silicide metal being used. For example, it is believed that the first RTA may be conducted at a temperature ranging from about 400 ⁇ C to about 600 ⁇ C and a time period ranging from about 10 second to about 100 seconds to accomplish the silicidation when nickel is used. It should be noted that other temperatures, times, and processes could be used.
  • the blanket layer of nickel fully silicidizes the polysilicon gate electrode 250 .
  • the thickness of the blanket layer of nickel should be at least 56% of the thickness of the polysilicon gate electrode 250 .
  • the thickness of the layer of nickel should be at least 60% of the thickness of the polysilicon gate electrode 250 .
  • the thickness of the blanket layer of nickel should range from approximately 30 nm to about 90 nm.
  • the blanket layer of silicidation material may comprise a number of different metals or combinations of metals while staying within the scope of the present invention.
  • any remaining unreacted metal materials which happens to be nickel in this embodiment, may be removed.
  • the silicide does not form on the source/drain region 710 at this time because of the silicide blocking layer 720 .
  • FIG. 10 illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 9 after removing the silicide blocking layers 720 .
  • a conventional oxide etch could be used to remove these portions.
  • the conventional oxide etch etches into the substrate 210 .
  • the conventional oxide etch also etches under the gate sidewall spacers 310 .
  • FIG. 11 illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 10 after depositing a source/drain silicidation layer 1110 over the entire surface of the partially completed semiconductor device 200 .
  • the source/drain silicidation layer 1110 in the embodiment shown in FIG. 11 happens to be a thin nickel layer, however, other materials that react with silicon to form a silicide could easily be used.
  • the source/drain silicidation layer 1110 of FIG. 11 was conventionally deposited to a thickness ranging from about 4 nm to about 20 nm.
  • FIG. 12 illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 11 after subjecting it to a second RTA process.
  • This second RTA process attempts to cause the source/drain silicidation layer 1110 to react with the silicon of the source/drain regions 710 to form silicided source/drain contact regions 1210 .
  • the second RTA process causes the nickel to react with the silicon to form a nickel silicide.
  • the second RTA process may be conducted using a variety of different temperatures and times. Nonetheless, it is believed that the second RTA process, in an exemplary embodiment, should be conducted in a rapid thermal processing tool at a temperature ranging from about 400 ⁇ C to about 600 ⁇ C for a time period ranging from about 5 seconds to about 60 seconds.
  • the specific temperature and time period are typically based, however, on the ability to form the silicided source/drain contact regions 1210 to a desired depth, as well as the silicide materials selected.
  • the partially completed semiconductor device 200 is subjected to a selective removal process.
  • the device could be subjected to an etch recipe consisting of sulfuric acid (H 2 SO 4 ), hydrogen peroxide (H 2 O 2 ) and water (H 2 O).
  • This specific etch recipe has a high degree of selectivity and could easily remove any remaining portions of the source/drain silicidation layer 1110 .
  • the manufacture of the partially completed semiconductor device 200 would continue in a conventional manner, optimally resulting in a device similar to the semiconductor device 100 illustrated in FIG. 1 .
  • a selective thin epitaxial silicon layer may be deposited just prior to the formation of the silicide blocking layers 720 .
  • One of the purposes of this step is to provide a sacrificial silicon layer to compensate the silicon consumed during the formation of the silicide blocking layers 720 . This, in effect, would minimize the silicon recess shown in FIG. 10 .
  • Other variations may also exist.
  • the method of manufacturing the semiconductor device as discussed with respect to FIGS. 2-12 provides many benefits over the prior art methods. First, and possibly most important, it separates the formation of the silicided gate electrode 910 and silicided source/drain contact regions 1210 into different steps. Advantageously, this allows the depth of the silicided source/drain contact regions 1210 to be independent of the thickness of the silicided gate electrode 910 .
  • the decoupling of the two steps is achieved by taking advantage of the chemical selectivity of thin films to certain etch chemistries. Accordingly, CMP is not needed as it is in the prior art methods capable of decoupling the steps. As such, the method of the present invention is applicable to devices having different step heights, whether by design or process variation. The CMP technique of the prior art is not capable of accepting such variations in step heights.
  • FIG. 13 illustrated is a cross-sectional view of an embodiment of the present invention wherein the method of manufacturing semiconductor devices as discussed with respect to FIGS. 2-12 is applied to fabricate a high performance stacked capacitor 1310 .
  • the first electrode (or the bottom electrode) of the capacitor 1310 can be fully silicided.
  • the insulator over the first electrode and the second electrode can be formed using conventional methods. Due to the elimination of the poly depletion at the interface between the first electrode and gate oxide by full silicidation, the performance of the stacked capacitors is enhanced. More details pertaining to the stacked capacitor may be obtained from U.S. patent application Ser. No.
  • the IC 1400 may include devices, such as transistors used to form CMOS devices, BiCMOS devices, Bipolar devices, as well as capacitors or other types of devices.
  • the IC 1400 may further include passive devices, such as inductors or resistors, or it may also include optical devices or optoelectronic devices. Those skilled in the art are familiar with these various types of devices and their manufacture.
  • the IC 1400 includes the devices 1410 having dielectric layers 1420 located thereover. Additionally, interconnect structures 1430 are located within the dielectric layers 1420 to interconnect various devices, thus, forming the operational integrated circuit 1400 .

Abstract

The present invention provides a semiconductor device, a method of manufacture therefor, and a method for manufacturing an integrated circuit. The semiconductor device (100), among other possible elements, includes a silicided gate electrode (150) located over a substrate (110), the silicided gate electrode (150) having gate sidewall spacers (160) located on sidewalls thereof. The semiconductor device (100) further includes source/drain regions (170) located in the substrate (110) proximate the silicided gate electrode (150), and silicided source/drain regions (180) located in the source/drain regions (170) and at least partially under the gate sidewall spacers (160).

Description

  • This application is a divisional of application Ser. No. 10/808,168, filed Mar. 24, 2004.
  • TECHNICAL FIELD OF THE INVENTION
  • The present invention is directed, in general, to a semiconductor device and, more specifically, to a semiconductor device having a fully silicided gate electrode, a method of manufacture therefor, and an integrated circuit including the same.
  • BACKGROUND OF THE INVENTION
  • Metal gate electrodes are currently being investigated to replace polysilicon gate electrodes in today's ever shrinking and changing transistor devices. One of the principle reasons the industry is investigating replacing the polysilicon gate electrodes with metal gate electrodes is in order to solve problems of poly-depletion effects and boron penetration for future CMOS devices. Traditionally, a polysilicon gate electrode with an overlying silicide was used for the gate electrodes in CMOS devices. However, as device feature size continues to shrink, poly depletion becomes a serious issue when using polysilicon gate electrodes.
  • Accordingly, metal gates have been proposed. However, in order to optimize the threshold voltage (Vt) in CMOS devices, the metal gates need dual tunable work functions. For instance, the metal gates need tunable work functions for NMOS and PMOS devices similar to present polysilicon gate technology, requiring the work functions of metal gates to range from 4.1˜4.4 eV for NMOS and 4.8˜5.1 eV for PMOS (see, B. Cheng, B. Maiti, S. Samayedam, J. Grant, B. Taylor, P. Tobin, J. Mogab, IEEE Intl. SOI Conf. Proc., pp. 91-92, 2001).
  • Recently, silicided metal gates have been investigated based on the extension of existing self-aligned silicide (SALICIDE) technology. In this approach, polysilicon is deposited over the gate dielectric. A metal is deposited over the polysilicon and reacted to completely consume the polysilicon resulting in a fully silicided metal gate, rather than a deposited metal gate. The silicided metal gate provides a metal gate with the least perturbation to the conventional process and avoids contamination issues. Furthermore, poly doping has been shown to affect the work function of the silicided metal gates.
  • The silicided metal gates are not without their problems. One of the more significant problems associated with the silicided metal gates is attributed to the simultaneous formation of the silicided metal gate and the silicided source/drain regions. When formed simultaneously, the depth of the silicided source/drain regions is directly proportional to the thickness of the polysilicon gate electrode. As the polysilicon gate electrodes currently range in thickness from about 60 nm to about 120 nm, the silicided source/drain regions ultimately extend into the silicon substrate by up to about 60 nm to about 120 nm, respectively. Deep silicided source/drain regions are nonetheless undesireable.
  • Various companies in the industry have attempted to separate the silicidation of the polysilicon gate and the silicidation of the source/drain regions. Those companies employ chemical mechanical polishing (CMP) technology to separate the steps. In such integration schemes, the gate electrode is masked by a silicon oxide layer and a silicide is then formed on the source/drain regions. Next, a blanket dielectric layer is deposited over the gate stack and silicided source/drain regions. The CMP process is then employed to expose the gate electrode for silicidation, while the source/drain regions are covered by the protective dielectric layer. The main drawback of this approach originates from the across-wafer non-uniformity inherently associated with polishing (such as dishing, etc.). In addition, the poly height on the active area may be different from the designed poly height. As a result, the height of the poly gate after polishing may suffer significant variation. Therefore, the silicidation may be inconsistent across-wafer, and/or wafer-to-wafer due to the thickness variation in poly-gate.
  • Accordingly, what is needed is a method for manufacturing silicided metal gate structures separate from the silicided source/drain regions that does not experience the drawbacks of the prior art methods.
  • SUMMARY OF THE INVENTION
  • To address the above-discussed deficiencies of the prior art, the present invention provides a semiconductor device, a method of manufacture therefor, and a method for manufacturing an integrated circuit. The semiconductor device, among other possible elements, includes a silicided gate electrode located over a substrate, the silicided gate electrode having gate sidewall spacers located on sidewalls thereof. The semiconductor device further includes source/drain regions located in the substrate proximate the silicided gate electrode, and silicided source/drain regions located in the source/drain regions and at least partially under the gate sidewall spacers.
  • The present invention further includes a method for manufacturing a semiconductor device. The method includes forming a protective layer over a polysilicon gate electrode located over a substrate to provide a capped polysilicon gate electrode and then forming source/drain regions in the substrate proximate the capped polysilicon gate electrode. The method further includes removing the protective layer using an etchant, siliciding the polysilicon gate electrode to form a silicided gate electrode, and siliciding the source/drain regions.
  • The foregoing has outlined preferred and alternative features of the present invention so that those skilled in the art may better understand the detailed description of the invention that follows. Additional features of the invention will be described hereinafter that form the subject of the claims of the invention. Those skilled in the art should appreciate that they can readily use the disclosed conception and specific embodiment as a basis for designing or modifying other structures for carrying out the same purposes of the present invention. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention is best understood from the following detailed description when read with the accompanying FIGUREs. It is emphasized that in accordance with the standard practice in the semiconductor industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion. Reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 illustrates a cross-sectional view of one embodiment of a semiconductor device constructed according to the principles of the present invention;
  • FIG. 2 illustrates a cross-sectional view of a partially completed semiconductor device manufactured in accordance with the principles of the present invention;
  • FIG. 3 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 2 after formation of a portion of gate sidewall spacers;
  • FIG. 4 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 3 after formation of lightly doped extension implants within the substrate;
  • FIG. 5 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 4 after forming the remaining portions of the gate sidewall spacers;
  • FIG. 6 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 5 after etching the cap oxide from the top of the substrate and top of the protective layer, as well as after formation of highly doped source/drain implants within the substrate;
  • FIG. 7 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 6 after subjecting the semiconductor device to a standard source/drain anneal, thereby activating source/drain regions;
  • FIG. 8 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 7 after removing the protective layer from over the polysilicon gate electrode to form an opening;
  • FIG. 9 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 8 after converting the polysilicon gate electrode to a silicided gate electrode;
  • FIG. 10 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 9 after removing the silicide blocking layers;
  • FIG. 11 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 10 after depositing a source/drain silicidation layer over the entire surface of the partially completed semiconductor device;
  • FIG. 12 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 11 after subjecting it to a second RTA process and wet etch;
  • FIG. 13 illustrates a cross-sectional view of an embodiment of the present invention wherein the method of manufacturing semiconductor devices as discussed with respect to FIGS. 2-12 is applied to fabricate a high performance stacked capacitor; and
  • FIG. 14 illustrates an exemplary cross-sectional view of an integrated circuit (IC) incorporating devices constructed according to the principles of the present invention.
  • DETAILED DESCRIPTION
  • Referring initially to FIG. 1, illustrated is a cross-sectional view of one embodiment of a semiconductor device 100 constructed according to the principles of the present invention. In the embodiment illustrated in FIG. 1, the semiconductor device 100 includes a substrate 110. Located within the substrate 110 in the embodiment of FIG. 1 is a well region 120. Additionally located over the substrate 110 and well region 120 is a gate structure 130.
  • The gate structure 130 illustrated in FIG. 1 includes a gate oxide 140 located over the substrate 110, as well as a fully silicided gate electrode 150 located over the gate oxide 140. The silicided gate electrode 150 may have a variety of thicknesses, nonetheless, a thickness ranging from about 50 nm to about 150 nm is exemplary. The silicided gate electrode 150, when constructed in accordance with the principles of the present invention, may comprise a number of different materials. For instance, in the illustrative embodiment shown in FIG. 1 the silicided gate electrode 150 comprises nickel, however, it is envisioned that the silicided gate electrode 150 could comprise cobalt, platinum, titanium, tantalum, molybdenum, tungsten, etc., while staying within the scope of the present invention.
  • The silicided gate electrode 150 may also include a dopant or combination of several types of dopants therein. The dopant, such as boron, phosphorous, arsenic or another similar dopant based on whether the semiconductor device 100 is operating as a PMOS device or an NMOS device, is configured to tune the minimum energy required to bring an electron from the Fermi level to the vacuum level, or the so called work function.
  • The gate structure 130 further contains gate sidewall spacers 160 flanking both sides of the silicided gate electrode 150 and gate oxide 140. The gate sidewall spacers 160 in the embodiment of FIG. 1 each include a number of different layers. For instance the gate sidewall spacers 160, among other layers, each include L-shaped nitride spacers 163 and sidewall oxides 168. In this particular embodiment the L-shaped nitride spacers 163 comprise a unique nitride layer that includes carbon. The carbon content may be within a wide range, however, it is believed that a carbon content ranging from about 5% to about 10% is advantageous. The other layers, among other materials, could include a collection of oxides and nitrides. The gate sidewall spacers 160 may comprise many different types and numbers of layers while staying consistent with the principles of the present invention.
  • The semiconductor device 100 illustrated in FIG. 1 additionally includes conventional source/drain regions 170 located within the substrate 110 and proximate the gate oxide 140. Located within the source/drain regions 170 are silicided source/drain contact regions 180. The silicided source/drain contact regions 180 in this embodiment comprise nickel silicided source/drain contact regions 180. Nonetheless, other silicidation materials could be used to form the silicided source/drain regions 180 and remain within the scope of the present invention. The silicided source/drain contact regions 180 may have a depth into the source/drain regions 170 ranging from about 10 nm to about 30 nm, among others. Additionally, unique to the present invention, the silicided source/drain contact regions 180 may be located from about 2 nm to about 10 nm under the gate sidewall spacers 160. This unique feature is due at least in part to the novel method used to form the semiconductor device 100.
  • Turning now to FIGS. 2-12, illustrated are cross-sectional views of detailed manufacturing steps instructing how one might, in an advantageous embodiment, manufacture a semiconductor device similar to the semiconductor device 100 depicted in FIG. 1. FIG. 2 illustrates a cross-sectional view of a partially completed semiconductor device 200 manufactured in accordance with the principles of the present invention. The partially completed semiconductor device 200 of FIG. 2 includes a substrate 210. The substrate 210 may, in an exemplary embodiment, be any layer located in the partially completed semiconductor device 200, including a wafer itself or a layer located above the wafer (e.g., epitaxial layer). In the embodiment illustrated in FIG. 2, the substrate 210 is a P-type substrate; however, one skilled in the art understands that the substrate 210 could be an N-type substrate without departing from the scope of the present invention. In such a case, each of the dopant types described throughout the remainder of this document would be reversed. For clarity, no further reference to this opposite scheme will be discussed.
  • Located within the substrate 210 in the embodiment shown in FIG. 2 is a well region 220. The well region 220, in light of the P-type semiconductor substrate 210, would more than likely contain an N-type dopant. For example, the well region 220 would likely be doped with an N-type dopant dose ranging from about 1E13 atoms/cm2 to about 1E14 atoms/cm2 and at a energy ranging from about 100 keV to about 500 keV. This results in the well region 220 having a peak dopant concentration ranging from about 5E17 atoms/cm3 to about 1E19 atoms/cm3.
  • Located over the substrate 210 in the embodiment of FIG. 2 is a gate structure 230. The gate structure 230 includes a gate oxide 240 and a polysilicon gate electrode 250. The gate oxide 240 may comprise a number of different materials and stay within the scope of the present invention. For example, the gate oxide 240 may comprise silicon dioxide, or in an alternative embodiment comprise a high dielectric constant (K) material. In the illustrative embodiment of FIG. 2, however, the gate oxide 240 is a silicon dioxide layer having a thickness ranging from about 0.5 nm to about 5 nm.
  • Any one of a plurality of manufacturing techniques could be used to form the gate oxide 240. For example, the gate oxide 240 may be either grown or deposited. Additionally, the growth or deposition steps may require a significant number of different temperatures, pressures, gasses, flow rates, etc.
  • While the advantageous embodiment of FIG. 2 dictates that the polysilicon gate electrode 250 comprise standard polysilicon, other embodiments exist where the polysilicon gate electrode, or at least a portion thereof, comprises amorphous polysilicon. The amorphous polysilicon embodiment may be particularly useful when a substantially planar upper surface of the polysilicon gate electrode 250 is desired.
  • The deposition conditions for the polysilicon gate electrode 250 may vary, however, if the polysilicon gate electrode 250 were to comprise standard polysilicon, such as the instance in FIG. 2, the polysilicon gate electrode 250 could be deposited using a pressure ranging from about 100 torr to about 300 torr, a temperature ranging from about 620□C to about 700□C, and a SiH4 or Si2H6 gas flow ranging from about 50 sccm to about 150 sccm. If, however, amorphous polysilicon were desired, the amorphous polysilicon gate electrode could be deposited using a pressure ranging from about 100 torr to about 300 torr, a temperature ranging from about 450□C to about 550□C, and a SiH4 or Si2H6 gas flow ranging from about 100 sccm to about 300 sccm. In any instance, the polysilicon gate electrode 250 desirably has a thickness ranging from about 50 nm to about 150 nm.
  • The partially completed semiconductor device 200 illustrated in FIG. 2 further includes a protective layer 260 located over the polysilicon gate electrode 250, resulting in a capped polysilicon gate electrode. The protective layer 260, which may comprise silicon nitride among other materials, may have a thickness ranging from about 5 nm to about 50 nm. It is desirable for the protective layer 260 to have a thickness small enough to allow a dopant to easily penetrate therethrough, however, large enough to provide the sufficient amount of protection to the polysilicon gate electrode 250.
  • Optionally located over the protective layer 260 is a silicon dioxide layer 270. The optional silicon dioxide layer 270 is designed to help pattern the gate oxide 240, polysilicon gate electrode 250 and protective layer 260. With that said, those skilled in the art understand that the gate oxide 240, polysilicon gate electrode 250, protective layer 260 and optional silicon dioxide layer 270 were originally blanket deposited. Those blanket layers were subsequently patterned resulting in the gate oxide 240, polysilicon gate electrode 250, protective layer 260 and optional silicon dioxide layer 270 illustrated in FIG. 2. In one embodiment, the polysilicon gate electrode 250 and protective layer 260 are formed using a chemical vapor deposition (CVD) technique.
  • Turning briefly to FIG. 3 illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 2 after formation of portions of gate sidewall spacers 310. The portions of the gate sidewall spacers 310 shown in FIG. 3 include an oxide layer 320 and an offset nitride spacer 330. The oxide layer 320, as compared to similar layers used in the prior art, is formed at least partially using a deposition process. In an exemplary process the oxide layer 320 is initially formed using a first deposition process, and then finished using a second oxidation process. The first deposition process allows the oxide layer 320 to form on the top and sidewalls of the protective layer 260. In an alternative embodiment the entire oxide layer 320 is deposited.
  • The offset nitride spacer 330 may comprise a standard silicon nitride spacer or a silicon nitride layer having carbon therein. If the offset nitride spacer 330 were to contain the carbon, the carbon might form from about 5% to about 10% of the layer. While the oxide layer 320 and the offset nitride spacer 330 are shown located only along the sides of the gate structure 230, those skilled in the art are aware that the layers were previously blanket formed and subsequently anisotropically etched to form the oxide layer 320 and the offset nitride spacer 330.
  • Turning now to FIG. 4, illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 3 after formation of lightly doped extension implants 410 within the substrate 210. The lightly doped extension implants 410 are conventionally formed and generally have a peak dopant concentration ranging from about 1E19 atoms/cm3 to about 2E20 atoms/cm3. As is standard in the industry, the lightly doped extension implants 410 have a dopant type opposite to that of the well region 220 they are located within. Accordingly, the lightly doped extension implants 410 are doped with a P-type dopant in the illustrative embodiment shown in FIG. 4.
  • Turning now to FIG. 5, illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 4 after forming the remaining portions of the gate sidewall spacers 310. Particularly, a cap oxide 510, L-shaped nitride spacers 520 and sidewall oxides 530 complete the gate sidewall spacers 310. The cap oxide 510, among other purposes, has the job of preventing the L-shaped nitride spacers 520 from directly contacting the substrate 210. Most likely, the cap oxide 510 will be deposited over the partially completed semiconductor device 200 using a process similar to that used to form the oxide layer 320.
  • The L-shaped nitride spacers 520 may comprise any type of nitride, however, in an exemplary embodiment the L-shaped nitride spacers 520 comprise a nitride material that includes carbon. The carbon content, which may range from about 5% to about 10% of the L-shaped nitride spacers 520, is included within the L-shaped nitride spacers 520 to change the rate at which they etch. In the embodiment where the L-shaped nitride spacers 520 include carbon, the L-shaped nitride spacers 520 may be deposited using bis t-butylaminosilane (BTBAS) and ammonia (NH3) precursors in a CVD reactor. Advantageously, the carbon causes the L-shaped nitride spacers 520 to etch at a slower rate than a traditional nitride layer. In an exemplary situation, after having been annealed using a temperature ranging from about 1000□C to about 1100□C, the carbon causes the L-shaped nitride spacers 520 to have an etch selectivity of about 50:1 when compared to the traditional nitride layer.
  • The sidewall oxides 530 that are located over the L-shaped nitride spacers 520 are conventional. In the given embodiment of FIG. 5, the sidewall oxides 530 were blanket deposited and then subjected to an anisotropic etch. The resulting sidewall oxides 530 complete the gate sidewall spacers 310 illustrated in the embodiment of FIG. 5.
  • Turning now to FIG. 6, illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 5 after etching the cap oxide 510 from the top of the substrate 210 and top of the protective layer 260, as well as after formation of highly doped source/drain implants 610 within the substrate 210. Those skilled in the art understand the conventional processes that could be used to etch the cap oxide 510.
  • The formation of the highly doped source/drain implants 610 is also conventional. Generally the highly doped source/drain implants 610 have a peak dopant concentration ranging from about 1E18 atoms/cm3 to about 1E21 atoms/cm3. Also, the highly doped source/drain implants 610 should typically have a dopant type opposite to that of the well region 220 they are located within. Accordingly, in the illustrative embodiment shown in FIG. 6, the highly doped source/drain implants 610 are doped with a P-type dopant.
  • Turning now to FIG. 7, illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 6 after subjecting the semiconductor device 200 to a standard source/drain anneal, thereby activating source/drain regions 710. It is believed that a source/drain anneal conducted at a temperature ranging from about 1000□C to about 1100□C and a time period ranging from about 1 second to about 5 seconds would be sufficient. It should be noted that other temperatures, times, and processes could be used to activate the source/drain regions 710.
  • Additionally illustrated in FIG. 7 is the formation of silicide blocking layers 720 over the exposed portions of the source/drain regions 710. The silicide blocking layers 720 are designed to protect the source/drain regions 710 from contacting a silicidation layer used in subsequent steps. The silicide blocking layers 720, in an exemplary embodiment, have a thickness ranging from about 2 nm to about 10 nm. Nevertheless, many thicknesses outside of the prescribed range are within the scope of the present invention.
  • A number of different manufacturing techniques could be used to manufacture the silicide blocking layers 720. While both techniques include one form or another of a dry oxidation, one technique is a high temperature oxidation and the other is a low temperature oxidation (e.g., a plasma oxidation process). As one would expect, each technique has its benefits and drawbacks. For instance, the low temperature oxidation technique may be conducted at a temperature ranging from about 200□C to about 600□C and has the benefit of not changing the doping profile of the source/drain regions 710. Unfortunately, the low temperature oxidation technique often involves oxygen radicals or energetic ions that form a thin oxide layer on the protective layer 260. Although the thickness of the oxide grown on the protective layer 260 should be less than the thickness grown on the source/drain regions 710, it must nonetheless subsequently be removed. At the same time a portion of the silicide blocking layer 720 must remain on the source/drain regions 710.
  • Alternatively, the higher temperature oxidation technique may be conducted with a rapid thermal oxidation (RTO) technique at a temperature ranging from about 900□C to about 1000□C and has the benefit of not forming the thin layer of oxide on the protective layer 260. Unfortunately, the higher temperature oxidation technique causes the doping profiles of the source/drain regions 710 to move. This can be accommodated in the transistor design, but it must be addressed at some point. Nonetheless, while the low and high oxidation techniques each have specific but different benefits, it is currently believed that the low temperature oxidation technique provides better results.
  • Turning now to FIG. 8, illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 7 after removing the protective layer 260 from over the polysilicon gate electrode 250 to form an opening 810. Unique to the present invention, the etchant used to remove the protective layer 260 does not substantially affect other structures or films. More specifically, the hot phosphoric acid etchant used to remove the protective layer 260 does not affect the L-shaped nitride spacer 520 and silicide blocking layer 720. Even though the protective layer 260 and the L-shaped nitride spacer 520 both comprise silicon nitride, the carbon content of the L-shaped nitride spacer 520 provides substantial protection from the etchant.
  • Turning now to FIG. 9, illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 8 after converting the polysilicon gate electrode 250 to a silicided gate electrode 910. Those skilled in the art understand the steps that might occur to form the silicided gate electrode 910. For instance, a blanket layer of nickel may be formed over the polysilicon gate electrode 250, the blanket layer of nickel being the silicidizing agent for the polysilicon gate electrode 250. The blanket layer of nickel and the polysilicon gate electrode 250 may then be subjected to a first rapid thermal anneal (RTA).
  • The first RTA is designed to convert the polysilicon gate electrode 250 to the silicided gate electrode 910. The annealing temperature depends on the silicide metal being used. For example, it is believed that the first RTA may be conducted at a temperature ranging from about 400□C to about 600□C and a time period ranging from about 10 second to about 100 seconds to accomplish the silicidation when nickel is used. It should be noted that other temperatures, times, and processes could be used.
  • In a preferred embodiment, the blanket layer of nickel fully silicidizes the polysilicon gate electrode 250. As it takes approximately 1 nm of nickel to fully silicidize approximately 1.8 nm of polysilicon, the thickness of the blanket layer of nickel should be at least 56% of the thickness of the polysilicon gate electrode 250. To be comfortable, however, it is suggested that the thickness of the layer of nickel should be at least 60% of the thickness of the polysilicon gate electrode 250. Thus, where the thickness of the polysilicon gate electrode 250 ranges from about 50 nm to about 150 nm, as described above, the thickness of the blanket layer of nickel should range from approximately 30 nm to about 90 nm. It should also be noted that the blanket layer of silicidation material may comprise a number of different metals or combinations of metals while staying within the scope of the present invention. After fully silicidizing the polysilicon gate electrode 250, any remaining unreacted metal materials, which happens to be nickel in this embodiment, may be removed. The silicide does not form on the source/drain region 710 at this time because of the silicide blocking layer 720.
  • Turning now to FIG. 10, illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 9 after removing the silicide blocking layers 720. In an exemplary embodiment a conventional oxide etch could be used to remove these portions. As illustrated, the conventional oxide etch etches into the substrate 210. In the particular embodiment of FIG. 10, the conventional oxide etch also etches under the gate sidewall spacers 310.
  • Turning now to FIG. 11, illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 10 after depositing a source/drain silicidation layer 1110 over the entire surface of the partially completed semiconductor device 200. The source/drain silicidation layer 1110 in the embodiment shown in FIG. 11 happens to be a thin nickel layer, however, other materials that react with silicon to form a silicide could easily be used. The source/drain silicidation layer 1110 of FIG. 11 was conventionally deposited to a thickness ranging from about 4 nm to about 20 nm.
  • Turning now to FIG. 12, illustrated is a cross-sectional view of the partially completed semiconductor device 200 illustrated in FIG. 11 after subjecting it to a second RTA process. This second RTA process attempts to cause the source/drain silicidation layer 1110 to react with the silicon of the source/drain regions 710 to form silicided source/drain contact regions 1210. In the instance where the source/drain silicidation layer 1110 comprises nickel, the second RTA process causes the nickel to react with the silicon to form a nickel silicide.
  • The second RTA process may be conducted using a variety of different temperatures and times. Nonetheless, it is believed that the second RTA process, in an exemplary embodiment, should be conducted in a rapid thermal processing tool at a temperature ranging from about 400□C to about 600□C for a time period ranging from about 5 seconds to about 60 seconds. The specific temperature and time period are typically based, however, on the ability to form the silicided source/drain contact regions 1210 to a desired depth, as well as the silicide materials selected.
  • After completing the silicided source/drain contact regions 1210, the partially completed semiconductor device 200 is subjected to a selective removal process. For instance, in one embodiment of the invention the device could be subjected to an etch recipe consisting of sulfuric acid (H2SO4), hydrogen peroxide (H2O2) and water (H2O). This specific etch recipe has a high degree of selectivity and could easily remove any remaining portions of the source/drain silicidation layer 1110. Thereafter the manufacture of the partially completed semiconductor device 200 would continue in a conventional manner, optimally resulting in a device similar to the semiconductor device 100 illustrated in FIG. 1.
  • It should be noted that the exact order of the steps illustrated with respect to FIGS. 2-12 may change depending on the process flow. Additionally, various other steps could be added to the description of FIGS. 2-12. For instance, in a different embodiment, a selective thin epitaxial silicon layer may be deposited just prior to the formation of the silicide blocking layers 720. One of the purposes of this step is to provide a sacrificial silicon layer to compensate the silicon consumed during the formation of the silicide blocking layers 720. This, in effect, would minimize the silicon recess shown in FIG. 10. Other variations may also exist.
  • The method of manufacturing the semiconductor device as discussed with respect to FIGS. 2-12 provides many benefits over the prior art methods. First, and possibly most important, it separates the formation of the silicided gate electrode 910 and silicided source/drain contact regions 1210 into different steps. Advantageously, this allows the depth of the silicided source/drain contact regions 1210 to be independent of the thickness of the silicided gate electrode 910. The decoupling of the two steps is achieved by taking advantage of the chemical selectivity of thin films to certain etch chemistries. Accordingly, CMP is not needed as it is in the prior art methods capable of decoupling the steps. As such, the method of the present invention is applicable to devices having different step heights, whether by design or process variation. The CMP technique of the prior art is not capable of accepting such variations in step heights.
  • Turning briefly to FIG. 13, illustrated is a cross-sectional view of an embodiment of the present invention wherein the method of manufacturing semiconductor devices as discussed with respect to FIGS. 2-12 is applied to fabricate a high performance stacked capacitor 1310. Using the process sequences described above, the first electrode (or the bottom electrode) of the capacitor 1310 can be fully silicided. Subsequently, the insulator over the first electrode and the second electrode can be formed using conventional methods. Due to the elimination of the poly depletion at the interface between the first electrode and gate oxide by full silicidation, the performance of the stacked capacitors is enhanced. More details pertaining to the stacked capacitor may be obtained from U.S. patent application Ser. No. 10/722,013, entitled “A CAPACITOR FORMED ON A RECRYSTALIZED POLYSILICON LAYER AND A METHOD OF MANUFACTURE THEREFOR” by Jiong-Ping Lu, et al., which is incorporated herein by reference.
  • Referring finally to FIG. 14, illustrated is an exemplary cross-sectional view of an integrated circuit (IC) 1400 incorporating devices 1410 constructed according to the principles of the present invention. The IC 1400 may include devices, such as transistors used to form CMOS devices, BiCMOS devices, Bipolar devices, as well as capacitors or other types of devices. The IC 1400 may further include passive devices, such as inductors or resistors, or it may also include optical devices or optoelectronic devices. Those skilled in the art are familiar with these various types of devices and their manufacture. In the particular embodiment illustrated in FIG. 14, the IC 1400 includes the devices 1410 having dielectric layers 1420 located thereover. Additionally, interconnect structures 1430 are located within the dielectric layers 1420 to interconnect various devices, thus, forming the operational integrated circuit 1400.
  • Although the present invention has been described in detail, those skilled in the art should understand that they can make various changes, substitutions and alterations herein without departing from the spirit and scope of the invention in its broadest form.

Claims (3)

1. A semiconductor device, comprising:
a silicided gate electrode located over a substrate, said silicided gate electrode having gate sidewall spacers located on sidewalls thereof;
source/drain regions located in said substrate proximate said silicided gate electrode; and
silicided source/drain regions located in said source/drain regions and at least partially under said gate sidewall spacers.
2. The semiconductor device as recited in claim 1 wherein said silicided source/drain regions extend from about 2 nm to about 10 nm under said gate sidewall spacers.
3. The semiconductor device as recited in claim 1 wherein said silicided source/drain regions have a thickness ranging from about 10 nm to about 30 nm.
US11/556,834 2004-03-24 2006-11-06 Semiconductor Device Having a Fully Silicided Gate Electrode and Method of Manufacture Therefor Abandoned US20070063294A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/556,834 US20070063294A1 (en) 2004-03-24 2006-11-06 Semiconductor Device Having a Fully Silicided Gate Electrode and Method of Manufacture Therefor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/808,168 US7148143B2 (en) 2004-03-24 2004-03-24 Semiconductor device having a fully silicided gate electrode and method of manufacture therefor
US11/556,834 US20070063294A1 (en) 2004-03-24 2006-11-06 Semiconductor Device Having a Fully Silicided Gate Electrode and Method of Manufacture Therefor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/808,168 Division US7148143B2 (en) 2004-03-24 2004-03-24 Semiconductor device having a fully silicided gate electrode and method of manufacture therefor

Publications (1)

Publication Number Publication Date
US20070063294A1 true US20070063294A1 (en) 2007-03-22

Family

ID=34990565

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/808,168 Active 2024-07-16 US7148143B2 (en) 2004-03-24 2004-03-24 Semiconductor device having a fully silicided gate electrode and method of manufacture therefor
US11/556,834 Abandoned US20070063294A1 (en) 2004-03-24 2006-11-06 Semiconductor Device Having a Fully Silicided Gate Electrode and Method of Manufacture Therefor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/808,168 Active 2024-07-16 US7148143B2 (en) 2004-03-24 2004-03-24 Semiconductor device having a fully silicided gate electrode and method of manufacture therefor

Country Status (2)

Country Link
US (2) US7148143B2 (en)
WO (1) WO2005094534A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070131984A1 (en) * 2005-12-12 2007-06-14 Masayuki Kamei Semiconductor device and method for fabricating the same
CN102332401A (en) * 2011-07-28 2012-01-25 上海宏力半导体制造有限公司 Forming method of MOS (Metal Oxide Semiconductor) device
US20120217589A1 (en) * 2010-12-03 2012-08-30 Haizhou Yin Semiconductor structure and method for manufacturing the same
US8575683B1 (en) * 2012-05-16 2013-11-05 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US20130341685A1 (en) * 2012-06-20 2013-12-26 Ling-Chun Chou Semiconductor device and manufacturing method thereof

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7338888B2 (en) * 2004-03-26 2008-03-04 Texas Instruments Incorporated Method for manufacturing a semiconductor device having a silicided gate electrode and a method for manufacturing an integrated circuit including the same
DE102004015864B4 (en) * 2004-03-31 2007-10-31 Advanced Micro Devices, Inc., Sunnyvale Method for forming sidewall spacers
US7638400B2 (en) * 2004-04-07 2009-12-29 United Microelectronics Corp. Method for fabricating semiconductor device
US7705405B2 (en) * 2004-07-06 2010-04-27 International Business Machines Corporation Methods for the formation of fully silicided metal gates
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US7371649B2 (en) * 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
JP2007103456A (en) * 2005-09-30 2007-04-19 Toshiba Corp Semiconductor device and its manufacturing method
US20070099407A1 (en) * 2005-11-01 2007-05-03 Jiong-Ping Lu Method for fabricating a transistor using a low temperature spike anneal
US20070178683A1 (en) * 2006-02-02 2007-08-02 Texas Instruments, Incorporated Semiconductive device fabricated using a two step approach to silicide a gate and source/drains
US7459382B2 (en) * 2006-03-24 2008-12-02 International Business Machines Corporation Field effect device with reduced thickness gate
US7410875B2 (en) * 2006-04-06 2008-08-12 United Microelectronics Corp. Semiconductor structure and fabrication thereof
US8648403B2 (en) * 2006-04-21 2014-02-11 International Business Machines Corporation Dynamic memory cell structures
US20070249112A1 (en) * 2006-04-21 2007-10-25 International Business Machines Corporation Differential spacer formation for a field effect transistor
US7785974B2 (en) * 2006-06-26 2010-08-31 Texas Instruments Incorporated Methods of employing a thin oxide mask for high dose implants
FR2921750B1 (en) * 2007-10-02 2014-07-25 Commissariat Energie Atomique SELECTIVE FORMATION OF A COMPOUND COMPRISING A SEMICONDUCTOR MATERIAL AND A METALLIC MATERIAL IN A SUBSTRATE THROUGH A GERMANIUM OXIDE LAYER
CN101866850B (en) * 2009-04-14 2014-10-22 中芯国际集成电路制造(北京)有限公司 Structure of salicide area barrier film and preparation method thereof

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447875A (en) * 1993-04-22 1995-09-05 Texas Instruments Incorporated Self-aligned silicided gate process
US6207563B1 (en) * 1999-02-05 2001-03-27 Advanced Micro Devices, Inc. Low-leakage CoSi2-processing by high temperature thermal processing
US20010034129A1 (en) * 1998-10-19 2001-10-25 Moore John T. Capacitor constructions, DRAM constructions, semiconductive material assemblies, etching processes, and methods for forming capacitors and DRAMs
US6326291B1 (en) * 2000-06-26 2001-12-04 Advanced Micro Devices, Inc. Fabrication of a wide metal silicide on a narrow polysilicon gate structure
US6794313B1 (en) * 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
US20040259303A1 (en) * 2003-01-14 2004-12-23 International Business Machines Corporation Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors
US20050087824A1 (en) * 2003-10-24 2005-04-28 International Business Machines Corporation High performance fet with laterally thin extension
US20050179098A1 (en) * 2004-02-17 2005-08-18 Taiwan Semiconductor Manufacturing Co. Method to form a metal silicide gate device
US7033891B2 (en) * 2002-10-03 2006-04-25 Fairchild Semiconductor Corporation Trench gate laterally diffused MOSFET devices and methods for making such devices
US7067379B2 (en) * 2004-01-08 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide gate transistors and method of manufacture

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447875A (en) * 1993-04-22 1995-09-05 Texas Instruments Incorporated Self-aligned silicided gate process
US20010034129A1 (en) * 1998-10-19 2001-10-25 Moore John T. Capacitor constructions, DRAM constructions, semiconductive material assemblies, etching processes, and methods for forming capacitors and DRAMs
US6391710B1 (en) * 1998-10-19 2002-05-21 Micron Technology, Inc. Methods of forming capacitors
US6207563B1 (en) * 1999-02-05 2001-03-27 Advanced Micro Devices, Inc. Low-leakage CoSi2-processing by high temperature thermal processing
US6326291B1 (en) * 2000-06-26 2001-12-04 Advanced Micro Devices, Inc. Fabrication of a wide metal silicide on a narrow polysilicon gate structure
US6794313B1 (en) * 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
US7033891B2 (en) * 2002-10-03 2006-04-25 Fairchild Semiconductor Corporation Trench gate laterally diffused MOSFET devices and methods for making such devices
US20040259303A1 (en) * 2003-01-14 2004-12-23 International Business Machines Corporation Triple layer hard mask for gate patterning to fabricate scaled CMOS transistors
US20050087824A1 (en) * 2003-10-24 2005-04-28 International Business Machines Corporation High performance fet with laterally thin extension
US7067379B2 (en) * 2004-01-08 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide gate transistors and method of manufacture
US20050179098A1 (en) * 2004-02-17 2005-08-18 Taiwan Semiconductor Manufacturing Co. Method to form a metal silicide gate device

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070131984A1 (en) * 2005-12-12 2007-06-14 Masayuki Kamei Semiconductor device and method for fabricating the same
US7709911B2 (en) * 2005-12-12 2010-05-04 Panasonic Corporation Semiconductor device having silicide transistors and non-silicide transistors formed on the same substrate and method for fabricating the same
US20100173465A1 (en) * 2005-12-12 2010-07-08 Panasonic Corporation Semiconductor device having silicide transistors and non-silicide transistors formed on the same substrate and method for fabricating the same
US20120217589A1 (en) * 2010-12-03 2012-08-30 Haizhou Yin Semiconductor structure and method for manufacturing the same
US8822334B2 (en) * 2010-12-03 2014-09-02 The Institute of Microelectronics, Chinese Academy of Science Semiconductor structure and method for manufacturing the same
CN102332401A (en) * 2011-07-28 2012-01-25 上海宏力半导体制造有限公司 Forming method of MOS (Metal Oxide Semiconductor) device
US8575683B1 (en) * 2012-05-16 2013-11-05 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US20130341685A1 (en) * 2012-06-20 2013-12-26 Ling-Chun Chou Semiconductor device and manufacturing method thereof
US8951876B2 (en) * 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US9269811B2 (en) 2012-06-20 2016-02-23 United Microelectronics Corp. Spacer scheme for semiconductor device

Also Published As

Publication number Publication date
US20050215055A1 (en) 2005-09-29
WO2005094534A3 (en) 2006-01-19
WO2005094534A2 (en) 2005-10-13
US7148143B2 (en) 2006-12-12

Similar Documents

Publication Publication Date Title
US20070063294A1 (en) Semiconductor Device Having a Fully Silicided Gate Electrode and Method of Manufacture Therefor
US7338888B2 (en) Method for manufacturing a semiconductor device having a silicided gate electrode and a method for manufacturing an integrated circuit including the same
US7229869B2 (en) Method for manufacturing a semiconductor device using a sidewall spacer etchback
US7517766B2 (en) Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device
US6784506B2 (en) Silicide process using high K-dielectrics
US7402535B2 (en) Method of incorporating stress into a transistor channel by use of a backside layer
US7396716B2 (en) Method to obtain fully silicided poly gate
US20080135945A1 (en) Semiconductor device having a silicided gate electrode and method of manufacture therefor
WO2006063145A2 (en) A method for manufacturing a silicided gate electrode using a buffer layer
US7183187B2 (en) Integration scheme for using silicided dual work function metal gates
US7244642B2 (en) Method to obtain fully silicided gate electrodes
US7498264B2 (en) Method to obtain fully silicided poly gate
US7709349B2 (en) Semiconductor device manufactured using a gate silicidation involving a disposable chemical/mechanical polishing stop layer
KR100549006B1 (en) fabrication method of a MOS transistor having a total silicide gate
US7767511B2 (en) Semiconductor device manufactured using a method to improve gate doping while maintaining good gate profile
JP2005294799A (en) Semiconductor device and its manufacturing method
US20080293193A1 (en) Use of low temperature anneal to provide low defect gate full silicidation
US20080233747A1 (en) Semiconductor Device Manufactured Using an Improved Plasma Etch Process for a Fully Silicided Gate Flow Process
US8183137B2 (en) Use of dopants to provide low defect gate full silicidation
US20080290428A1 (en) Use of alloys to provide low defect gate full silicidation

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION