CN1575506A - 在cmos匹配衬底上制造微电子机械开关的方法 - Google Patents

在cmos匹配衬底上制造微电子机械开关的方法 Download PDF

Info

Publication number
CN1575506A
CN1575506A CNA028211618A CN02821161A CN1575506A CN 1575506 A CN1575506 A CN 1575506A CN A028211618 A CNA028211618 A CN A028211618A CN 02821161 A CN02821161 A CN 02821161A CN 1575506 A CN1575506 A CN 1575506A
Authority
CN
China
Prior art keywords
dielectric layer
cavity
layer
conducting beam
switch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028211618A
Other languages
English (en)
Other versions
CN1309019C (zh
Inventor
理查德·P·沃兰特
约翰·C·比森
唐娜·R·科特
蒂莫西·J·多尔顿
罗伯特·A·格罗夫斯
凯文·S·皮特拉卡
肯尼思·J·斯坦
塞沙德里·苏班纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1575506A publication Critical patent/CN1575506A/zh
Application granted granted Critical
Publication of CN1309019C publication Critical patent/CN1309019C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00611Processes for the planarisation of structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G5/00Capacitors in which the capacitance is varied by mechanical means, e.g. by turning a shaft; Processes of their manufacture
    • H01G5/40Structural combinations of variable capacitors with other electric elements not covered by this subclass, the structure mainly consisting of a capacitor, e.g. RC combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H59/00Electrostatic relays; Electro-adhesion relays
    • H01H59/0009Electrostatic relays; Electro-adhesion relays making use of micromechanics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/01Switches
    • B81B2201/012Switches characterised by the shape
    • B81B2201/016Switches characterised by the shape having a bridge fixed on two ends and connected to one or more dimples
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/01Switches
    • B81B2201/012Switches characterised by the shape
    • B81B2201/018Switches not provided for in B81B2201/014 - B81B2201/016
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0127Diaphragms, i.e. structures separating two media that can control the passage from one medium to another; Membranes, i.e. diaphragms with filtering function
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/07Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0118Processes for the planarization of structures
    • B81C2201/0122Selective addition
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0735Post-CMOS, i.e. forming the micromechanical structure after the CMOS circuit

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Micromachines (AREA)
  • Surface Acoustic Wave Elements And Circuit Networks Thereof (AREA)
  • Manufacture Of Switches (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)

Abstract

一种制造微电子机械开关(MEMS)的方法,使用开始于在介质(150)内镶嵌由金属导体构成的铜镶嵌互连层的工艺。所有或部分互连凹陷直到当开关处于关闭状态时足够提供容性空气隙的程度,以及提供例如Ta/TaN保护层用空间。在开关限定的区域内限定的金属结构用作致动电极,以下拉可移动梁(160)并提供至少一个路径用于开关信号通过。这种空气隙的优点在于空气不会出现可引起可靠性和电压漂移问题的电荷存储或俘获。替代凹陷电极以提供间隙,可以正好在电极上或电极周围增加介质。下一层是另一电介质层,其淀积到在形成开关器件的可移动梁(160)之间形成间隙的所需厚度。穿过该电介质层制造通孔以在金属互连层和还将包括可移动梁的下一个金属层之间提供连接。接着构图和蚀刻通孔层以提供包含下致动电极和信号路径的空腔区。接着用牺牲释放材料回填充空腔。

Description

在CMOS匹配衬底上制造微电子机械开关的方法
技术领域
本发明总体涉及微电子机械开关(micro-electromechanical switches,MEMS)的制造,尤其涉及能够与现有半导体制造工艺技术的当前水平结合的MEMS制造。
背景技术
开关操作是许多电子、机械和电子机械应用的基础部分。在过去几年MEM开关吸引了相当大的关注。使用MEMS技术的产品在生物医学、航空和通信系统中是普遍的。
传统MEMS一般利用悬臂开关、薄膜开关和可调电容结构,如在McMillan等人的美国专利No.6,160,230、Feng等人的美国专利No.6,143,997、Carley等人的美国专利No.5,970,315和Tham等人的美国专利No.5,880,921中介绍的。MEMS器件使用微电子机械技术制造,并用于控制电子、机械或光信号流。但是这些器件存在许多问题,因为它们的结构和内在材料性质要求它们在与常规半导体工艺分离的线中制造。这通常是因为不同的材料和不匹配的工艺,因此,其不能与标准半导体制造工艺结合。
使用一般用于MEMS制造中的材料例如金产生了将器件直接结合到芯片上(on-chip)应用中的明显结合问题。即使使用在文献中广为采用的多晶硅也出现了问题,因为温度循环以及制造实际半导体器件的线前端(frone-endof the line,FEOL)和加工互连金属的线后端(back-end of the line,BEOL)的通常分离。一般为了防止有源器件的金属污染,两种设置不允许使工艺彼此交叉。因此不可能在线后端中看到多晶硅淀积。
多数现存的工艺由于使用标准金属化而具有严重的缺陷,没有提供封装来保护金属。而且,使用了多于一个的衬底,通常接合到一起,具有相应固有的缺陷。
其它现存技术仅在结构的顶部提供了开关能力,使得不可能在所有级实现结合,在本发明的下文中将详细介绍。
因此,需要一种工艺,其能够提供采用现有BEOL材料的耦合以便加工从而可以充分结合的MEMS器件,使得这些器件可以与传统BEOL或互连级同时制造或作为附加模块。
为了获得对本发明更好地理解,现在将参照图1介绍传统MEM开关,图1示出具有锚固在介质4中的可变形梁1的两端的MEM开关。由包含导电元件2、2a和3的介质材料5构成最下级,随后将使用导电元件2、2a和3以连接或形成器件的各个电气部分。使用由标记2和2a标示的导体以提供引起梁形变的工作电压。相应地,传导信号的导体3当它工作时与梁连接。
图2示出图1的相同现有技术MEM器件的平面图。在一般实施中,由在介质4例如SiO2上的多晶硅形成可变形梁1,蚀刻掉周围材料,保留突起结构,即在导体上悬伸的梁,导体为先前形成的或其自身由多晶硅制成。接着器件经受非电解电镀,通常为金,与形成导电元件1、2、2a和3的多晶硅粘结。通过在梁和电极2和2a之间提供电势差来使开关工作。该电压产生牵引梁1与电极3接触的静电引力,由此关闭开关。
应注意到当与常规半导体器件比较时,这些通常是具有很大形貌的突起结构。其自身使得它们实质上不可能与半导体芯片制造工艺相结合。一般使用表面微机械技术制造这些器件,其包括在光致抗蚀剂上建构或在衬底如硅上建构,接着从衬底的背面去除器件下的一部分衬底,再次排除与标准半导体工艺的结合。
图3说明传统MEM开关的另一样式的剖面图,其中仅梁1的一端锚固在介质4内。如图1所介绍的那样实施所有其它部件。同样应用于图4,其说明图3所示的对应器件的俯视图。在后者中,通过在梁1和控制电极2之间提供电压使开关工作。这引起梁下拉与信号电极3接触。当电压下降时,梁1返回到它的原始位置。
通常地,梁和控制电极之间的间隙基本上决定了下拉梁所需的电压。许多文献介绍了具有从1到几微米范围的间隙的器件。这些间隙很大,因此所需的电压比多数消费应用所期望的电压高。已报道的致动电压在30到75伏左右的范围。这对于像移动电话(一般在3到5伏工作)类型的应用来说太高了。本发明的结构工作在从200埃到几千埃范围的间隙,产生具有低于5伏的致动电压的开关。
上述说明的开关结构仅是本领域公知的许多可能结构的一些。值得注意的是,MEM开关还可以被构造成以多种组合布线的多个梁的布置。
静摩擦是MEMS器件中的重要关注方面。静摩擦被定义为至少两个表面不会分离的接触而不会对器件造成某种损伤。撞击是这种现象的主要起因。本发明通过当开关关闭时提供空气间隙200而在至少一个实施例中解决了该问题,将参照图19A详细示出。表面张力也被认为是静摩擦的另一个主要起因。其解释了方什么本发明应用干法蚀刻和用于释放移动部件的工艺和后续处理。
因此,发明的目的是形成完全集成在CMOS、双极或BiCMOS晶片内的MEM开关和其它类似结构。
另一个目的是制造具有改进镶嵌工艺的MEM开关和其它类似结构。
再一个目的是使用封装在用来保护金属的阻挡材料中的铜来制造MEM开关和其它类似结构。
再一个目的是确保在与这种工艺相匹配的温度下封装可以与BEOL铜相结合。
发明内容
本发明致力于实现这些和其它目的,提供了一种使用匹配工艺和材料来制造与传统半导体互连级集成的MEMS开关的方法。
这里介绍的发明提供了一种电容开关的制造方法,其适合于制造用于接触开关和/或金属-介质-金属开关的各种结构。
在优选实施例中,工艺开始于在介质内镶嵌由金属导体构成的铜镶嵌互连层。所有或部分铜互连凹陷,达到当开关关闭时足够提供容性空气隙的程度,如果需要另外达到能够提供用于Ta/TaN保护层的空间的程度。在由开关限定的区域内限定的金属结构用作致动电极以便下拉可移动的导电梁,提供至少一个使开关信号通过的路径。这种空气隙的优点在于介质(空气)不会出现引起可靠性和电压漂移问题的电荷存储或俘获。
可使用任意数量的介质材料实施本发明,例如二氧化硅或氮化硅,所有这些有利地布置在下电极和电容开关的可变形梁之间。
值得注意的是,替代凹陷电极以提供间隙,可以正好在电极周围和/或电极上增加介质。接着将第二电介质层淀积到在下电极和可移动梁之间形成间隙的所需厚度。通孔是穿过第二电介质层形成的,以在上述金属互连层和包括可移动梁的下一金属层之间提供连接。接着构图和蚀刻包括通孔的层以提供包含下致动电极和信号路径的空腔区。接着用牺牲释放材料优选SiLK回填充空腔,SiLK为一种半导体介质,其是由γ-丁内酯、B阶聚合物和1,3,5-三甲基苯构成的聚合物树脂。接着优选通过化学机械抛光(chemicalmechanical polish,CMP)的方法把该牺牲材料制得大致平坦以使它与介质的顶部齐平。还可以如下平坦化牺牲材料:使用相反极性的光致抗蚀剂重新成像用于限定空腔区的同一掩模,并接着从上表面蚀刻牺牲材料以使它基本上与其中形成有空腔的介质共面。这提供了在其上可以建构梁层的平表面。
包含可移动梁的层与一般用于制造标准CMOS器件的其它互连层相似。该梁结构优选地部分或完全延伸过先前限定的空腔区,以致当开关工作时,其提供了所需的电连接。还应注意到可以把孔嵌到可移动梁中。用形成包含梁的层的相同介质来初始填充这些孔。接着蚀刻掉梁内的这些介质区以提供与梁下牺牲材料的更大接触,由此辅助释放工艺。接着,限定空腔区的图案或图案的某些子部分,并去除环绕梁的介质材料,包含穿过梁中孔的区域,提供与下牺牲材料的接触。此时可以去除牺牲材料。悬挂在空腔区上的开关现在是完全起作用的。
附图说明
从以下参照附图对优选实施例的详细介绍,将会更好地理解本发明的前述和其它目的、方案和优点,在附图中:
图1和2分别示出沿着现有技术MEM开关的梁长度方向观察到的剖面图和俯视图,其中锚固了梁的两端;
图3和4分别示出了现有技术MEM开关另一类型的剖面图和俯视图,其中仅锚固了梁的一端;
图5和6分别是按照本发明制造的MEM开关的剖面图和俯视图,锚固了梁的两端;
图7和8分别示出按照本发明制造的MEM开关的剖面图和俯视图,其中仅锚固了梁的一端;
图9-19说明按照本发明的优选实施例制造MEMS器件所需的系列步骤;
图19A示出按照本发明完成的处于关闭位置的MEM开关;
图20-25示出提供优选实施例的MEM开关的完全封装样式的替换工艺流程;
图26和27说明完全用牺牲材料制成空腔和通孔电介质层的替换工艺。
具体实施方式
主要实施例教导了通过可以与标准CMOS、双极、BiCMOS或其它常见半导体芯片工艺完全集成的方法来制造MEMS器件。
图5说明了最初结构,即铜镶嵌互连层。在此之下,在硅衬底或作为替换在优选由SiO2制成的低损耗衬底上可以放置器件例如FET、NPN晶体管或HBT(Hetero-junction Bipolar Transistors,异质结双极晶体管)、电阻等。它由埋置在优选由SiO2构成的电介质层20内的铜布线30、40和50构成。由标号30标示的区域代表用于在电介质层100中埋置的通孔110的着陆金属。标号40标示的金属形成致动器或控制电极,金属50代表信号电极。金属的厚度一般在3000到5000埃的量级,但其不限于此范围。示出了在电介质层150内形成的梁160在两端被锚固。梁的中心被设计成在空腔120上垂直地移动(参见图19A)。
仍参照图5,示出了铜布线30、40和50的顶表面60凹陷,优选通过湿法蚀刻的方法,尽管其它方法也是适合的,以使静摩擦力最小。所需的最终结构决定凹陷的程度。在优选实施例中,一般使用由乙酸和过氧化氢的稀释溶液构成的湿法蚀刻。优选把铜蚀刻至大约800埃的深度。
图6示出图5说明的MEMS器件的俯视图。示出了在空腔120上悬挂的梁160,具有在工艺介绍时(图9-19)将详细介绍的多个孔175。这些孔用于当构造空腔120时便于去除牺牲材料。
图7示出MEMS器件另一类型的剖面图,其中仅锚固了开关的一端。如图5所示,在电介质层20中形成铜布线30、40和50,而在电介质层100中形成通孔110。梁160的一端没有固定,使它在空腔120上沿垂直方向自由地移动。
图8是图7介绍的MEMS器件的对应俯视图,再次具有设置有孔175的可移动梁160。
现在将详细介绍用于构造图5-6和7-8中说明的MEM开关的工艺。
参照图9,示出了在电介质层20中形成的铜布线30、40和50,其中布线的顶表面相对于电介质层20的顶表面基本上是平的。
接着,参照图10,示出了布线的顶表面60相对于电介质层20的顶层凹陷。凹陷金属的一种方法是采用对该金属有效的湿法蚀刻持续实现所需深度需要的时间。例如,在优选实施例中,施加由水、乙酸和过氧化氢(分别为3升、15ml和9ml)构成的溶液2.5分钟来达到600至800埃的深度。
现在参照图11,淀积阻挡材料层70以包封铜以便在随后的处理中保护它。优选实施例包括在100埃厚的Ta层之后是400埃的TaN。作为替换,还可以由介质或任何数量的其它合适金属阻挡材料构成该阻挡材料。
图12示出从介质的最上表面80去除阻挡层70的结果。这最好通过化学机械抛光(chemical-mechanical polish,CMP)来实现。现在仅在凹陷区域中留有阻挡材料75。因为CMP工艺可能腐蚀部分介质20,当开关关闭时,如果要维持合适的间隙200则必须小心以使介质的腐蚀最小。当完成CMP工艺时,从上介质80到阻挡层75的深度将为大约200埃。为了形成用于电容开关的最终间隙可以定制该深度。
包封导体的另一种方法是使用定义导体的同一掩模来构图毯覆式阻挡层70,但是使用相反极性的光致抗蚀剂,并从最上表面蚀刻阻挡层。
在优选实施例的变型中,参照图5至8中的一个,可以改进凹陷和/或淀积,以便实现平的或甚至突出的金属接触以制造金属-金属接触开关或金属-绝缘体-金属开关。在金属接触开关的情况中,可以包封下电极,尤其是50,到达基本上与介质20齐平的水平或甚至轻微地突出于该表面。在这种结构中,当开关关闭时,在信号电极50和梁160之间建立直接的金属-金属接触。相似地,为了构造金属-绝缘体-金属开关,可以用介质材料包封下电极,优选具有高介电常数的介质材料。这产生了与优选的空气隙开关相似的电容开关,具有不会减少接触面积的优点。
参照图13,示出了增加了另一个电介质层100,其中使用标准处理技术制造了通孔。电介质层100的厚度取决于在下电极和随后形成的上梁之间所需的空间。在优选实施例中,介质100大约为1000埃厚。按照标准的镶嵌工艺来构图、蚀刻、填充和平坦化通孔110。
图14示出在介质100中蚀刻形成空腔120。使用常规的光刻和反应离子蚀刻(reactive ion etching,RIE)制造该空腔。必须小心以确保保护下电极90的原始结构,即确保不改变下介质表面80和凹陷金属75之间的关系。当构造MEMS器件的金属-金属或金属-绝缘体-金属样式时这种关系不是关键的。
图15说明在施加牺牲材料130例如SiLK或DLC之后的该结构。[注:SiLK是由Dow Chemical Corp制造的半导体介质。]该材料包括各种配方的产品,名称还公知为多孔SiLK。其是由γ-丁内酯、B阶聚合物和1,3,5-三甲基苯构成的聚合物树脂。优选使用的其它材料是前述的DLC,类金刚石碳,其为包含涂层的无定型碳,其中一定比例的碳原子按照与金刚石相似的方式成键并在许多方面类似于金刚石。将介绍能够以相似方式挥发的其它有机材料。当在高能轰击下淀积碳时产生了类金刚石碳。瞬间局部高温和压力促使一定比例的碳如金刚石一样成键。在等离子体辅助化学气相淀积(plasmaassisted chemical vapor deposition,PACVD)期间获得这些条件。用包含气体例如乙炔的碳进行该淀积,引入乙炔以提供高能碳离子。如果不存在暴露的可氧化材料,通过氧等离子体暴露的方式可去除这些材料。如果在去除有机材料期间存在将暴露的可氧化材料,那么使用H2/CO2/CO/N2类型的等离子体去除。对于反应离子蚀刻工艺领域的技术人员来说这些气体混合物是可以认知的。最后平坦化该结构,到达其基本上与介质100的上表面齐平的程度。
在发明的另一个实施例中,如图16所示,由牺牲材料100A例如SiLK构成整个电介质层100。这可以省略形成底部空腔120(参见图14)的步骤,因为排空的区域可以随后被限定,如图26和27中将示出。通过牺牲材料的横向去除来限定空腔的边缘。通过使材料暴露到使材料分解的氧或氢等离子体来释放材料。在材料研究协会的材料研究学报(the Journal of MaterialsResearch)1996年第6卷第7期第1484页中公开的A.Joshi和R.Nimmagadda的论文“Erosion of diamond films and graphite in oxygen plasma(金刚石薄膜和石墨在氧等离子体中的腐蚀)”中可以找到其它的细节。
图17说明形成保留用于梁160的层。因此,回去参照图5-8对于更好地理解该结构是有用的。在梁这一层,再次淀积介质材料150。金属梁160优选地制作在介质150内部。如图5-6所示,梁可以在两端固定,或者如参照图7-8说明的那样在一端固定。如前面提到的,梁可以设置有孔175,这便于后续去除牺牲材料。为了保护铜,如在前介绍的参照图11-12由标号75标示的那样还可以包封梁。
图18说明为了蚀刻掉梁的周围以及穿过梁和在空腔上的介质的光刻和RIE步骤。这使得梁160的可移动部分从上介质150释放并提供了到牺牲材料130的通路。
图19说明在去除牺牲材料130之后的结构。这优选使用氧等离子体进行以分解存在的有机材料,使它们排空。该步骤之后,可以使用传统方法进行整个器件的封装,或作为替换,如下文将参照图22-25所作的介绍,通过夹断在介质覆盖层中的释放孔来执行整个器件的包封。
图19A描绘了在关闭状态下的最终器件。示出了两端锚固的梁160,它的中心向下弯曲。附图不是按比例的。因此,为了更好地观察最终的器件和更好地理解它的工作,将介绍器件各部分的真实尺寸。例如,对于10000埃厚的可移动梁,限定梁移动距离的空腔可以仅为200到1000埃。由此,梁的形变是相当小的。
图20至23示出将从图17向前插入的替换方法,其用于介绍如何包封MEMS器件。参照图20,现在增加另一个电介质层210以在梁上形成另一个空腔220(参见图21)。当蚀刻上空腔220时,穿过并环绕梁从空腔区去除介质。
接着,在图22中,用如前面介绍的更多牺牲材料230填充蚀刻区,优选用SiLK或DLC,并使其平坦化。现在再次使用放置在下面的相同牺牲材料,使得释放工艺去除所有牺牲材料层。
图23说明增加了另一电介质层240,在该电介质层中构图和蚀刻通孔250。这些通孔提供了到达待去除牺牲材料的通路。
图24示出如前面所介绍的经由通孔250去除牺牲材料的器件。
图25示出淀积夹断小通孔250的另一个介质260的最终包封步骤,由此密封该结构。
图26和27说明参照图16从空腔/通孔电介质层去除牺牲材料100A的另一种方法。图26示出如前面参照图21介绍的那样已经形成的梁和被构图和蚀刻的上空腔区220。
接着,在图27中示出了使用等离子工艺的释放工艺。释放工艺是各向同性的,由此具有横向去除速率。横向速率取决于所使用的牺牲材料和去除它的工艺。去除直接在开口区之下和距各边界一定横向距离250的牺牲材料。
尽管结合优选实施例介绍了本发明,但应理解,本领域技术人员根据上述介绍作出许多替换、改进和变型是显而易见的。因此,欲涵盖落入所附权利要求的精神和范围内的所有这些替换、改进和变型。这里阐释或在附图中示出的所有实物将解释为示例性的而非限制的含义。
工业应用
用于通信领域,尤其用于移动电话等领域。

Claims (17)

1.一种制造微电子机械(MEM)开关的方法,包括步骤:
a)在衬底上淀积第一电介质层,所述第一电介质层具有形成于其中的多个导电互连线;
b)淀积第二电介质层,穿过所述第二电介质层形成有导电通孔,所述通孔接触所述多个导电互连线的至少一个;
c)形成由所述第二电介质层镂成的空腔;
d)用牺牲材料填充所述空腔,并平坦化所述牺牲材料;和
e)淀积第三电介质层并形成导电梁,使所述导电通孔接触所述导电梁。
2.如权利要求1所述的方法,还包括步骤:
f)淀积第四电介质层并构图与所述第一空腔共形的第二空腔;
g)用牺牲材料填充所述第二空腔并平坦化所述牺牲材料;
h)淀积第五层以覆盖所述第二空腔;
i)构图并蚀刻在所述牺牲材料上的多个孔;和
j)选择性地去除所述牺牲材料以使所述导电梁在至少一端被锚固,并保留由空气环绕的所述导电梁的剩余部分。
3.如权利要求2所述的方法,还包括增加第六电介质层以密封所述第二空腔以便保护所述导电梁的暴露部分并关闭在所述第五电介质层中的已释放通孔的步骤。
4.如权利要求3所述的方法,其中所述保护层由Ta或TaN制成。
5.如权利要求1所述的方法,其中所述步骤e)由从所述第二电介质层选择性地去除牺牲材料的步骤替代,所述选择性去除与所述第一空腔的形状一致。
6.如权利要求1所述的方法,其中通过从所述导电梁附近选择性地去除介质材料来形成所述空腔。
7.如权利要求1所述的方法,其中所述步骤e)由以下步骤替代:
e1)构图所述第三电介质层以从所述第三电介质层选择性释放所述导电梁;和
e2)去除在所述第二电介质层内的所述牺牲材料。
8.如权利要求1所述的方法,其中所述导电互连线由铜制成,且其中所述导电互连线镶嵌在介质中。
9.如权利要求8所述的方法,其中使所有或部分所述铜互连线凹陷到当所述MEM开关处于关闭状态时足够提供容性空气隙的程度。
10.如权利要求1所述的方法,其中相对于所述第一电介质层的顶表面凹陷所述导电线以最小化静摩擦效应。
11.如权利要求10所述的方法,还包括封装所述凹陷的导电线的步骤。
12.如权利要求2所述的方法,其中所述导电梁在其一端或两端被锚固。
13.如权利要求1所述的方法,其中所述MEM开关与以多种结构布置的多个其它金属-电介质-金属开关耦合。
14.如权利要求1所述的方法,其中在所述第一空腔的暴露区域中形成的所述导电线用作下拉所述导电梁的致动电极并提供至少一个电信号路径。
15.如权利要求1所述的方法,其中所述第二电介质层被淀积到由将在所述用作下电极的多个导电互连线和所述导电梁之间形成的间隙尺寸所决定的厚度。
16.一种微电子机械(MEM)开关,包括:
在淀积于衬底上的第一电介质层中埋置的导电线,所述导电线相对于所述第一电介质层的顶表面凹陷;
设置在第二电介质层上的第一空腔;
设置在所述第一空腔上方的导电梁,且所述导电梁的至少一端通过第三电介质层锚固;
由叠加在所述第三电介质层的顶部上的第四电介质层镂成的第二空腔,所述第二空腔具有与所述第一空腔的周边匹配的周边;
叠加在所述第四层上的第五电介质层,用于为所述导电梁提供保护。
17.如权利要求16所述的MEM开关,其中所述导电梁在其一端或两端被锚固。
CNB028211618A 2001-11-07 2002-11-07 在cmos匹配衬底上制造微电子机械开关的方法 Expired - Fee Related CN1309019C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/014,660 US6635506B2 (en) 2001-11-07 2001-11-07 Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US10/014,660 2001-11-07

Publications (2)

Publication Number Publication Date
CN1575506A true CN1575506A (zh) 2005-02-02
CN1309019C CN1309019C (zh) 2007-04-04

Family

ID=21766896

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028211618A Expired - Fee Related CN1309019C (zh) 2001-11-07 2002-11-07 在cmos匹配衬底上制造微电子机械开关的方法

Country Status (11)

Country Link
US (1) US6635506B2 (zh)
EP (1) EP1461828B1 (zh)
JP (1) JP4081012B2 (zh)
KR (1) KR100586771B1 (zh)
CN (1) CN1309019C (zh)
AT (1) ATE384685T1 (zh)
AU (1) AU2002365158A1 (zh)
DE (1) DE60224836T2 (zh)
IL (2) IL161654A0 (zh)
TW (1) TW590983B (zh)
WO (1) WO2003054938A1 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101077766B (zh) * 2006-02-20 2011-05-18 索尼株式会社 电子机械元件、电子电路装置及它们的制造方法
CN101763987B (zh) * 2009-12-30 2012-05-23 中国电子科技集团公司第十三研究所 Rf mems开关及其制备方法
CN101329445B (zh) * 2007-02-27 2013-01-09 视频有限公司 腔室上方的层的平面化
CN102906009A (zh) * 2010-06-25 2013-01-30 国际商业机器公司 平面腔体微机电系统及相关结构、制造和设计结构的方法
CN103091774A (zh) * 2012-11-13 2013-05-08 东北大学秦皇岛分校 悬空式铌酸锂光波导
CN103723674A (zh) * 2012-10-16 2014-04-16 国际商业机器公司 Mems晶体管及其制造方法
CN103889887A (zh) * 2011-09-02 2014-06-25 卡文迪什动力有限公司 Mems装置锚固
CN103917481A (zh) * 2011-06-20 2014-07-09 国际商业机器公司 微机电系统(mems)以及相关的致动器凸块、制造方法和设计结构
CN104555884A (zh) * 2013-10-14 2015-04-29 原相科技股份有限公司 具有增强结构强度的微机电元件
CN105047484A (zh) * 2014-04-25 2015-11-11 亚德诺半导体集团 Mems开关
CN106698330A (zh) * 2012-03-09 2017-05-24 矽立科技有限公司 用于集成mems‑cmos装置的方法和结构
CN107431022A (zh) * 2015-04-08 2017-12-01 英特尔公司 具有带有整体附接结构的嵌入式迹线层的微电子衬底
US10640363B2 (en) 2016-02-04 2020-05-05 Analog Devices Global Active opening MEMS switch device

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7550794B2 (en) 2002-09-20 2009-06-23 Idc, Llc Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer
US6291425B1 (en) * 1999-09-01 2001-09-18 Guilford Pharmaceuticals Inc. Compounds, methods and pharmaceutical compositions for treating cellular damage, such as neural or cardiovascular tissue damage
US6643165B2 (en) * 2001-07-25 2003-11-04 Nantero, Inc. Electromechanical memory having cell selection circuitry constructed with nanotube technology
US6876046B2 (en) * 2002-02-07 2005-04-05 Superconductor Technologies, Inc. Stiction alleviation using passivation layer patterning
US6770569B2 (en) * 2002-08-01 2004-08-03 Freescale Semiconductor, Inc. Low temperature plasma Si or SiGe for MEMS applications
JP4007115B2 (ja) * 2002-08-09 2007-11-14 ソニー株式会社 マイクロマシンおよびその製造方法
US6800503B2 (en) * 2002-11-20 2004-10-05 International Business Machines Corporation MEMS encapsulated structure and method of making same
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
JP4007172B2 (ja) 2002-12-03 2007-11-14 ソニー株式会社 マイクロマシンおよびその製造方法
US20040145874A1 (en) * 2003-01-23 2004-07-29 Stephane Pinel Method, system, and apparatus for embedding circuits
US7202764B2 (en) * 2003-07-08 2007-04-10 International Business Machines Corporation Noble metal contacts for micro-electromechanical switches
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6890808B2 (en) * 2003-09-10 2005-05-10 International Business Machines Corporation Method and structure for improved MOSFETs using poly/silicide gate height control
US6887751B2 (en) * 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7170126B2 (en) * 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US7081647B2 (en) * 2003-09-29 2006-07-25 Matsushita Electric Industrial Co., Ltd. Microelectromechanical system and method for fabricating the same
DE10347215A1 (de) * 2003-10-10 2005-05-12 Bosch Gmbh Robert Mikromechanischer Sensor
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
GB0330010D0 (en) * 2003-12-24 2004-01-28 Cavendish Kinetics Ltd Method for containing a device and a corresponding device
JP2005197415A (ja) * 2004-01-06 2005-07-21 Sony Corp 半導体装置およびリーク制御回路
US7202132B2 (en) 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7923782B2 (en) 2004-02-27 2011-04-12 International Business Machines Corporation Hybrid SOI/bulk semiconductor transistors
JP4447940B2 (ja) * 2004-02-27 2010-04-07 富士通株式会社 マイクロスイッチング素子製造方法およびマイクロスイッチング素子
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7005371B2 (en) * 2004-04-29 2006-02-28 International Business Machines Corporation Method of forming suspended transmission line structures in back end of line processing
US7223994B2 (en) 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
CN1309040C (zh) * 2004-06-29 2007-04-04 北京大学 一种将cmos电路与体硅mems单片集成的方法
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7088153B2 (en) * 2004-08-05 2006-08-08 International Business Machines Corporation Data storage latch structure with micro-electromechanical switch
US7091765B2 (en) * 2004-09-30 2006-08-15 International Business Machines Corporation Circuit power reduction using micro-electromechanical switches
DE602005023761D1 (de) 2004-10-27 2010-11-04 Epcos Ag Verringerung der luftdämpfung in einer mems-vorrichtung
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US7274084B2 (en) * 2005-01-12 2007-09-25 International Business Machines Corporation Enhanced PFET using shear stress
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
JP4724488B2 (ja) * 2005-02-25 2011-07-13 日立オートモティブシステムズ株式会社 集積化マイクロエレクトロメカニカルシステム
US7352039B2 (en) * 2005-03-24 2008-04-01 Intel Corporation Methods and apparatuses for microelectronic assembly having a material with a variable viscosity around a MEMS device
DE102005016243B3 (de) * 2005-04-08 2006-09-28 Austriamicrosystems Ag Mikromechanisches Bauelement, Verfahren zur Herstellung und Verwendung
US7288464B2 (en) * 2005-04-11 2007-10-30 Hewlett-Packard Development Company, L.P. MEMS packaging structure and methods
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US20060234412A1 (en) * 2005-04-19 2006-10-19 Hewlett-Packard Development Company, L.P. Intellectual Property Administration MEMS release methods
US7544577B2 (en) * 2005-08-26 2009-06-09 International Business Machines Corporation Mobility enhancement in SiGe heterojunction bipolar transistors
JP4713990B2 (ja) * 2005-09-13 2011-06-29 株式会社東芝 半導体装置とその製造方法
US7300813B2 (en) 2005-09-20 2007-11-27 Dongguk University Indusrty-Academic Cooperation Foundation Method for manufacturing micro-machined switch using pull-up type contact pad
US7202513B1 (en) * 2005-09-29 2007-04-10 International Business Machines Corporation Stress engineering using dual pad nitride with selective SOI device architecture
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US7709317B2 (en) * 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
US7348638B2 (en) * 2005-11-14 2008-03-25 International Business Machines Corporation Rotational shear stress for charge carrier mobility modification
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
JP2007157511A (ja) * 2005-12-06 2007-06-21 Hitachi Ltd マイクロエレクトロメカニカルシステムを用いたスイッチ
US7776695B2 (en) * 2006-01-09 2010-08-17 International Business Machines Corporation Semiconductor device structure having low and high performance devices of same conductive type on same substrate
US7635620B2 (en) * 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
JP2007210083A (ja) * 2006-02-13 2007-08-23 Hitachi Ltd Mems素子及びその製造方法
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US8461009B2 (en) * 2006-02-28 2013-06-11 International Business Machines Corporation Spacer and process to enhance the strain in the channel with stress liner
GB0605576D0 (en) 2006-03-20 2006-04-26 Oligon Ltd MEMS device
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US8853746B2 (en) * 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US8754446B2 (en) 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US7462522B2 (en) 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
JP4562004B2 (ja) * 2006-11-01 2010-10-13 セイコーエプソン株式会社 角速度センサの製造方法
CN100397616C (zh) * 2006-11-10 2008-06-25 北京大学 一种可去除残余硅的体硅mems与cmos电路集成的方法
US7736929B1 (en) 2007-03-09 2010-06-15 Silicon Clocks, Inc. Thin film microshells incorporating a getter layer
US7659150B1 (en) 2007-03-09 2010-02-09 Silicon Clocks, Inc. Microshells for multi-level vacuum cavities
US7595209B1 (en) * 2007-03-09 2009-09-29 Silicon Clocks, Inc. Low stress thin film microshells
US7923790B1 (en) 2007-03-09 2011-04-12 Silicon Laboratories Inc. Planar microshells for vacuum encapsulated devices and damascene method of manufacture
US8319312B2 (en) * 2007-07-23 2012-11-27 Wispry, Inc. Devices for fabricating tri-layer beams
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
FR2925890B1 (fr) * 2007-12-28 2010-01-29 Commissariat Energie Atomique Procede de fabrication de composants mecaniques de structures mems ou nems en silicium monocristallin
US7989262B2 (en) 2008-02-22 2011-08-02 Cavendish Kinetics, Ltd. Method of sealing a cavity
US8022490B2 (en) * 2008-03-24 2011-09-20 Conexant Systems, Inc. Micro electro-mechanical sensor (MEMS) fabricated with ribbon wire bonds
US7612270B1 (en) * 2008-04-09 2009-11-03 International Business Machines Corporation Nanoelectromechanical digital inverter
US7993950B2 (en) * 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
JP5677971B2 (ja) * 2008-11-07 2015-02-25 キャベンディッシュ・キネティックス・インコーポレイテッドCavendish Kinetics, Inc. 相対的に小型の複数のmemsデバイスを用いて相対的に大型のmemsデバイスを置き換える方法
WO2010103474A1 (en) * 2009-03-11 2010-09-16 Nxp B.V. Mems electrostatic actuator
WO2010111601A2 (en) * 2009-03-26 2010-09-30 Semprius, Inc. Methods of forming printable integrated circuit devices and devices formed thereby
WO2010122953A1 (ja) * 2009-04-24 2010-10-28 株式会社村田製作所 Mems素子およびその製造方法
JP5629323B2 (ja) * 2009-10-01 2014-11-19 キャベンディッシュ・キネティックス・インコーポレイテッドCavendish Kinetics, Inc. 改善したホット・スイッチング性能および信頼性を備えたマイクロ機械デジタルキャパシタデバイスおよびその形成方法
EP2365521B1 (en) * 2010-01-22 2018-12-26 IMEC vzw Thin film wafer level package
US8598006B2 (en) 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
EP2450308B1 (en) * 2010-11-05 2013-06-12 Nxp B.V. Method of manufacturing IC having a moisture barrier, IC and apparatus
US8643140B2 (en) * 2011-07-11 2014-02-04 United Microelectronics Corp. Suspended beam for use in MEMS device
US8629360B2 (en) 2012-04-30 2014-01-14 Raytheon Company RF micro-electro-mechanical system (MEMS) capacitive switch
US9336953B2 (en) * 2012-06-14 2016-05-10 Cavendish Kinetics Inc. MEMS lifetime enhancement
US9200973B2 (en) 2012-06-28 2015-12-01 Intel Corporation Semiconductor package with air pressure sensor
TWI512938B (zh) 2013-01-28 2015-12-11 Asia Pacific Microsystems Inc 整合式微機電元件及其製造方法
KR101813905B1 (ko) * 2013-09-27 2018-01-02 인텔 코포레이션 반도체 패키지의 쓰루홀 구조의 향상된 배열
US9637371B2 (en) 2014-07-25 2017-05-02 Semiconductor Manufacturing International (Shanghai) Corporation Membrane transducer structures and methods of manufacturing same using thin-film encapsulation
KR101988469B1 (ko) * 2017-07-26 2019-06-13 주식회사 신성씨앤티 멤스 센서 및 그 제조 방법
US10319680B1 (en) 2018-03-01 2019-06-11 Sandisk Technologies Llc Metal contact via structure surrounded by an air gap and method of making thereof
GB201815797D0 (en) 2018-09-27 2018-11-14 Sofant Tech Ltd Mems devices and circuits including same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4975390A (en) 1986-12-18 1990-12-04 Nippondenso Co. Ltd. Method of fabricating a semiconductor pressure sensor
US5473944A (en) 1994-08-18 1995-12-12 Kulite Semi Conductor Products, Inc. Seam pressure sensor employing dielectically isolated resonant beams and related method of manufacture
US5937274A (en) 1995-01-31 1999-08-10 Hitachi, Ltd. Fabrication method for AlGaIn NPAsSb based devices
US5717631A (en) 1995-07-21 1998-02-10 Carnegie Mellon University Microelectromechanical structure and process of making same
US5880921A (en) 1997-04-28 1999-03-09 Rockwell Science Center, Llc Monolithically integrated switched capacitor bank using micro electro mechanical system (MEMS) technology
US6048774A (en) * 1997-06-26 2000-04-11 Denso Corporation Method of manufacturing dynamic amount semiconductor sensor
JP3207161B2 (ja) * 1997-07-18 2001-09-10 ティアールダブリュー インコーポレイテッド マイクロ電気機械システムスイッチ
US6203715B1 (en) * 1999-01-19 2001-03-20 Daewoo Electronics Co., Ltd. Method for the manufacture of a thin film actuated mirror array
US6160230A (en) 1999-03-01 2000-12-12 Raytheon Company Method and apparatus for an improved single pole double throw micro-electrical mechanical switch
US6143997A (en) 1999-06-04 2000-11-07 The Board Of Trustees Of The University Of Illinois Low actuation voltage microelectromechanical device and method of manufacture
US6291922B1 (en) * 1999-08-25 2001-09-18 Jds Uniphase, Inc. Microelectromechanical device having single crystalline components and metallic components
US6396368B1 (en) * 1999-11-10 2002-05-28 Hrl Laboratories, Llc CMOS-compatible MEM switches and method of making
US6472739B1 (en) * 1999-11-15 2002-10-29 Jds Uniphase Corporation Encapsulated microelectromechanical (MEMS) devices
US6440766B1 (en) * 2000-02-16 2002-08-27 Analog Devices Imi, Inc. Microfabrication using germanium-based release masks

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101077766B (zh) * 2006-02-20 2011-05-18 索尼株式会社 电子机械元件、电子电路装置及它们的制造方法
CN101329445B (zh) * 2007-02-27 2013-01-09 视频有限公司 腔室上方的层的平面化
CN101763987B (zh) * 2009-12-30 2012-05-23 中国电子科技集团公司第十三研究所 Rf mems开关及其制备方法
CN102906009A (zh) * 2010-06-25 2013-01-30 国际商业机器公司 平面腔体微机电系统及相关结构、制造和设计结构的方法
CN102906009B (zh) * 2010-06-25 2016-01-06 国际商业机器公司 平面腔体微机电系统及相关结构、制造和设计结构的方法
CN103917481B (zh) * 2011-06-20 2016-03-23 国际商业机器公司 微机电系统(mems)以及相关的致动器凸块、制造方法和设计结构
US10811206B2 (en) 2011-06-20 2020-10-20 International Business Machines Corporation Micro-electro-mechanical system (MEMS) and related actuator bumps, methods of manufacture and design structures
US10748725B2 (en) 2011-06-20 2020-08-18 International Business Machines Corporation Micro-electro-mechanical system (MEMS) and related actuator bumps, methods of manufacture and design structures
CN103917481A (zh) * 2011-06-20 2014-07-09 国际商业机器公司 微机电系统(mems)以及相关的致动器凸块、制造方法和设计结构
US10170262B2 (en) 2011-06-20 2019-01-01 International Business Machines Corporation Micro-electro-mechanical system (MEMS) and related actuator bumps, methods of manufacture and design structures
US10147577B2 (en) 2011-06-20 2018-12-04 International Business Machines Corporation Micro-electro-mechanical system (MEMS) and related actuator bumps, methods of manufacture and design structures
US9604839B2 (en) 2011-06-20 2017-03-28 International Business Machines Corporation Micro-electro-mechanical system (MEMS) and related actuator bumps, methods of manufacture and design structures
CN103889887A (zh) * 2011-09-02 2014-06-25 卡文迪什动力有限公司 Mems装置锚固
CN106698330A (zh) * 2012-03-09 2017-05-24 矽立科技有限公司 用于集成mems‑cmos装置的方法和结构
CN103723674B (zh) * 2012-10-16 2016-02-17 国际商业机器公司 Mems晶体管及其制造方法
CN103723674A (zh) * 2012-10-16 2014-04-16 国际商业机器公司 Mems晶体管及其制造方法
CN103091774A (zh) * 2012-11-13 2013-05-08 东北大学秦皇岛分校 悬空式铌酸锂光波导
CN104555884A (zh) * 2013-10-14 2015-04-29 原相科技股份有限公司 具有增强结构强度的微机电元件
US9748048B2 (en) 2014-04-25 2017-08-29 Analog Devices Global MEMS switch
CN105047484A (zh) * 2014-04-25 2015-11-11 亚德诺半导体集团 Mems开关
CN105047484B (zh) * 2014-04-25 2018-12-14 亚德诺半导体集团 Mems开关
CN107431022A (zh) * 2015-04-08 2017-12-01 英特尔公司 具有带有整体附接结构的嵌入式迹线层的微电子衬底
CN107431022B (zh) * 2015-04-08 2021-02-09 英特尔公司 具有带有整体附接结构的嵌入式迹线层的微电子衬底
US10640363B2 (en) 2016-02-04 2020-05-05 Analog Devices Global Active opening MEMS switch device

Also Published As

Publication number Publication date
CN1309019C (zh) 2007-04-04
ATE384685T1 (de) 2008-02-15
IL161654A (en) 2009-12-24
JP4081012B2 (ja) 2008-04-23
IL161654A0 (en) 2004-09-27
US6635506B2 (en) 2003-10-21
DE60224836D1 (de) 2008-03-13
KR20040041153A (ko) 2004-05-14
EP1461828A4 (en) 2005-09-28
EP1461828A1 (en) 2004-09-29
WO2003054938A1 (en) 2003-07-03
EP1461828B1 (en) 2008-01-23
US20030148550A1 (en) 2003-08-07
KR100586771B1 (ko) 2006-06-08
AU2002365158A1 (en) 2003-07-09
TW590983B (en) 2004-06-11
DE60224836T2 (de) 2009-01-08
JP2005514728A (ja) 2005-05-19

Similar Documents

Publication Publication Date Title
CN1309019C (zh) 在cmos匹配衬底上制造微电子机械开关的方法
US6798029B2 (en) Method of fabricating micro-electromechanical switches on CMOS compatible substrates
JP3808052B2 (ja) 微細電気機械的スイッチ(mems)の製造方法
US6701779B2 (en) Perpendicular torsion micro-electromechanical switch
CN1893137B (zh) 半导体器件及其制造方法
US7202764B2 (en) Noble metal contacts for micro-electromechanical switches
CN1292978C (zh) 微电子机械系统被包封结构及其制造方法
US7348870B2 (en) Structure and method of fabricating a hinge type MEMS switch
CN100339991C (zh) 具有电容器的半导体器件及其制造方法
US9583294B2 (en) MEMS swtich with internal conductive path
US7898081B2 (en) MEMS device and method of making the same
CN1716639A (zh) 微型机电可变电容器
CN1874955A (zh) 电子器件的制造方法和电子器件
CN1845281A (zh) 三态射频开关
US20050151256A1 (en) Freestanding multilayer IC wiring structure
TW201803020A (zh) 半導體裝置的形成方法
WO2007027169A2 (en) Method of manufacturing silicon topological capacitors
CN1650382A (zh) 具有可变形弹性体导电元件的微机电开关
CN107068639A (zh) 纳米机电装置结构
US9202654B2 (en) MEMS device and manufacturing method thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171204

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

Effective date of registration: 20171204

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070404

Termination date: 20211107